blob: 539078840a0a599f9f4c40d0c86755014f099f6e [file] [log] [blame]
/*===- TableGen'erated file -------------------------------------*- C++ -*-===*\
|* *|
|* Assembly Matcher Source Fragment *|
|* *|
|* Automatically generated file, do not edit! *|
|* *|
\*===----------------------------------------------------------------------===*/
#ifdef GET_ASSEMBLER_HEADER
#undef GET_ASSEMBLER_HEADER
// This should be included into the middle of the declaration of
// your subclasses implementation of MCTargetAsmParser.
FeatureBitset ComputeAvailableFeatures(const FeatureBitset &FB) const;
void convertToMCInst(unsigned Kind, MCInst &Inst, unsigned Opcode,
const OperandVector &Operands);
void convertToMapAndConstraints(unsigned Kind,
const OperandVector &Operands) override;
unsigned MatchInstructionImpl(const OperandVector &Operands,
MCInst &Inst,
uint64_t &ErrorInfo,
FeatureBitset &MissingFeatures,
bool matchingInlineAsm,
unsigned VariantID = 0);
unsigned MatchInstructionImpl(const OperandVector &Operands,
MCInst &Inst,
uint64_t &ErrorInfo,
bool matchingInlineAsm,
unsigned VariantID = 0) {
FeatureBitset MissingFeatures;
return MatchInstructionImpl(Operands, Inst, ErrorInfo, MissingFeatures,
matchingInlineAsm, VariantID);
}
#endif // GET_ASSEMBLER_HEADER_INFO
#ifdef GET_OPERAND_DIAGNOSTIC_TYPES
#undef GET_OPERAND_DIAGNOSTIC_TYPES
Match_InvalidImmUnsignedi4,
END_OPERAND_DIAGNOSTIC_TYPES
#endif // GET_OPERAND_DIAGNOSTIC_TYPES
#ifdef GET_REGISTER_MATCHER
#undef GET_REGISTER_MATCHER
// Bits for subtarget features that participate in instruction matching.
enum SubtargetFeatureBits : uint8_t {
Feature_Not64BitModeBit = 4,
Feature_In64BitModeBit = 2,
Feature_In16BitModeBit = 0,
Feature_Not16BitModeBit = 3,
Feature_In32BitModeBit = 1,
};
static unsigned MatchRegisterName(StringRef Name) {
switch (Name.size()) {
default: break;
case 2: // 34 strings to match.
switch (Name[0]) {
default: break;
case 'a': // 3 strings to match.
switch (Name[1]) {
default: break;
case 'h': // 1 string to match.
return 1; // "ah"
case 'l': // 1 string to match.
return 2; // "al"
case 'x': // 1 string to match.
return 3; // "ax"
}
break;
case 'b': // 4 strings to match.
switch (Name[1]) {
default: break;
case 'h': // 1 string to match.
return 4; // "bh"
case 'l': // 1 string to match.
return 5; // "bl"
case 'p': // 1 string to match.
return 6; // "bp"
case 'x': // 1 string to match.
return 9; // "bx"
}
break;
case 'c': // 4 strings to match.
switch (Name[1]) {
default: break;
case 'h': // 1 string to match.
return 10; // "ch"
case 'l': // 1 string to match.
return 11; // "cl"
case 's': // 1 string to match.
return 12; // "cs"
case 'x': // 1 string to match.
return 13; // "cx"
}
break;
case 'd': // 5 strings to match.
switch (Name[1]) {
default: break;
case 'h': // 1 string to match.
return 15; // "dh"
case 'i': // 1 string to match.
return 16; // "di"
case 'l': // 1 string to match.
return 19; // "dl"
case 's': // 1 string to match.
return 20; // "ds"
case 'x': // 1 string to match.
return 21; // "dx"
}
break;
case 'e': // 1 string to match.
if (Name[1] != 's')
break;
return 31; // "es"
case 'f': // 1 string to match.
if (Name[1] != 's')
break;
return 36; // "fs"
case 'g': // 1 string to match.
if (Name[1] != 's')
break;
return 38; // "gs"
case 'i': // 1 string to match.
if (Name[1] != 'p')
break;
return 49; // "ip"
case 'k': // 8 strings to match.
switch (Name[1]) {
default: break;
case '0': // 1 string to match.
return 112; // "k0"
case '1': // 1 string to match.
return 113; // "k1"
case '2': // 1 string to match.
return 114; // "k2"
case '3': // 1 string to match.
return 115; // "k3"
case '4': // 1 string to match.
return 116; // "k4"
case '5': // 1 string to match.
return 117; // "k5"
case '6': // 1 string to match.
return 118; // "k6"
case '7': // 1 string to match.
return 119; // "k7"
}
break;
case 'r': // 2 strings to match.
switch (Name[1]) {
default: break;
case '8': // 1 string to match.
return 128; // "r8"
case '9': // 1 string to match.
return 129; // "r9"
}
break;
case 's': // 4 strings to match.
switch (Name[1]) {
default: break;
case 'i': // 1 string to match.
return 62; // "si"
case 'p': // 1 string to match.
return 65; // "sp"
case 's': // 1 string to match.
return 68; // "ss"
case 't': // 1 string to match.
return 136; // "st"
}
break;
}
break;
case 3: // 73 strings to match.
switch (Name[0]) {
default: break;
case 'b': // 1 string to match.
if (memcmp(Name.data()+1, "pl", 2) != 0)
break;
return 8; // "bpl"
case 'c': // 10 strings to match.
if (Name[1] != 'r')
break;
switch (Name[2]) {
default: break;
case '0': // 1 string to match.
return 72; // "cr0"
case '1': // 1 string to match.
return 73; // "cr1"
case '2': // 1 string to match.
return 74; // "cr2"
case '3': // 1 string to match.
return 75; // "cr3"
case '4': // 1 string to match.
return 76; // "cr4"
case '5': // 1 string to match.
return 77; // "cr5"
case '6': // 1 string to match.
return 78; // "cr6"
case '7': // 1 string to match.
return 79; // "cr7"
case '8': // 1 string to match.
return 80; // "cr8"
case '9': // 1 string to match.
return 81; // "cr9"
}
break;
case 'd': // 11 strings to match.
switch (Name[1]) {
default: break;
case 'i': // 1 string to match.
if (Name[2] != 'l')
break;
return 18; // "dil"
case 'r': // 10 strings to match.
switch (Name[2]) {
default: break;
case '0': // 1 string to match.
return 88; // "dr0"
case '1': // 1 string to match.
return 89; // "dr1"
case '2': // 1 string to match.
return 90; // "dr2"
case '3': // 1 string to match.
return 91; // "dr3"
case '4': // 1 string to match.
return 92; // "dr4"
case '5': // 1 string to match.
return 93; // "dr5"
case '6': // 1 string to match.
return 94; // "dr6"
case '7': // 1 string to match.
return 95; // "dr7"
case '8': // 1 string to match.
return 96; // "dr8"
case '9': // 1 string to match.
return 97; // "dr9"
}
break;
}
break;
case 'e': // 10 strings to match.
switch (Name[1]) {
default: break;
case 'a': // 1 string to match.
if (Name[2] != 'x')
break;
return 22; // "eax"
case 'b': // 2 strings to match.
switch (Name[2]) {
default: break;
case 'p': // 1 string to match.
return 23; // "ebp"
case 'x': // 1 string to match.
return 24; // "ebx"
}
break;
case 'c': // 1 string to match.
if (Name[2] != 'x')
break;
return 25; // "ecx"
case 'd': // 2 strings to match.
switch (Name[2]) {
default: break;
case 'i': // 1 string to match.
return 26; // "edi"
case 'x': // 1 string to match.
return 27; // "edx"
}
break;
case 'i': // 2 strings to match.
switch (Name[2]) {
default: break;
case 'p': // 1 string to match.
return 29; // "eip"
case 'z': // 1 string to match.
return 30; // "eiz"
}
break;
case 's': // 2 strings to match.
switch (Name[2]) {
default: break;
case 'i': // 1 string to match.
return 32; // "esi"
case 'p': // 1 string to match.
return 33; // "esp"
}
break;
}
break;
case 'f': // 8 strings to match.
if (Name[1] != 'p')
break;
switch (Name[2]) {
default: break;
case '0': // 1 string to match.
return 104; // "fp0"
case '1': // 1 string to match.
return 105; // "fp1"
case '2': // 1 string to match.
return 106; // "fp2"
case '3': // 1 string to match.
return 107; // "fp3"
case '4': // 1 string to match.
return 108; // "fp4"
case '5': // 1 string to match.
return 109; // "fp5"
case '6': // 1 string to match.
return 110; // "fp6"
case '7': // 1 string to match.
return 111; // "fp7"
}
break;
case 'm': // 8 strings to match.
if (Name[1] != 'm')
break;
switch (Name[2]) {
default: break;
case '0': // 1 string to match.
return 120; // "mm0"
case '1': // 1 string to match.
return 121; // "mm1"
case '2': // 1 string to match.
return 122; // "mm2"
case '3': // 1 string to match.
return 123; // "mm3"
case '4': // 1 string to match.
return 124; // "mm4"
case '5': // 1 string to match.
return 125; // "mm5"
case '6': // 1 string to match.
return 126; // "mm6"
case '7': // 1 string to match.
return 127; // "mm7"
}
break;
case 'r': // 22 strings to match.
switch (Name[1]) {
default: break;
case '1': // 6 strings to match.
switch (Name[2]) {
default: break;
case '0': // 1 string to match.
return 130; // "r10"
case '1': // 1 string to match.
return 131; // "r11"
case '2': // 1 string to match.
return 132; // "r12"
case '3': // 1 string to match.
return 133; // "r13"
case '4': // 1 string to match.
return 134; // "r14"
case '5': // 1 string to match.
return 135; // "r15"
}
break;
case '8': // 3 strings to match.
switch (Name[2]) {
default: break;
case 'b': // 1 string to match.
return 248; // "r8b"
case 'd': // 1 string to match.
return 264; // "r8d"
case 'w': // 1 string to match.
return 272; // "r8w"
}
break;
case '9': // 3 strings to match.
switch (Name[2]) {
default: break;
case 'b': // 1 string to match.
return 249; // "r9b"
case 'd': // 1 string to match.
return 265; // "r9d"
case 'w': // 1 string to match.
return 273; // "r9w"
}
break;
case 'a': // 1 string to match.
if (Name[2] != 'x')
break;
return 51; // "rax"
case 'b': // 2 strings to match.
switch (Name[2]) {
default: break;
case 'p': // 1 string to match.
return 52; // "rbp"
case 'x': // 1 string to match.
return 53; // "rbx"
}
break;
case 'c': // 1 string to match.
if (Name[2] != 'x')
break;
return 54; // "rcx"
case 'd': // 2 strings to match.
switch (Name[2]) {
default: break;
case 'i': // 1 string to match.
return 55; // "rdi"
case 'x': // 1 string to match.
return 56; // "rdx"
}
break;
case 'i': // 2 strings to match.
switch (Name[2]) {
default: break;
case 'p': // 1 string to match.
return 58; // "rip"
case 'z': // 1 string to match.
return 59; // "riz"
}
break;
case 's': // 2 strings to match.
switch (Name[2]) {
default: break;
case 'i': // 1 string to match.
return 60; // "rsi"
case 'p': // 1 string to match.
return 61; // "rsp"
}
break;
}
break;
case 's': // 3 strings to match.
switch (Name[1]) {
default: break;
case 'i': // 1 string to match.
if (Name[2] != 'l')
break;
return 64; // "sil"
case 'p': // 1 string to match.
if (Name[2] != 'l')
break;
return 67; // "spl"
case 's': // 1 string to match.
if (Name[2] != 'p')
break;
return 69; // "ssp"
}
break;
}
break;
case 4: // 70 strings to match.
switch (Name[0]) {
default: break;
case 'c': // 6 strings to match.
if (memcmp(Name.data()+1, "r1", 2) != 0)
break;
switch (Name[3]) {
default: break;
case '0': // 1 string to match.
return 82; // "cr10"
case '1': // 1 string to match.
return 83; // "cr11"
case '2': // 1 string to match.
return 84; // "cr12"
case '3': // 1 string to match.
return 85; // "cr13"
case '4': // 1 string to match.
return 86; // "cr14"
case '5': // 1 string to match.
return 87; // "cr15"
}
break;
case 'd': // 6 strings to match.
if (memcmp(Name.data()+1, "r1", 2) != 0)
break;
switch (Name[3]) {
default: break;
case '0': // 1 string to match.
return 98; // "dr10"
case '1': // 1 string to match.
return 99; // "dr11"
case '2': // 1 string to match.
return 100; // "dr12"
case '3': // 1 string to match.
return 101; // "dr13"
case '4': // 1 string to match.
return 102; // "dr14"
case '5': // 1 string to match.
return 103; // "dr15"
}
break;
case 'f': // 2 strings to match.
if (Name[1] != 'p')
break;
switch (Name[2]) {
default: break;
case 'c': // 1 string to match.
if (Name[3] != 'r')
break;
return 34; // "fpcr"
case 's': // 1 string to match.
if (Name[3] != 'r')
break;
return 35; // "fpsr"
}
break;
case 'r': // 18 strings to match.
if (Name[1] != '1')
break;
switch (Name[2]) {
default: break;
case '0': // 3 strings to match.
switch (Name[3]) {
default: break;
case 'b': // 1 string to match.
return 250; // "r10b"
case 'd': // 1 string to match.
return 266; // "r10d"
case 'w': // 1 string to match.
return 274; // "r10w"
}
break;
case '1': // 3 strings to match.
switch (Name[3]) {
default: break;
case 'b': // 1 string to match.
return 251; // "r11b"
case 'd': // 1 string to match.
return 267; // "r11d"
case 'w': // 1 string to match.
return 275; // "r11w"
}
break;
case '2': // 3 strings to match.
switch (Name[3]) {
default: break;
case 'b': // 1 string to match.
return 252; // "r12b"
case 'd': // 1 string to match.
return 268; // "r12d"
case 'w': // 1 string to match.
return 276; // "r12w"
}
break;
case '3': // 3 strings to match.
switch (Name[3]) {
default: break;
case 'b': // 1 string to match.
return 253; // "r13b"
case 'd': // 1 string to match.
return 269; // "r13d"
case 'w': // 1 string to match.
return 277; // "r13w"
}
break;
case '4': // 3 strings to match.
switch (Name[3]) {
default: break;
case 'b': // 1 string to match.
return 254; // "r14b"
case 'd': // 1 string to match.
return 270; // "r14d"
case 'w': // 1 string to match.
return 278; // "r14w"
}
break;
case '5': // 3 strings to match.
switch (Name[3]) {
default: break;
case 'b': // 1 string to match.
return 255; // "r15b"
case 'd': // 1 string to match.
return 271; // "r15d"
case 'w': // 1 string to match.
return 279; // "r15w"
}
break;
}
break;
case 't': // 8 strings to match.
if (memcmp(Name.data()+1, "mm", 2) != 0)
break;
switch (Name[3]) {
default: break;
case '0': // 1 string to match.
return 144; // "tmm0"
case '1': // 1 string to match.
return 145; // "tmm1"
case '2': // 1 string to match.
return 146; // "tmm2"
case '3': // 1 string to match.
return 147; // "tmm3"
case '4': // 1 string to match.
return 148; // "tmm4"
case '5': // 1 string to match.
return 149; // "tmm5"
case '6': // 1 string to match.
return 150; // "tmm6"
case '7': // 1 string to match.
return 151; // "tmm7"
}
break;
case 'x': // 10 strings to match.
if (memcmp(Name.data()+1, "mm", 2) != 0)
break;
switch (Name[3]) {
default: break;
case '0': // 1 string to match.
return 152; // "xmm0"
case '1': // 1 string to match.
return 153; // "xmm1"
case '2': // 1 string to match.
return 154; // "xmm2"
case '3': // 1 string to match.
return 155; // "xmm3"
case '4': // 1 string to match.
return 156; // "xmm4"
case '5': // 1 string to match.
return 157; // "xmm5"
case '6': // 1 string to match.
return 158; // "xmm6"
case '7': // 1 string to match.
return 159; // "xmm7"
case '8': // 1 string to match.
return 160; // "xmm8"
case '9': // 1 string to match.
return 161; // "xmm9"
}
break;
case 'y': // 10 strings to match.
if (memcmp(Name.data()+1, "mm", 2) != 0)
break;
switch (Name[3]) {
default: break;
case '0': // 1 string to match.
return 184; // "ymm0"
case '1': // 1 string to match.
return 185; // "ymm1"
case '2': // 1 string to match.
return 186; // "ymm2"
case '3': // 1 string to match.
return 187; // "ymm3"
case '4': // 1 string to match.
return 188; // "ymm4"
case '5': // 1 string to match.
return 189; // "ymm5"
case '6': // 1 string to match.
return 190; // "ymm6"
case '7': // 1 string to match.
return 191; // "ymm7"
case '8': // 1 string to match.
return 192; // "ymm8"
case '9': // 1 string to match.
return 193; // "ymm9"
}
break;
case 'z': // 10 strings to match.
if (memcmp(Name.data()+1, "mm", 2) != 0)
break;
switch (Name[3]) {
default: break;
case '0': // 1 string to match.
return 216; // "zmm0"
case '1': // 1 string to match.
return 217; // "zmm1"
case '2': // 1 string to match.
return 218; // "zmm2"
case '3': // 1 string to match.
return 219; // "zmm3"
case '4': // 1 string to match.
return 220; // "zmm4"
case '5': // 1 string to match.
return 221; // "zmm5"
case '6': // 1 string to match.
return 222; // "zmm6"
case '7': // 1 string to match.
return 223; // "zmm7"
case '8': // 1 string to match.
return 224; // "zmm8"
case '9': // 1 string to match.
return 225; // "zmm9"
}
break;
}
break;
case 5: // 75 strings to match.
switch (Name[0]) {
default: break;
case 'f': // 1 string to match.
if (memcmp(Name.data()+1, "lags", 4) != 0)
break;
return 28; // "flags"
case 'm': // 1 string to match.
if (memcmp(Name.data()+1, "xcsr", 4) != 0)
break;
return 50; // "mxcsr"
case 's': // 7 strings to match.
if (memcmp(Name.data()+1, "t(", 2) != 0)
break;
switch (Name[3]) {
default: break;
case '1': // 1 string to match.
if (Name[4] != ')')
break;
return 137; // "st(1)"
case '2': // 1 string to match.
if (Name[4] != ')')
break;
return 138; // "st(2)"
case '3': // 1 string to match.
if (Name[4] != ')')
break;
return 139; // "st(3)"
case '4': // 1 string to match.
if (Name[4] != ')')
break;
return 140; // "st(4)"
case '5': // 1 string to match.
if (Name[4] != ')')
break;
return 141; // "st(5)"
case '6': // 1 string to match.
if (Name[4] != ')')
break;
return 142; // "st(6)"
case '7': // 1 string to match.
if (Name[4] != ')')
break;
return 143; // "st(7)"
}
break;
case 'x': // 22 strings to match.
if (memcmp(Name.data()+1, "mm", 2) != 0)
break;
switch (Name[3]) {
default: break;
case '1': // 10 strings to match.
switch (Name[4]) {
default: break;
case '0': // 1 string to match.
return 162; // "xmm10"
case '1': // 1 string to match.
return 163; // "xmm11"
case '2': // 1 string to match.
return 164; // "xmm12"
case '3': // 1 string to match.
return 165; // "xmm13"
case '4': // 1 string to match.
return 166; // "xmm14"
case '5': // 1 string to match.
return 167; // "xmm15"
case '6': // 1 string to match.
return 168; // "xmm16"
case '7': // 1 string to match.
return 169; // "xmm17"
case '8': // 1 string to match.
return 170; // "xmm18"
case '9': // 1 string to match.
return 171; // "xmm19"
}
break;
case '2': // 10 strings to match.
switch (Name[4]) {
default: break;
case '0': // 1 string to match.
return 172; // "xmm20"
case '1': // 1 string to match.
return 173; // "xmm21"
case '2': // 1 string to match.
return 174; // "xmm22"
case '3': // 1 string to match.
return 175; // "xmm23"
case '4': // 1 string to match.
return 176; // "xmm24"
case '5': // 1 string to match.
return 177; // "xmm25"
case '6': // 1 string to match.
return 178; // "xmm26"
case '7': // 1 string to match.
return 179; // "xmm27"
case '8': // 1 string to match.
return 180; // "xmm28"
case '9': // 1 string to match.
return 181; // "xmm29"
}
break;
case '3': // 2 strings to match.
switch (Name[4]) {
default: break;
case '0': // 1 string to match.
return 182; // "xmm30"
case '1': // 1 string to match.
return 183; // "xmm31"
}
break;
}
break;
case 'y': // 22 strings to match.
if (memcmp(Name.data()+1, "mm", 2) != 0)
break;
switch (Name[3]) {
default: break;
case '1': // 10 strings to match.
switch (Name[4]) {
default: break;
case '0': // 1 string to match.
return 194; // "ymm10"
case '1': // 1 string to match.
return 195; // "ymm11"
case '2': // 1 string to match.
return 196; // "ymm12"
case '3': // 1 string to match.
return 197; // "ymm13"
case '4': // 1 string to match.
return 198; // "ymm14"
case '5': // 1 string to match.
return 199; // "ymm15"
case '6': // 1 string to match.
return 200; // "ymm16"
case '7': // 1 string to match.
return 201; // "ymm17"
case '8': // 1 string to match.
return 202; // "ymm18"
case '9': // 1 string to match.
return 203; // "ymm19"
}
break;
case '2': // 10 strings to match.
switch (Name[4]) {
default: break;
case '0': // 1 string to match.
return 204; // "ymm20"
case '1': // 1 string to match.
return 205; // "ymm21"
case '2': // 1 string to match.
return 206; // "ymm22"
case '3': // 1 string to match.
return 207; // "ymm23"
case '4': // 1 string to match.
return 208; // "ymm24"
case '5': // 1 string to match.
return 209; // "ymm25"
case '6': // 1 string to match.
return 210; // "ymm26"
case '7': // 1 string to match.
return 211; // "ymm27"
case '8': // 1 string to match.
return 212; // "ymm28"
case '9': // 1 string to match.
return 213; // "ymm29"
}
break;
case '3': // 2 strings to match.
switch (Name[4]) {
default: break;
case '0': // 1 string to match.
return 214; // "ymm30"
case '1': // 1 string to match.
return 215; // "ymm31"
}
break;
}
break;
case 'z': // 22 strings to match.
if (memcmp(Name.data()+1, "mm", 2) != 0)
break;
switch (Name[3]) {
default: break;
case '1': // 10 strings to match.
switch (Name[4]) {
default: break;
case '0': // 1 string to match.
return 226; // "zmm10"
case '1': // 1 string to match.
return 227; // "zmm11"
case '2': // 1 string to match.
return 228; // "zmm12"
case '3': // 1 string to match.
return 229; // "zmm13"
case '4': // 1 string to match.
return 230; // "zmm14"
case '5': // 1 string to match.
return 231; // "zmm15"
case '6': // 1 string to match.
return 232; // "zmm16"
case '7': // 1 string to match.
return 233; // "zmm17"
case '8': // 1 string to match.
return 234; // "zmm18"
case '9': // 1 string to match.
return 235; // "zmm19"
}
break;
case '2': // 10 strings to match.
switch (Name[4]) {
default: break;
case '0': // 1 string to match.
return 236; // "zmm20"
case '1': // 1 string to match.
return 237; // "zmm21"
case '2': // 1 string to match.
return 238; // "zmm22"
case '3': // 1 string to match.
return 239; // "zmm23"
case '4': // 1 string to match.
return 240; // "zmm24"
case '5': // 1 string to match.
return 241; // "zmm25"
case '6': // 1 string to match.
return 242; // "zmm26"
case '7': // 1 string to match.
return 243; // "zmm27"
case '8': // 1 string to match.
return 244; // "zmm28"
case '9': // 1 string to match.
return 245; // "zmm29"
}
break;
case '3': // 2 strings to match.
switch (Name[4]) {
default: break;
case '0': // 1 string to match.
return 246; // "zmm30"
case '1': // 1 string to match.
return 247; // "zmm31"
}
break;
}
break;
}
break;
case 6: // 3 strings to match.
switch (Name[0]) {
default: break;
case 'e': // 1 string to match.
if (memcmp(Name.data()+1, "flags", 5) != 0)
break;
return 71; // "eflags"
case 'r': // 1 string to match.
if (memcmp(Name.data()+1, "flags", 5) != 0)
break;
return 57; // "rflags"
case 't': // 1 string to match.
if (memcmp(Name.data()+1, "mmcfg", 5) != 0)
break;
return 70; // "tmmcfg"
}
break;
case 7: // 3 strings to match.
switch (Name[0]) {
default: break;
case 'd': // 1 string to match.
if (memcmp(Name.data()+1, "irflag", 6) != 0)
break;
return 14; // "dirflag"
case 'f': // 1 string to match.
if (memcmp(Name.data()+1, "s.base", 6) != 0)
break;
return 37; // "fs.base"
case 'g': // 1 string to match.
if (memcmp(Name.data()+1, "s.base", 6) != 0)
break;
return 39; // "gs.base"
}
break;
}
return 0;
}
#endif // GET_REGISTER_MATCHER
#ifdef GET_SUBTARGET_FEATURE_NAME
#undef GET_SUBTARGET_FEATURE_NAME
// User-level names for subtarget features that participate in
// instruction matching.
static const char *getSubtargetFeatureName(uint64_t Val) {
switch(Val) {
case Feature_Not64BitModeBit: return "Not 64-bit mode";
case Feature_In64BitModeBit: return "64-bit mode";
case Feature_In16BitModeBit: return "16-bit mode";
case Feature_Not16BitModeBit: return "Not 16-bit mode";
case Feature_In32BitModeBit: return "32-bit mode";
default: return "(unknown)";
}
}
#endif // GET_SUBTARGET_FEATURE_NAME
#ifdef GET_MATCHER_IMPLEMENTATION
#undef GET_MATCHER_IMPLEMENTATION
static void applyMnemonicAliases(StringRef &Mnemonic, const FeatureBitset &Features, unsigned VariantID) {
switch (VariantID) {
case 0:
switch (Mnemonic.size()) {
default: break;
case 3: // 6 strings to match.
switch (Mnemonic[0]) {
default: break;
case 'c': // 4 strings to match.
switch (Mnemonic[1]) {
default: break;
case 'b': // 1 string to match.
if (Mnemonic[2] != 'w')
break;
Mnemonic = "cbtw"; // "cbw"
return;
case 'd': // 1 string to match.
if (Mnemonic[2] != 'q')
break;
Mnemonic = "cltd"; // "cdq"
return;
case 'q': // 1 string to match.
if (Mnemonic[2] != 'o')
break;
Mnemonic = "cqto"; // "cqo"
return;
case 'w': // 1 string to match.
if (Mnemonic[2] != 'd')
break;
Mnemonic = "cwtd"; // "cwd"
return;
}
break;
case 'p': // 1 string to match.
if (memcmp(Mnemonic.data()+1, "op", 2) != 0)
break;
if (Features.test(Feature_In16BitModeBit)) // "pop"
Mnemonic = "popw";
else if (Features.test(Feature_In32BitModeBit))
Mnemonic = "popl";
else if (Features.test(Feature_In64BitModeBit))
Mnemonic = "popq";
return;
case 'r': // 1 string to match.
if (memcmp(Mnemonic.data()+1, "et", 2) != 0)
break;
if (Features.test(Feature_In16BitModeBit)) // "ret"
Mnemonic = "retw";
else if (Features.test(Feature_In32BitModeBit))
Mnemonic = "retl";
else if (Features.test(Feature_In64BitModeBit))
Mnemonic = "retq";
return;
}
break;
case 4: // 18 strings to match.
switch (Mnemonic[0]) {
default: break;
case 'c': // 3 strings to match.
switch (Mnemonic[1]) {
default: break;
case 'a': // 1 string to match.
if (memcmp(Mnemonic.data()+2, "ll", 2) != 0)
break;
if (Features.test(Feature_In16BitModeBit)) // "call"
Mnemonic = "callw";
else if (Features.test(Feature_In32BitModeBit))
Mnemonic = "calll";
else if (Features.test(Feature_In64BitModeBit))
Mnemonic = "callq";
return;
case 'd': // 1 string to match.
if (memcmp(Mnemonic.data()+2, "qe", 2) != 0)
break;
Mnemonic = "cltq"; // "cdqe"
return;
case 'w': // 1 string to match.
if (memcmp(Mnemonic.data()+2, "de", 2) != 0)
break;
Mnemonic = "cwtl"; // "cwde"
return;
}
break;
case 'i': // 1 string to match.
if (memcmp(Mnemonic.data()+1, "ret", 3) != 0)
break;
if (Features.test(Feature_In16BitModeBit)) // "iret"
Mnemonic = "iretw";
else if (Features.test(Feature_Not16BitModeBit))
Mnemonic = "iretl";
return;
case 'l': // 3 strings to match.
switch (Mnemonic[1]) {
default: break;
case 'g': // 1 string to match.
if (memcmp(Mnemonic.data()+2, "dt", 2) != 0)
break;
if (Features.test(Feature_In16BitModeBit)) // "lgdt"
Mnemonic = "lgdtw";
else if (Features.test(Feature_In32BitModeBit))
Mnemonic = "lgdtl";
else if (Features.test(Feature_In64BitModeBit))
Mnemonic = "lgdtq";
return;
case 'i': // 1 string to match.
if (memcmp(Mnemonic.data()+2, "dt", 2) != 0)
break;
if (Features.test(Feature_In16BitModeBit)) // "lidt"
Mnemonic = "lidtw";
else if (Features.test(Feature_In32BitModeBit))
Mnemonic = "lidtl";
else if (Features.test(Feature_In64BitModeBit))
Mnemonic = "lidtq";
return;
case 'r': // 1 string to match.
if (memcmp(Mnemonic.data()+2, "et", 2) != 0)
break;
if (Features.test(Feature_In16BitModeBit)) // "lret"
Mnemonic = "lretw";
else if (Features.test(Feature_Not16BitModeBit))
Mnemonic = "lretl";
return;
}
break;
case 'p': // 3 strings to match.
switch (Mnemonic[1]) {
default: break;
case 'o': // 2 strings to match.
if (Mnemonic[2] != 'p')
break;
switch (Mnemonic[3]) {
default: break;
case 'a': // 1 string to match.
if (Features.test(Feature_In16BitModeBit)) // "popa"
Mnemonic = "popaw";
else if (Features.test(Feature_In32BitModeBit))
Mnemonic = "popal";
return;
case 'f': // 1 string to match.
if (Features.test(Feature_In16BitModeBit)) // "popf"
Mnemonic = "popfw";
else if (Features.test(Feature_In32BitModeBit))
Mnemonic = "popfl";
else if (Features.test(Feature_In64BitModeBit))
Mnemonic = "popfq";
return;
}
break;
case 'u': // 1 string to match.
if (memcmp(Mnemonic.data()+2, "sh", 2) != 0)
break;
if (Features.test(Feature_In16BitModeBit)) // "push"
Mnemonic = "pushw";
else if (Features.test(Feature_In32BitModeBit))
Mnemonic = "pushl";
else if (Features.test(Feature_In64BitModeBit))
Mnemonic = "pushq";
return;
}
break;
case 'r': // 1 string to match.
if (memcmp(Mnemonic.data()+1, "etn", 3) != 0)
break;
if (Features.test(Feature_In16BitModeBit)) // "retn"
Mnemonic = "retw";
else if (Features.test(Feature_In32BitModeBit))
Mnemonic = "retl";
else if (Features.test(Feature_In64BitModeBit))
Mnemonic = "retq";
return;
case 's': // 6 strings to match.
switch (Mnemonic[1]) {
default: break;
case 'a': // 4 strings to match.
if (Mnemonic[2] != 'l')
break;
switch (Mnemonic[3]) {
default: break;
case 'b': // 1 string to match.
Mnemonic = "shlb"; // "salb"
return;
case 'l': // 1 string to match.
Mnemonic = "shll"; // "sall"
return;
case 'q': // 1 string to match.
Mnemonic = "shlq"; // "salq"
return;
case 'w': // 1 string to match.
Mnemonic = "shlw"; // "salw"
return;
}
break;
case 'g': // 1 string to match.
if (memcmp(Mnemonic.data()+2, "dt", 2) != 0)
break;
if (Features.test(Feature_In16BitModeBit)) // "sgdt"
Mnemonic = "sgdtw";
else if (Features.test(Feature_In32BitModeBit))
Mnemonic = "sgdtl";
else if (Features.test(Feature_In64BitModeBit))
Mnemonic = "sgdtq";
return;
case 'i': // 1 string to match.
if (memcmp(Mnemonic.data()+2, "dt", 2) != 0)
break;
if (Features.test(Feature_In16BitModeBit)) // "sidt"
Mnemonic = "sidtw";
else if (Features.test(Feature_In32BitModeBit))
Mnemonic = "sidtl";
else if (Features.test(Feature_In64BitModeBit))
Mnemonic = "sidtq";
return;
}
break;
case 'u': // 1 string to match.
if (memcmp(Mnemonic.data()+1, "d2a", 3) != 0)
break;
Mnemonic = "ud2"; // "ud2a"
return;
}
break;
case 5: // 12 strings to match.
switch (Mnemonic[0]) {
default: break;
case 'f': // 1 string to match.
if (memcmp(Mnemonic.data()+1, "ildq", 4) != 0)
break;
Mnemonic = "fildll"; // "fildq"
return;
case 'p': // 3 strings to match.
switch (Mnemonic[1]) {
default: break;
case 'o': // 1 string to match.
if (memcmp(Mnemonic.data()+2, "pfd", 3) != 0)
break;
Mnemonic = "popfl"; // "popfd"
return;
case 'u': // 2 strings to match.
if (memcmp(Mnemonic.data()+2, "sh", 2) != 0)
break;
switch (Mnemonic[4]) {
default: break;
case 'a': // 1 string to match.
if (Features.test(Feature_In16BitModeBit)) // "pusha"
Mnemonic = "pushaw";
else if (Features.test(Feature_In32BitModeBit))
Mnemonic = "pushal";
return;
case 'f': // 1 string to match.
if (Features.test(Feature_In16BitModeBit)) // "pushf"
Mnemonic = "pushfw";
else if (Features.test(Feature_In32BitModeBit))
Mnemonic = "pushfl";
else if (Features.test(Feature_In64BitModeBit))
Mnemonic = "pushfq";
return;
}
break;
}
break;
case 's': // 4 strings to match.
if (memcmp(Mnemonic.data()+1, "mov", 3) != 0)
break;
switch (Mnemonic[4]) {
default: break;
case 'b': // 1 string to match.
Mnemonic = "movsb"; // "smovb"
return;
case 'l': // 1 string to match.
Mnemonic = "movsl"; // "smovl"
return;
case 'q': // 1 string to match.
Mnemonic = "movsq"; // "smovq"
return;
case 'w': // 1 string to match.
Mnemonic = "movsw"; // "smovw"
return;
}
break;
case 'u': // 3 strings to match.
if (memcmp(Mnemonic.data()+1, "d2b", 3) != 0)
break;
switch (Mnemonic[4]) {
default: break;
case 'l': // 1 string to match.
Mnemonic = "ud1l"; // "ud2bl"
return;
case 'q': // 1 string to match.
Mnemonic = "ud1q"; // "ud2bq"
return;
case 'w': // 1 string to match.
Mnemonic = "ud1w"; // "ud2bw"
return;
}
break;
case 'v': // 1 string to match.
if (memcmp(Mnemonic.data()+1, "errw", 4) != 0)
break;
Mnemonic = "verr"; // "verrw"
return;
}
break;
case 6: // 15 strings to match.
switch (Mnemonic[0]) {
default: break;
case 'c': // 6 strings to match.
if (memcmp(Mnemonic.data()+1, "mov", 3) != 0)
break;
switch (Mnemonic[4]) {
default: break;
case 'c': // 3 strings to match.
switch (Mnemonic[5]) {
default: break;
case 'l': // 1 string to match.
Mnemonic = "cmovbl"; // "cmovcl"
return;
case 'q': // 1 string to match.
Mnemonic = "cmovbq"; // "cmovcq"
return;
case 'w': // 1 string to match.
Mnemonic = "cmovbw"; // "cmovcw"
return;
}
break;
case 'z': // 3 strings to match.
switch (Mnemonic[5]) {
default: break;
case 'l': // 1 string to match.
Mnemonic = "cmovel"; // "cmovzl"
return;
case 'q': // 1 string to match.
Mnemonic = "cmoveq"; // "cmovzq"
return;
case 'w': // 1 string to match.
Mnemonic = "cmovew"; // "cmovzw"
return;
}
break;
}
break;
case 'f': // 4 strings to match.
switch (Mnemonic[1]) {
default: break;
case 'c': // 2 strings to match.
if (memcmp(Mnemonic.data()+2, "mov", 3) != 0)
break;
switch (Mnemonic[5]) {
default: break;
case 'a': // 1 string to match.
Mnemonic = "fcmovnbe"; // "fcmova"
return;
case 'z': // 1 string to match.
Mnemonic = "fcmove"; // "fcmovz"
return;
}
break;
case 'i': // 1 string to match.
if (memcmp(Mnemonic.data()+2, "stpq", 4) != 0)
break;
Mnemonic = "fistpll"; // "fistpq"
return;
case 'l': // 1 string to match.
if (memcmp(Mnemonic.data()+2, "dcww", 4) != 0)
break;
Mnemonic = "fldcw"; // "fldcww"
return;
}
break;
case 'l': // 2 strings to match.
if (memcmp(Mnemonic.data()+1, "eave", 4) != 0)
break;
switch (Mnemonic[5]) {
default: break;
case 'l': // 1 string to match.
if (Features.test(Feature_Not64BitModeBit)) // "leavel"
Mnemonic = "leave";
return;
case 'q': // 1 string to match.
if (Features.test(Feature_In64BitModeBit)) // "leaveq"
Mnemonic = "leave";
return;
}
break;
case 'p': // 1 string to match.
if (memcmp(Mnemonic.data()+1, "ushfd", 5) != 0)
break;
Mnemonic = "pushfl"; // "pushfd"
return;
case 's': // 1 string to match.
if (memcmp(Mnemonic.data()+1, "ysret", 5) != 0)
break;
Mnemonic = "sysretl"; // "sysret"
return;
case 'x': // 1 string to match.
if (memcmp(Mnemonic.data()+1, "saveq", 5) != 0)
break;
Mnemonic = "xsave64"; // "xsaveq"
return;
}
break;
case 7: // 34 strings to match.
switch (Mnemonic[0]) {
default: break;
case 'c': // 24 strings to match.
if (memcmp(Mnemonic.data()+1, "mov", 3) != 0)
break;
switch (Mnemonic[4]) {
default: break;
case 'n': // 18 strings to match.
switch (Mnemonic[5]) {
default: break;
case 'a': // 3 strings to match.
switch (Mnemonic[6]) {
default: break;
case 'l': // 1 string to match.
Mnemonic = "cmovbel"; // "cmovnal"
return;
case 'q': // 1 string to match.
Mnemonic = "cmovbeq"; // "cmovnaq"
return;
case 'w': // 1 string to match.
Mnemonic = "cmovbew"; // "cmovnaw"
return;
}
break;
case 'b': // 3 strings to match.
switch (Mnemonic[6]) {
default: break;
case 'l': // 1 string to match.
Mnemonic = "cmovael"; // "cmovnbl"
return;
case 'q': // 1 string to match.
Mnemonic = "cmovaeq"; // "cmovnbq"
return;
case 'w': // 1 string to match.
Mnemonic = "cmovaew"; // "cmovnbw"
return;
}
break;
case 'c': // 3 strings to match.
switch (Mnemonic[6]) {
default: break;
case 'l': // 1 string to match.
Mnemonic = "cmovael"; // "cmovncl"
return;
case 'q': // 1 string to match.
Mnemonic = "cmovaeq"; // "cmovncq"
return;
case 'w': // 1 string to match.
Mnemonic = "cmovaew"; // "cmovncw"
return;
}
break;
case 'g': // 3 strings to match.
switch (Mnemonic[6]) {
default: break;
case 'l': // 1 string to match.
Mnemonic = "cmovlel"; // "cmovngl"
return;
case 'q': // 1 string to match.
Mnemonic = "cmovleq"; // "cmovngq"
return;
case 'w': // 1 string to match.
Mnemonic = "cmovlew"; // "cmovngw"
return;
}
break;
case 'l': // 3 strings to match.
switch (Mnemonic[6]) {
default: break;
case 'l': // 1 string to match.
Mnemonic = "cmovgel"; // "cmovnll"
return;
case 'q': // 1 string to match.
Mnemonic = "cmovgeq"; // "cmovnlq"
return;
case 'w': // 1 string to match.
Mnemonic = "cmovgew"; // "cmovnlw"
return;
}
break;
case 'z': // 3 strings to match.
switch (Mnemonic[6]) {
default: break;
case 'l': // 1 string to match.
Mnemonic = "cmovnel"; // "cmovnzl"
return;
case 'q': // 1 string to match.
Mnemonic = "cmovneq"; // "cmovnzq"
return;
case 'w': // 1 string to match.
Mnemonic = "cmovnew"; // "cmovnzw"
return;
}
break;
}
break;
case 'p': // 6 strings to match.
switch (Mnemonic[5]) {
default: break;
case 'e': // 3 strings to match.
switch (Mnemonic[6]) {
default: break;
case 'l': // 1 string to match.
Mnemonic = "cmovpl"; // "cmovpel"
return;
case 'q': // 1 string to match.
Mnemonic = "cmovpq"; // "cmovpeq"
return;
case 'w': // 1 string to match.
Mnemonic = "cmovpw"; // "cmovpew"
return;
}
break;
case 'o': // 3 strings to match.
switch (Mnemonic[6]) {
default: break;
case 'l': // 1 string to match.
Mnemonic = "cmovnpl"; // "cmovpol"
return;
case 'q': // 1 string to match.
Mnemonic = "cmovnpq"; // "cmovpoq"
return;
case 'w': // 1 string to match.
Mnemonic = "cmovnpw"; // "cmovpow"
return;
}
break;
}
break;
}
break;
case 'f': // 6 strings to match.
switch (Mnemonic[1]) {
default: break;
case 'c': // 2 strings to match.
if (memcmp(Mnemonic.data()+2, "mov", 3) != 0)
break;
switch (Mnemonic[5]) {
default: break;
case 'a': // 1 string to match.
if (Mnemonic[6] != 'e')
break;
Mnemonic = "fcmovnb"; // "fcmovae"
return;
case 'n': // 1 string to match.
if (Mnemonic[6] != 'a')
break;
Mnemonic = "fcmovbe"; // "fcmovna"
return;
}
break;
case 'i': // 1 string to match.
if (memcmp(Mnemonic.data()+2, "sttpq", 5) != 0)
break;
Mnemonic = "fisttpll"; // "fisttpq"
return;
case 'n': // 2 strings to match.
if (memcmp(Mnemonic.data()+2, "st", 2) != 0)
break;
switch (Mnemonic[4]) {
default: break;
case 'c': // 1 string to match.
if (memcmp(Mnemonic.data()+5, "ww", 2) != 0)
break;
Mnemonic = "fnstcw"; // "fnstcww"
return;
case 's': // 1 string to match.
if (memcmp(Mnemonic.data()+5, "ww", 2) != 0)
break;
Mnemonic = "fnstsw"; // "fnstsww"
return;
}
break;
case 'x': // 1 string to match.
if (memcmp(Mnemonic.data()+2, "saveq", 5) != 0)
break;
Mnemonic = "fxsave64"; // "fxsaveq"
return;
}
break;
case 's': // 1 string to match.
if (memcmp(Mnemonic.data()+1, "ysexit", 6) != 0)
break;
Mnemonic = "sysexitl"; // "sysexit"
return;
case 'x': // 3 strings to match.
switch (Mnemonic[1]) {
default: break;
case 'r': // 1 string to match.
if (memcmp(Mnemonic.data()+2, "storq", 5) != 0)
break;
Mnemonic = "xrstor64"; // "xrstorq"
return;
case 's': // 2 strings to match.
if (memcmp(Mnemonic.data()+2, "ave", 3) != 0)
break;
switch (Mnemonic[5]) {
default: break;
case 'c': // 1 string to match.
if (Mnemonic[6] != 'q')
break;
Mnemonic = "xsavec64"; // "xsavecq"
return;
case 's': // 1 string to match.
if (Mnemonic[6] != 'q')
break;
Mnemonic = "xsaves64"; // "xsavesq"
return;
}
break;
}
break;
}
break;
case 8: // 15 strings to match.
switch (Mnemonic[0]) {
default: break;
case 'c': // 12 strings to match.
if (memcmp(Mnemonic.data()+1, "movn", 4) != 0)
break;
switch (Mnemonic[5]) {
default: break;
case 'a': // 3 strings to match.
if (Mnemonic[6] != 'e')
break;
switch (Mnemonic[7]) {
default: break;
case 'l': // 1 string to match.
Mnemonic = "cmovbl"; // "cmovnael"
return;
case 'q': // 1 string to match.
Mnemonic = "cmovbq"; // "cmovnaeq"
return;
case 'w': // 1 string to match.
Mnemonic = "cmovbw"; // "cmovnaew"
return;
}
break;
case 'b': // 3 strings to match.
if (Mnemonic[6] != 'e')
break;
switch (Mnemonic[7]) {
default: break;
case 'l': // 1 string to match.
Mnemonic = "cmoval"; // "cmovnbel"
return;
case 'q': // 1 string to match.
Mnemonic = "cmovaq"; // "cmovnbeq"
return;
case 'w': // 1 string to match.
Mnemonic = "cmovaw"; // "cmovnbew"
return;
}
break;
case 'g': // 3 strings to match.
if (Mnemonic[6] != 'e')
break;
switch (Mnemonic[7]) {
default: break;
case 'l': // 1 string to match.
Mnemonic = "cmovll"; // "cmovngel"
return;
case 'q': // 1 string to match.
Mnemonic = "cmovlq"; // "cmovngeq"
return;
case 'w': // 1 string to match.
Mnemonic = "cmovlw"; // "cmovngew"
return;
}
break;
case 'l': // 3 strings to match.
if (Mnemonic[6] != 'e')
break;
switch (Mnemonic[7]) {
default: break;
case 'l': // 1 string to match.
Mnemonic = "cmovgl"; // "cmovnlel"
return;
case 'q': // 1 string to match.
Mnemonic = "cmovgq"; // "cmovnleq"
return;
case 'w': // 1 string to match.
Mnemonic = "cmovgw"; // "cmovnlew"
return;
}
break;
}
break;
case 'f': // 2 strings to match.
switch (Mnemonic[1]) {
default: break;
case 'c': // 1 string to match.
if (memcmp(Mnemonic.data()+2, "movnae", 6) != 0)
break;
Mnemonic = "fcmovb"; // "fcmovnae"
return;
case 'x': // 1 string to match.
if (memcmp(Mnemonic.data()+2, "rstorq", 6) != 0)
break;
Mnemonic = "fxrstor64"; // "fxrstorq"
return;
}
break;
case 'x': // 1 string to match.
if (memcmp(Mnemonic.data()+1, "rstorsq", 7) != 0)
break;
Mnemonic = "xrstors64"; // "xrstorsq"
return;
}
break;
case 9: // 1 string to match.
if (memcmp(Mnemonic.data()+0, "xsaveoptq", 9) != 0)
break;
Mnemonic = "xsaveopt64"; // "xsaveoptq"
return;
}
break;
case 1:
switch (Mnemonic.size()) {
default: break;
case 3: // 1 string to match.
if (memcmp(Mnemonic.data()+0, "sal", 3) != 0)
break;
Mnemonic = "shl"; // "sal"
return;
case 4: // 7 strings to match.
switch (Mnemonic[0]) {
default: break;
case 'l': // 2 strings to match.
switch (Mnemonic[1]) {
default: break;
case 'g': // 1 string to match.
if (memcmp(Mnemonic.data()+2, "dt", 2) != 0)
break;
if (Features.test(Feature_In16BitModeBit)) // "lgdt"
Mnemonic = "lgdtw";
else if (Features.test(Feature_In32BitModeBit))
Mnemonic = "lgdtd";
return;
case 'i': // 1 string to match.
if (memcmp(Mnemonic.data()+2, "dt", 2) != 0)
break;
if (Features.test(Feature_In16BitModeBit)) // "lidt"
Mnemonic = "lidtw";
else if (Features.test(Feature_In32BitModeBit))
Mnemonic = "lidtd";
return;
}
break;
case 'p': // 2 strings to match.
if (memcmp(Mnemonic.data()+1, "op", 2) != 0)
break;
switch (Mnemonic[3]) {
default: break;
case 'a': // 1 string to match.
if (Features.test(Feature_In16BitModeBit)) // "popa"
Mnemonic = "popaw";
else if (Features.test(Feature_In32BitModeBit))
Mnemonic = "popal";
return;
case 'f': // 1 string to match.
if (Features.test(Feature_In64BitModeBit)) // "popf"
Mnemonic = "popfq";
return;
}
break;
case 'r': // 1 string to match.
if (memcmp(Mnemonic.data()+1, "etn", 3) != 0)
break;
Mnemonic = "ret"; // "retn"
return;
case 's': // 2 strings to match.
switch (Mnemonic[1]) {
default: break;
case 'g': // 1 string to match.
if (memcmp(Mnemonic.data()+2, "dt", 2) != 0)
break;
if (Features.test(Feature_In16BitModeBit)) // "sgdt"
Mnemonic = "sgdtw";
else if (Features.test(Feature_In32BitModeBit))
Mnemonic = "sgdtd";
return;
case 'i': // 1 string to match.
if (memcmp(Mnemonic.data()+2, "dt", 2) != 0)
break;
if (Features.test(Feature_In16BitModeBit)) // "sidt"
Mnemonic = "sidtw";
else if (Features.test(Feature_In32BitModeBit))
Mnemonic = "sidtd";
return;
}
break;
}
break;
case 5: // 6 strings to match.
switch (Mnemonic[0]) {
default: break;
case 'c': // 2 strings to match.
if (memcmp(Mnemonic.data()+1, "mov", 3) != 0)
break;
switch (Mnemonic[4]) {
default: break;
case 'c': // 1 string to match.
Mnemonic = "cmovb"; // "cmovc"
return;
case 'z': // 1 string to match.
Mnemonic = "cmove"; // "cmovz"
return;
}
break;
case 'p': // 4 strings to match.
switch (Mnemonic[1]) {
default: break;
case 'o': // 2 strings to match.
if (Mnemonic[2] != 'p')
break;
switch (Mnemonic[3]) {
default: break;
case 'a': // 1 string to match.
if (Mnemonic[4] != 'd')
break;
if (Features.test(Feature_Not64BitModeBit)) // "popad"
Mnemonic = "popal";
return;
case 'f': // 1 string to match.
if (Mnemonic[4] != 'w')
break;
if (Features.test(Feature_In32BitModeBit)) // "popfw"
Mnemonic = "popf";
else if (Features.test(Feature_In64BitModeBit))
Mnemonic = "popf";
return;
}
break;
case 'u': // 2 strings to match.
if (memcmp(Mnemonic.data()+2, "sh", 2) != 0)
break;
switch (Mnemonic[4]) {
default: break;
case 'a': // 1 string to match.
if (Features.test(Feature_In16BitModeBit)) // "pusha"
Mnemonic = "pushaw";
else if (Features.test(Feature_In32BitModeBit))
Mnemonic = "pushal";
return;
case 'f': // 1 string to match.
if (Features.test(Feature_In64BitModeBit)) // "pushf"
Mnemonic = "pushfq";
return;
}
break;
}
break;
}
break;
case 6: // 10 strings to match.
switch (Mnemonic[0]) {
default: break;
case 'c': // 8 strings to match.
if (memcmp(Mnemonic.data()+1, "mov", 3) != 0)
break;
switch (Mnemonic[4]) {
default: break;
case 'n': // 6 strings to match.
switch (Mnemonic[5]) {
default: break;
case 'a': // 1 string to match.
Mnemonic = "cmovbe"; // "cmovna"
return;
case 'b': // 1 string to match.
Mnemonic = "cmovae"; // "cmovnb"
return;
case 'c': // 1 string to match.
Mnemonic = "cmovae"; // "cmovnc"
return;
case 'g': // 1 string to match.
Mnemonic = "cmovle"; // "cmovng"
return;
case 'l': // 1 string to match.
Mnemonic = "cmovge"; // "cmovnl"
return;
case 'z': // 1 string to match.
Mnemonic = "cmovne"; // "cmovnz"
return;
}
break;
case 'p': // 2 strings to match.
switch (Mnemonic[5]) {
default: break;
case 'e': // 1 string to match.
Mnemonic = "cmovp"; // "cmovpe"
return;
case 'o': // 1 string to match.
Mnemonic = "cmovnp"; // "cmovpo"
return;
}
break;
}
break;
case 'p': // 2 strings to match.
if (memcmp(Mnemonic.data()+1, "ush", 3) != 0)
break;
switch (Mnemonic[4]) {
default: break;
case 'a': // 1 string to match.
if (Mnemonic[5] != 'd')
break;
if (Features.test(Feature_Not64BitModeBit)) // "pushad"
Mnemonic = "pushal";
return;
case 'f': // 1 string to match.
if (Mnemonic[5] != 'w')
break;
if (Features.test(Feature_In32BitModeBit)) // "pushfw"
Mnemonic = "pushf";
else if (Features.test(Feature_In64BitModeBit))
Mnemonic = "pushf";
return;
}
break;
}
break;
case 7: // 6 strings to match.
switch (Mnemonic[0]) {
default: break;
case 'a': // 1 string to match.
if (memcmp(Mnemonic.data()+1, "cquire", 6) != 0)
break;
Mnemonic = "xacquire"; // "acquire"
return;
case 'c': // 4 strings to match.
if (memcmp(Mnemonic.data()+1, "movn", 4) != 0)
break;
switch (Mnemonic[5]) {
default: break;
case 'a': // 1 string to match.
if (Mnemonic[6] != 'e')
break;
Mnemonic = "cmovb"; // "cmovnae"
return;
case 'b': // 1 string to match.
if (Mnemonic[6] != 'e')
break;
Mnemonic = "cmova"; // "cmovnbe"
return;
case 'g': // 1 string to match.
if (Mnemonic[6] != 'e')
break;
Mnemonic = "cmovl"; // "cmovnge"
return;
case 'l': // 1 string to match.
if (Mnemonic[6] != 'e')
break;
Mnemonic = "cmovg"; // "cmovnle"
return;
}
break;
case 'r': // 1 string to match.
if (memcmp(Mnemonic.data()+1, "elease", 6) != 0)
break;
Mnemonic = "xrelease"; // "release"
return;
}
break;
}
break;
}
switch (Mnemonic.size()) {
default: break;
case 2: // 2 strings to match.
if (Mnemonic[0] != 'j')
break;
switch (Mnemonic[1]) {
default: break;
case 'c': // 1 string to match.
Mnemonic = "jb"; // "jc"
return;
case 'z': // 1 string to match.
Mnemonic = "je"; // "jz"
return;
}
break;
case 3: // 8 strings to match.
if (Mnemonic[0] != 'j')
break;
switch (Mnemonic[1]) {
default: break;
case 'n': // 6 strings to match.
switch (Mnemonic[2]) {
default: break;
case 'a': // 1 string to match.
Mnemonic = "jbe"; // "jna"
return;
case 'b': // 1 string to match.
Mnemonic = "jae"; // "jnb"
return;
case 'c': // 1 string to match.
Mnemonic = "jae"; // "jnc"
return;
case 'g': // 1 string to match.
Mnemonic = "jle"; // "jng"
return;
case 'l': // 1 string to match.
Mnemonic = "jge"; // "jnl"
return;
case 'z': // 1 string to match.
Mnemonic = "jne"; // "jnz"
return;
}
break;
case 'p': // 2 strings to match.
switch (Mnemonic[2]) {
default: break;
case 'e': // 1 string to match.
Mnemonic = "jp"; // "jpe"
return;
case 'o': // 1 string to match.
Mnemonic = "jnp"; // "jpo"
return;
}
break;
}
break;
case 4: // 8 strings to match.
switch (Mnemonic[0]) {
default: break;
case 'j': // 4 strings to match.
if (Mnemonic[1] != 'n')
break;
switch (Mnemonic[2]) {
default: break;
case 'a': // 1 string to match.
if (Mnemonic[3] != 'e')
break;
Mnemonic = "jb"; // "jnae"
return;
case 'b': // 1 string to match.
if (Mnemonic[3] != 'e')
break;
Mnemonic = "ja"; // "jnbe"
return;
case 'g': // 1 string to match.
if (Mnemonic[3] != 'e')
break;
Mnemonic = "jl"; // "jnge"
return;
case 'l': // 1 string to match.
if (Mnemonic[3] != 'e')
break;
Mnemonic = "jg"; // "jnle"
return;
}
break;
case 'r': // 2 strings to match.
if (memcmp(Mnemonic.data()+1, "ep", 2) != 0)
break;
switch (Mnemonic[3]) {
default: break;
case 'e': // 1 string to match.
Mnemonic = "rep"; // "repe"
return;
case 'z': // 1 string to match.
Mnemonic = "rep"; // "repz"
return;
}
break;
case 's': // 2 strings to match.
if (memcmp(Mnemonic.data()+1, "et", 2) != 0)
break;
switch (Mnemonic[3]) {
default: break;
case 'c': // 1 string to match.
Mnemonic = "setb"; // "setc"
return;
case 'z': // 1 string to match.
Mnemonic = "sete"; // "setz"
return;
}
break;
}
break;
case 5: // 11 strings to match.
switch (Mnemonic[0]) {
default: break;
case 'f': // 1 string to match.
if (memcmp(Mnemonic.data()+1, "wait", 4) != 0)
break;
Mnemonic = "wait"; // "fwait"
return;
case 'l': // 1 string to match.
if (memcmp(Mnemonic.data()+1, "oopz", 4) != 0)
break;
Mnemonic = "loope"; // "loopz"
return;
case 'r': // 1 string to match.
if (memcmp(Mnemonic.data()+1, "epnz", 4) != 0)
break;
Mnemonic = "repne"; // "repnz"
return;
case 's': // 8 strings to match.
if (memcmp(Mnemonic.data()+1, "et", 2) != 0)
break;
switch (Mnemonic[3]) {
default: break;
case 'n': // 6 strings to match.
switch (Mnemonic[4]) {
default: break;
case 'a': // 1 string to match.
Mnemonic = "setbe"; // "setna"
return;
case 'b': // 1 string to match.
Mnemonic = "setae"; // "setnb"
return;
case 'c': // 1 string to match.
Mnemonic = "setae"; // "setnc"
return;
case 'g': // 1 string to match.
Mnemonic = "setle"; // "setng"
return;
case 'l': // 1 string to match.
Mnemonic = "setge"; // "setnl"
return;
case 'z': // 1 string to match.
Mnemonic = "setne"; // "setnz"
return;
}
break;
case 'p': // 2 strings to match.
switch (Mnemonic[4]) {
default: break;
case 'e': // 1 string to match.
Mnemonic = "setp"; // "setpe"
return;
case 'o': // 1 string to match.
Mnemonic = "setnp"; // "setpo"
return;
}
break;
}
break;
}
break;
case 6: // 6 strings to match.
switch (Mnemonic[0]) {
default: break;
case 'f': // 1 string to match.
if (memcmp(Mnemonic.data()+1, "comip", 5) != 0)
break;
Mnemonic = "fcompi"; // "fcomip"
return;
case 'l': // 1 string to match.
if (memcmp(Mnemonic.data()+1, "oopnz", 5) != 0)
break;
Mnemonic = "loopne"; // "loopnz"
return;
case 's': // 4 strings to match.
if (memcmp(Mnemonic.data()+1, "etn", 3) != 0)
break;
switch (Mnemonic[4]) {
default: break;
case 'a': // 1 string to match.
if (Mnemonic[5] != 'e')
break;
Mnemonic = "setb"; // "setnae"
return;
case 'b': // 1 string to match.
if (Mnemonic[5] != 'e')
break;
Mnemonic = "seta"; // "setnbe"
return;
case 'g': // 1 string to match.
if (Mnemonic[5] != 'e')
break;
Mnemonic = "setl"; // "setnge"
return;
case 'l': // 1 string to match.
if (Mnemonic[5] != 'e')
break;
Mnemonic = "setg"; // "setnle"
return;
}
break;
}
break;
case 7: // 1 string to match.
if (memcmp(Mnemonic.data()+0, "fucomip", 7) != 0)
break;
Mnemonic = "fucompi"; // "fucomip"
return;
}
}
enum {
Tie0_1_1,
Tie0_2_2,
Tie0_3_3,
Tie0_4_4,
Tie1_1_1,
Tie1_2_2,
Tie1_3_3,
Tie1_4_4,
};
static const uint8_t TiedAsmOperandTable[][3] = {
/* Tie0_1_1 */ { 0, 1, 1 },
/* Tie0_2_2 */ { 0, 2, 2 },
/* Tie0_3_3 */ { 0, 3, 3 },
/* Tie0_4_4 */ { 0, 4, 4 },
/* Tie1_1_1 */ { 1, 1, 1 },
/* Tie1_2_2 */ { 1, 2, 2 },
/* Tie1_3_3 */ { 1, 3, 3 },
/* Tie1_4_4 */ { 1, 4, 4 },
};
namespace {
enum OperatorConversionKind {
CVT_Done,
CVT_Reg,
CVT_Tied,
CVT_imm_95_10,
CVT_95_addImmOperands,
CVT_95_addMemOperands,
CVT_95_Reg,
CVT_regAX,
CVT_regEAX,
CVT_regRAX,
CVT_95_addAbsMemOperands,
CVT_imm_95_7,
CVT_imm_95_3,
CVT_imm_95_2,
CVT_imm_95_6,
CVT_imm_95_4,
CVT_imm_95_15,
CVT_imm_95_13,
CVT_imm_95_12,
CVT_imm_95_14,
CVT_imm_95_5,
CVT_imm_95_1,
CVT_imm_95_11,
CVT_imm_95_9,
CVT_imm_95_0,
CVT_imm_95_8,
CVT_95_addDstIdxOperands,
CVT_95_addSrcIdxOperands,
CVT_95_addGR32orGR64Operands,
CVT_regST1,
CVT_regST0,
CVT_95_addGR16orGR32orGR64Operands,
CVT_95_addMemOffsOperands,
CVT_imm_95_17,
CVT_imm_95_16,
CVT_95_addAVX512RCOperands,
CVT_95_addMaskPairOperands,
CVT_NUM_CONVERTERS
};
enum InstructionConversionKind {
Convert_NoOperands,
Convert__imm_95_10,
Convert__Imm1_0,
Convert__Mem325_0__Reg1_1,
Convert__Mem645_0__Reg1_1,
Convert__Mem325_1__Reg1_0,
Convert__Mem645_1__Reg1_0,
Convert__Imm1_1,
Convert__regAX__Tie0_1_1__ImmSExti16i81_1,
Convert__regEAX__Tie0_1_1__ImmSExti32i81_1,
Convert__regRAX__Tie0_1_1__ImmSExti64i81_1,
Convert__ImmSExti64i321_1,
Convert__Reg1_0__Tie0_1_1__Reg1_1,
Convert__Reg1_0__Tie0_1_1__ImmSExti16i81_1,
Convert__Reg1_0__Tie0_1_1__Imm1_1,
Convert__Reg1_0__Tie0_1_1__Mem165_1,
Convert__Reg1_0__Tie0_1_1__ImmSExti32i81_1,
Convert__Reg1_0__Tie0_1_1__Mem325_1,
Convert__Reg1_0__Tie0_1_1__ImmSExti64i81_1,
Convert__Reg1_0__Tie0_1_1__ImmSExti64i321_1,
Convert__Reg1_0__Tie0_1_1__Mem645_1,
Convert__Reg1_0__Tie0_1_1__Mem85_1,
Convert__Mem165_0__Reg1_1,
Convert__Mem165_0__ImmSExti16i81_1,
Convert__Mem165_0__Imm1_1,
Convert__Mem325_0__ImmSExti32i81_1,
Convert__Mem325_0__Imm1_1,
Convert__Mem645_0__ImmSExti64i81_1,
Convert__Mem645_0__ImmSExti64i321_1,
Convert__Mem85_0__Reg1_1,
Convert__Mem85_0__Imm1_1,
Convert__Reg1_1__Tie0_2_2__Reg1_0,
Convert__Mem85_1__Reg1_0,
Convert__Reg1_1__Tie0_2_2__Imm1_0,
Convert__Mem85_1__Imm1_0,
Convert__Reg1_1__Tie0_2_2__Mem85_0,
Convert__regEAX__Tie0_1_1__ImmSExti32i81_0,
Convert__Reg1_1__Tie0_2_2__ImmSExti32i81_0,
Convert__Mem325_1__ImmSExti32i81_0,
Convert__Mem325_1__Imm1_0,
Convert__Reg1_1__Tie0_2_2__Mem325_0,
Convert__regRAX__Tie0_1_1__ImmSExti64i81_0,
Convert__Reg1_1__Tie0_2_2__ImmSExti64i81_0,
Convert__Mem645_1__ImmSExti64i81_0,
Convert__ImmSExti64i321_0,
Convert__Reg1_1__Tie0_2_2__ImmSExti64i321_0,
Convert__Mem645_1__ImmSExti64i321_0,
Convert__Reg1_1__Tie0_2_2__Mem645_0,
Convert__Mem165_1__Reg1_0,
Convert__regAX__Tie0_1_1__ImmSExti16i81_0,
Convert__Reg1_1__Tie0_2_2__ImmSExti16i81_0,
Convert__Mem165_1__ImmSExti16i81_0,
Convert__Mem165_1__Imm1_0,
Convert__Reg1_1__Tie0_2_2__Mem165_0,
Convert__Reg1_1__Tie0_1_1__Reg1_0,
Convert__Reg1_1__Tie0_1_1__Mem325_0,
Convert__Reg1_1__Tie0_1_1__Mem645_0,
Convert__Reg1_0__Tie0_1_1__Mem1285_1,
Convert__Reg1_1__Tie0_1_1__Mem1285_0,
Convert__Reg1_0__Tie0_1_1__Mem5_1,
Convert__Reg1_1__Tie0_2_2__Mem5_0,
Convert__Mem5_0,
Convert__Reg1_1__Reg1_0,
Convert__Reg1_0__Reg1_1,
Convert__Reg1_0__Mem1285_1,
Convert__Reg1_1__Mem1285_0,
Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2,
Convert__Reg1_0__Mem1285_1__ImmUnsignedi81_2,
Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0,
Convert__Reg1_2__Mem1285_1__ImmUnsignedi81_0,
Convert__Reg1_0__Reg1_1__Reg1_2,
Convert__Reg1_0__Reg1_1__Mem325_2,
Convert__Reg1_0__Reg1_1__Mem645_2,
Convert__Reg1_2__Reg1_1__Reg1_0,
Convert__Reg1_2__Reg1_1__Mem325_0,
Convert__Reg1_2__Reg1_1__Mem645_0,
Convert__Reg1_0__Reg1_1__Imm1_2,
Convert__Reg1_0__Mem325_1__Reg1_2,
Convert__Reg1_0__Mem325_1__Imm1_2,
Convert__Reg1_0__Reg1_1__ImmSExti64i321_2,
Convert__Reg1_0__Mem645_1__Reg1_2,
Convert__Reg1_0__Mem645_1__ImmSExti64i321_2,
Convert__Reg1_2__Mem325_1__Reg1_0,
Convert__Reg1_2__Reg1_1__Imm1_0,
Convert__Reg1_2__Mem325_1__Imm1_0,
Convert__Reg1_2__Mem645_1__Reg1_0,
Convert__Reg1_2__Reg1_1__ImmSExti64i321_0,
Convert__Reg1_2__Mem645_1__ImmSExti64i321_0,
Convert__Reg1_0__Mem325_1,
Convert__Reg1_0__Mem645_1,
Convert__Reg1_1__Mem325_0,
Convert__Reg1_1__Mem645_0,
Convert__Reg1_0__Tie0_1_1__Reg1_1__ImmUnsignedi81_2,
Convert__Reg1_0__Tie0_1_1__Mem1285_1__ImmUnsignedi81_2,
Convert__Reg1_2__Tie0_1_1__Reg1_1__ImmUnsignedi81_0,
Convert__Reg1_2__Tie0_1_1__Mem1285_1__ImmUnsignedi81_0,
Convert__Reg1_1__Tie0_2_2__Mem1285_0,
Convert__Reg1_2__Tie0_1_1__Reg1_1,
Convert__Reg1_2__Tie0_1_1__Mem1285_1,
Convert__Reg1_0__Mem165_1,
Convert__Reg1_1__Mem165_0,
Convert__Reg1_0__Tie0_1_1,
Convert__Reg1_0__ImmUnsignedi81_1,
Convert__Mem325_1__ImmUnsignedi81_0,
Convert__Mem165_0__ImmUnsignedi81_1,
Convert__Mem325_0__ImmUnsignedi81_1,
Convert__Mem645_0__ImmUnsignedi81_1,
Convert__Reg1_0__Tie0_1_1__ImmUnsignedi81_1,
Convert__Reg1_1__Tie0_2_2__ImmUnsignedi81_0,
Convert__Mem645_1__ImmUnsignedi81_0,
Convert__Mem165_1__ImmUnsignedi81_0,
Convert__Reg1_1__ImmUnsignedi81_0,
Convert__Reg1_0,
Convert__AbsMem1_0,
Convert__Mem165_0,
Convert__Mem325_0,
Convert__Mem645_0,
Convert__Imm1_1__Imm1_0,
Convert__Reg1_1,
Convert__Mem325_1,
Convert__Mem645_1,
Convert__Mem165_1,
Convert__Mem85_0,
Convert__Reg1_0__Tie0_1_1__Reg1_0,
Convert__Reg1_0__Tie0_1_1__Reg1_1__imm_95_7,
Convert__Reg1_0__Tie0_1_1__Mem165_1__imm_95_7,
Convert__Reg1_0__Tie0_1_1__Mem325_1__imm_95_7,
Convert__Reg1_0__Tie0_1_1__Mem645_1__imm_95_7,
Convert__Reg1_0__Tie0_1_1__Reg1_1__imm_95_3,
Convert__Reg1_0__Tie0_1_1__Mem165_1__imm_95_3,
Convert__Reg1_0__Tie0_1_1__Mem325_1__imm_95_3,
Convert__Reg1_0__Tie0_1_1__Mem645_1__imm_95_3,
Convert__Reg1_1__Tie0_2_2__Reg1_0__imm_95_3,
Convert__Reg1_1__Tie0_2_2__Mem325_0__imm_95_3,
Convert__Reg1_1__Tie0_2_2__Mem645_0__imm_95_3,
Convert__Reg1_1__Tie0_2_2__Mem165_0__imm_95_3,
Convert__Reg1_1__Tie0_2_2__Reg1_0__imm_95_7,
Convert__Reg1_1__Tie0_2_2__Mem325_0__imm_95_7,
Convert__Reg1_1__Tie0_2_2__Mem645_0__imm_95_7,
Convert__Reg1_1__Tie0_2_2__Mem165_0__imm_95_7,
Convert__Reg1_0__Tie0_1_1__Reg1_1__imm_95_2,
Convert__Reg1_0__Tie0_1_1__Mem165_1__imm_95_2,
Convert__Reg1_0__Tie0_1_1__Mem325_1__imm_95_2,
Convert__Reg1_0__Tie0_1_1__Mem645_1__imm_95_2,
Convert__Reg1_0__Tie0_1_1__Reg1_1__imm_95_6,
Convert__Reg1_0__Tie0_1_1__Mem165_1__imm_95_6,
Convert__Reg1_0__Tie0_1_1__Mem325_1__imm_95_6,
Convert__Reg1_0__Tie0_1_1__Mem645_1__imm_95_6,
Convert__Reg1_1__Tie0_2_2__Reg1_0__imm_95_6,
Convert__Reg1_1__Tie0_2_2__Mem325_0__imm_95_6,
Convert__Reg1_1__Tie0_2_2__Mem645_0__imm_95_6,
Convert__Reg1_1__Tie0_2_2__Mem165_0__imm_95_6,
Convert__Reg1_1__Tie0_2_2__Reg1_0__imm_95_2,
Convert__Reg1_1__Tie0_2_2__Mem325_0__imm_95_2,
Convert__Reg1_1__Tie0_2_2__Mem645_0__imm_95_2,
Convert__Reg1_1__Tie0_2_2__Mem165_0__imm_95_2,
Convert__Reg1_0__Tie0_1_1__Reg1_1__imm_95_4,
Convert__Reg1_0__Tie0_1_1__Mem165_1__imm_95_4,
Convert__Reg1_0__Tie0_1_1__Mem325_1__imm_95_4,
Convert__Reg1_0__Tie0_1_1__Mem645_1__imm_95_4,
Convert__Reg1_1__Tie0_2_2__Reg1_0__imm_95_4,
Convert__Reg1_1__Tie0_2_2__Mem325_0__imm_95_4,
Convert__Reg1_1__Tie0_2_2__Mem645_0__imm_95_4,
Convert__Reg1_1__Tie0_2_2__Mem165_0__imm_95_4,
Convert__Reg1_0__Tie0_1_1__Reg1_1__imm_95_15,
Convert__Reg1_0__Tie0_1_1__Mem165_1__imm_95_15,
Convert__Reg1_0__Tie0_1_1__Mem325_1__imm_95_15,
Convert__Reg1_0__Tie0_1_1__Mem645_1__imm_95_15,
Convert__Reg1_0__Tie0_1_1__Reg1_1__imm_95_13,
Convert__Reg1_0__Tie0_1_1__Mem165_1__imm_95_13,
Convert__Reg1_0__Tie0_1_1__Mem325_1__imm_95_13,
Convert__Reg1_0__Tie0_1_1__Mem645_1__imm_95_13,
Convert__Reg1_1__Tie0_2_2__Reg1_0__imm_95_13,
Convert__Reg1_1__Tie0_2_2__Mem325_0__imm_95_13,
Convert__Reg1_1__Tie0_2_2__Mem645_0__imm_95_13,
Convert__Reg1_1__Tie0_2_2__Mem165_0__imm_95_13,
Convert__Reg1_1__Tie0_2_2__Reg1_0__imm_95_15,
Convert__Reg1_1__Tie0_2_2__Mem325_0__imm_95_15,
Convert__Reg1_1__Tie0_2_2__Mem645_0__imm_95_15,
Convert__Reg1_1__Tie0_2_2__Mem165_0__imm_95_15,
Convert__Reg1_0__Tie0_1_1__Reg1_1__imm_95_12,
Convert__Reg1_0__Tie0_1_1__Mem165_1__imm_95_12,
Convert__Reg1_0__Tie0_1_1__Mem325_1__imm_95_12,
Convert__Reg1_0__Tie0_1_1__Mem645_1__imm_95_12,
Convert__Reg1_0__Tie0_1_1__Reg1_1__imm_95_14,
Convert__Reg1_0__Tie0_1_1__Mem165_1__imm_95_14,
Convert__Reg1_0__Tie0_1_1__Mem325_1__imm_95_14,
Convert__Reg1_0__Tie0_1_1__Mem645_1__imm_95_14,
Convert__Reg1_1__Tie0_2_2__Reg1_0__imm_95_14,
Convert__Reg1_1__Tie0_2_2__Mem325_0__imm_95_14,
Convert__Reg1_1__Tie0_2_2__Mem645_0__imm_95_14,
Convert__Reg1_1__Tie0_2_2__Mem165_0__imm_95_14,
Convert__Reg1_1__Tie0_2_2__Reg1_0__imm_95_12,
Convert__Reg1_1__Tie0_2_2__Mem325_0__imm_95_12,
Convert__Reg1_1__Tie0_2_2__Mem645_0__imm_95_12,
Convert__Reg1_1__Tie0_2_2__Mem165_0__imm_95_12,
Convert__Reg1_0__Tie0_1_1__Reg1_1__imm_95_5,
Convert__Reg1_0__Tie0_1_1__Mem165_1__imm_95_5,
Convert__Reg1_0__Tie0_1_1__Mem325_1__imm_95_5,
Convert__Reg1_0__Tie0_1_1__Mem645_1__imm_95_5,
Convert__Reg1_1__Tie0_2_2__Reg1_0__imm_95_5,
Convert__Reg1_1__Tie0_2_2__Mem325_0__imm_95_5,
Convert__Reg1_1__Tie0_2_2__Mem645_0__imm_95_5,
Convert__Reg1_1__Tie0_2_2__Mem165_0__imm_95_5,
Convert__Reg1_0__Tie0_1_1__Reg1_1__imm_95_1,
Convert__Reg1_0__Tie0_1_1__Mem165_1__imm_95_1,
Convert__Reg1_0__Tie0_1_1__Mem325_1__imm_95_1,
Convert__Reg1_0__Tie0_1_1__Mem645_1__imm_95_1,
Convert__Reg1_1__Tie0_2_2__Reg1_0__imm_95_1,
Convert__Reg1_1__Tie0_2_2__Mem325_0__imm_95_1,
Convert__Reg1_1__Tie0_2_2__Mem645_0__imm_95_1,
Convert__Reg1_1__Tie0_2_2__Mem165_0__imm_95_1,
Convert__Reg1_0__Tie0_1_1__Reg1_1__imm_95_11,
Convert__Reg1_0__Tie0_1_1__Mem165_1__imm_95_11,
Convert__Reg1_0__Tie0_1_1__Mem325_1__imm_95_11,
Convert__Reg1_0__Tie0_1_1__Mem645_1__imm_95_11,
Convert__Reg1_1__Tie0_2_2__Reg1_0__imm_95_11,
Convert__Reg1_1__Tie0_2_2__Mem325_0__imm_95_11,
Convert__Reg1_1__Tie0_2_2__Mem645_0__imm_95_11,
Convert__Reg1_1__Tie0_2_2__Mem165_0__imm_95_11,
Convert__Reg1_0__Tie0_1_1__Reg1_1__imm_95_9,
Convert__Reg1_0__Tie0_1_1__Mem165_1__imm_95_9,
Convert__Reg1_0__Tie0_1_1__Mem325_1__imm_95_9,
Convert__Reg1_0__Tie0_1_1__Mem645_1__imm_95_9,
Convert__Reg1_1__Tie0_2_2__Reg1_0__imm_95_9,
Convert__Reg1_1__Tie0_2_2__Mem325_0__imm_95_9,
Convert__Reg1_1__Tie0_2_2__Mem645_0__imm_95_9,
Convert__Reg1_1__Tie0_2_2__Mem165_0__imm_95_9,
Convert__Reg1_0__Tie0_1_1__Reg1_1__imm_95_0,
Convert__Reg1_0__Tie0_1_1__Mem165_1__imm_95_0,
Convert__Reg1_0__Tie0_1_1__Mem325_1__imm_95_0,
Convert__Reg1_0__Tie0_1_1__Mem645_1__imm_95_0,
Convert__Reg1_1__Tie0_2_2__Reg1_0__imm_95_0,
Convert__Reg1_1__Tie0_2_2__Mem325_0__imm_95_0,
Convert__Reg1_1__Tie0_2_2__Mem645_0__imm_95_0,
Convert__Reg1_1__Tie0_2_2__Mem165_0__imm_95_0,
Convert__Reg1_0__Tie0_1_1__Reg1_1__imm_95_10,
Convert__Reg1_0__Tie0_1_1__Mem165_1__imm_95_10,
Convert__Reg1_0__Tie0_1_1__Mem325_1__imm_95_10,
Convert__Reg1_0__Tie0_1_1__Mem645_1__imm_95_10,
Convert__Reg1_1__Tie0_2_2__Reg1_0__imm_95_10,
Convert__Reg1_1__Tie0_2_2__Mem325_0__imm_95_10,
Convert__Reg1_1__Tie0_2_2__Mem645_0__imm_95_10,
Convert__Reg1_1__Tie0_2_2__Mem165_0__imm_95_10,
Convert__Reg1_0__Tie0_1_1__Reg1_1__imm_95_8,
Convert__Reg1_0__Tie0_1_1__Mem165_1__imm_95_8,
Convert__Reg1_0__Tie0_1_1__Mem325_1__imm_95_8,
Convert__Reg1_0__Tie0_1_1__Mem645_1__imm_95_8,
Convert__Reg1_1__Tie0_2_2__Reg1_0__imm_95_8,
Convert__Reg1_1__Tie0_2_2__Mem325_0__imm_95_8,
Convert__Reg1_1__Tie0_2_2__Mem645_0__imm_95_8,
Convert__Reg1_1__Tie0_2_2__Mem165_0__imm_95_8,
Convert__regAX__ImmSExti16i81_1,
Convert__regEAX__ImmSExti32i81_1,
Convert__regRAX__ImmSExti64i81_1,
Convert__Reg1_0__ImmSExti16i81_1,
Convert__Reg1_0__Imm1_1,
Convert__Reg1_0__ImmSExti32i81_1,
Convert__Reg1_0__ImmSExti64i81_1,
Convert__Reg1_0__ImmSExti64i321_1,
Convert__Reg1_0__Mem85_1,
Convert__Reg1_1__Tie0_2_2__Mem325_2__Reg1_0__imm_95_3,
Convert__Reg1_1__Tie0_2_2__Mem645_2__Reg1_0__imm_95_3,
Convert__Reg1_1__Tie0_2_2__Mem325_0__Reg1_2__imm_95_3,
Convert__Reg1_1__Tie0_2_2__Mem645_0__Reg1_2__imm_95_3,
Convert__Reg1_1__Tie0_2_2__Mem325_2__Reg1_0__imm_95_7,
Convert__Reg1_1__Tie0_2_2__Mem645_2__Reg1_0__imm_95_7,
Convert__Reg1_1__Tie0_2_2__Mem325_0__Reg1_2__imm_95_7,
Convert__Reg1_1__Tie0_2_2__Mem645_0__Reg1_2__imm_95_7,
Convert__Reg1_1__Imm1_0,
Convert__Reg1_1__Mem85_0,
Convert__Reg1_1__Tie0_2_2__Mem325_2__Reg1_0__imm_95_6,
Convert__Reg1_1__Tie0_2_2__Mem645_2__Reg1_0__imm_95_6,
Convert__Reg1_1__Tie0_2_2__Mem325_0__Reg1_2__imm_95_6,
Convert__Reg1_1__Tie0_2_2__Mem645_0__Reg1_2__imm_95_6,
Convert__Reg1_1__Tie0_2_2__Mem325_2__Reg1_0__imm_95_2,
Convert__Reg1_1__Tie0_2_2__Mem645_2__Reg1_0__imm_95_2,
Convert__Reg1_1__Tie0_2_2__Mem325_0__Reg1_2__imm_95_2,
Convert__Reg1_1__Tie0_2_2__Mem645_0__Reg1_2__imm_95_2,
Convert__Reg1_1__Tie0_2_2__Mem325_2__Reg1_0__imm_95_4,
Convert__Reg1_1__Tie0_2_2__Mem645_2__Reg1_0__imm_95_4,
Convert__Reg1_1__Tie0_2_2__Mem325_0__Reg1_2__imm_95_4,
Convert__Reg1_1__Tie0_2_2__Mem645_0__Reg1_2__imm_95_4,
Convert__Reg1_1__Tie0_2_2__Mem325_2__Reg1_0__imm_95_13,
Convert__Reg1_1__Tie0_2_2__Mem645_2__Reg1_0__imm_95_13,
Convert__Reg1_1__Tie0_2_2__Mem325_0__Reg1_2__imm_95_13,
Convert__Reg1_1__Tie0_2_2__Mem645_0__Reg1_2__imm_95_13,
Convert__Reg1_1__Tie0_2_2__Mem325_2__Reg1_0__imm_95_15,
Convert__Reg1_1__Tie0_2_2__Mem645_2__Reg1_0__imm_95_15,
Convert__Reg1_1__Tie0_2_2__Mem325_0__Reg1_2__imm_95_15,
Convert__Reg1_1__Tie0_2_2__Mem645_0__Reg1_2__imm_95_15,
Convert__regEAX__ImmSExti32i81_0,
Convert__Reg1_1__ImmSExti32i81_0,
Convert__Reg1_1__Tie0_2_2__Mem325_2__Reg1_0__imm_95_14,
Convert__Reg1_1__Tie0_2_2__Mem645_2__Reg1_0__imm_95_14,
Convert__Reg1_1__Tie0_2_2__Mem325_0__Reg1_2__imm_95_14,
Convert__Reg1_1__Tie0_2_2__Mem645_0__Reg1_2__imm_95_14,
Convert__Reg1_1__Tie0_2_2__Mem325_2__Reg1_0__imm_95_12,
Convert__Reg1_1__Tie0_2_2__Mem645_2__Reg1_0__imm_95_12,
Convert__Reg1_1__Tie0_2_2__Mem325_0__Reg1_2__imm_95_12,
Convert__Reg1_1__Tie0_2_2__Mem645_0__Reg1_2__imm_95_12,
Convert__Reg1_1__Tie0_2_2__Mem325_2__Reg1_0__imm_95_5,
Convert__Reg1_1__Tie0_2_2__Mem645_2__Reg1_0__imm_95_5,
Convert__Reg1_1__Tie0_2_2__Mem325_0__Reg1_2__imm_95_5,
Convert__Reg1_1__Tie0_2_2__Mem645_0__Reg1_2__imm_95_5,
Convert__Reg1_1__Tie0_2_2__Mem325_2__Reg1_0__imm_95_1,
Convert__Reg1_1__Tie0_2_2__Mem645_2__Reg1_0__imm_95_1,
Convert__Reg1_1__Tie0_2_2__Mem325_0__Reg1_2__imm_95_1,
Convert__Reg1_1__Tie0_2_2__Mem645_0__Reg1_2__imm_95_1,
Convert__Reg1_1__Tie0_2_2__Mem325_2__Reg1_0__imm_95_11,
Convert__Reg1_1__Tie0_2_2__Mem645_2__Reg1_0__imm_95_11,
Convert__Reg1_1__Tie0_2_2__Mem325_0__Reg1_2__imm_95_11,
Convert__Reg1_1__Tie0_2_2__Mem645_0__Reg1_2__imm_95_11,
Convert__Reg1_1__Tie0_2_2__Mem325_2__Reg1_0__imm_95_9,
Convert__Reg1_1__Tie0_2_2__Mem645_2__Reg1_0__imm_95_9,
Convert__Reg1_1__Tie0_2_2__Mem325_0__Reg1_2__imm_95_9,
Convert__Reg1_1__Tie0_2_2__Mem645_0__Reg1_2__imm_95_9,
Convert__Reg1_1__Tie0_2_2__Mem325_2__Reg1_0__imm_95_0,
Convert__Reg1_1__Tie0_2_2__Mem645_2__Reg1_0__imm_95_0,
Convert__Reg1_1__Tie0_2_2__Mem325_0__Reg1_2__imm_95_0,
Convert__Reg1_1__Tie0_2_2__Mem645_0__Reg1_2__imm_95_0,
Convert__Reg1_1__Tie0_2_2__Mem325_2__Reg1_0__imm_95_10,
Convert__Reg1_1__Tie0_2_2__Mem645_2__Reg1_0__imm_95_10,
Convert__Reg1_1__Tie0_2_2__Mem325_0__Reg1_2__imm_95_10,
Convert__Reg1_1__Tie0_2_2__Mem645_0__Reg1_2__imm_95_10,
Convert__regRAX__ImmSExti64i81_0,
Convert__Reg1_1__ImmSExti64i81_0,
Convert__Reg1_1__ImmSExti64i321_0,
Convert__DstIdx161_1__SrcIdx162_0,
Convert__DstIdx321_1__SrcIdx322_0,
Convert__DstIdx641_1__SrcIdx642_0,
Convert__DstIdx81_1__SrcIdx82_0,
Convert__DstIdx81_0__SrcIdx82_1,
Convert__Reg1_0__Tie0_1_1__Mem645_1__ImmUnsignedi81_2,
Convert__Reg1_2__Tie0_1_1__Mem645_1__ImmUnsignedi81_0,
Convert__DstIdx321_0__SrcIdx322_1,
Convert__DstIdx641_0__SrcIdx642_1,
Convert__Reg1_0__Tie0_1_1__Mem325_1__ImmUnsignedi81_2,
Convert__Reg1_2__Tie0_1_1__Mem325_1__ImmUnsignedi81_0,
Convert__DstIdx161_0__SrcIdx162_1,
Convert__Reg1_1__Tie0_2_2__Mem325_2__Reg1_0__imm_95_8,
Convert__Reg1_1__Tie0_2_2__Mem645_2__Reg1_0__imm_95_8,
Convert__Reg1_1__Tie0_2_2__Mem325_0__Reg1_2__imm_95_8,
Convert__Reg1_1__Tie0_2_2__Mem645_0__Reg1_2__imm_95_8,
Convert__regAX__ImmSExti16i81_0,
Convert__Reg1_1__ImmSExti16i81_0,
Convert__Mem1285_0,
Convert__Mem85_1,
Convert__Reg1_0__Mem5125_1,
Convert__Reg1_1__Mem5125_0,
Convert__Imm1_0__Imm1_1,
Convert__GR32orGR641_2__Reg1_1__ImmUnsignedi81_0,
Convert__Mem325_2__Reg1_1__ImmUnsignedi81_0,
Convert__GR32orGR641_0__Reg1_1__ImmUnsignedi81_2,
Convert__Mem325_0__Reg1_1__ImmUnsignedi81_2,
Convert__Reg1_0__Tie0_1_1__ImmUnsignedi81_1__ImmUnsignedi81_2,
Convert__Reg1_2__Tie0_3_3__ImmUnsignedi81_1__ImmUnsignedi81_0,
Convert__regST1,
Convert__regST0,
Convert__Mem805_0,
Convert__ImmUnsignedi81_0,
Convert__Reg1_0__Reg1_0__ImmSExti16i81_1,
Convert__Reg1_0__Reg1_0__Imm1_1,
Convert__Reg1_0__Reg1_0__ImmSExti32i81_1,
Convert__Reg1_0__Reg1_0__ImmSExti64i81_1,
Convert__Reg1_0__Reg1_0__ImmSExti64i321_1,
Convert__Reg1_0__Reg1_1__ImmSExti16i81_2,
Convert__Reg1_0__Mem165_1__ImmSExti16i81_2,
Convert__Reg1_0__Mem165_1__Imm1_2,
Convert__Reg1_0__Reg1_1__ImmSExti32i81_2,
Convert__Reg1_0__Mem325_1__ImmSExti32i81_2,
Convert__Reg1_0__Reg1_1__ImmSExti64i81_2,
Convert__Reg1_0__Mem645_1__ImmSExti64i81_2,
Convert__Reg1_1__Reg1_1__ImmSExti32i81_0,
Convert__Reg1_1__Reg1_1__Imm1_0,
Convert__Reg1_2__Reg1_1__ImmSExti32i81_0,
Convert__Reg1_2__Mem325_1__ImmSExti32i81_0,
Convert__Reg1_1__Reg1_1__ImmSExti64i81_0,
Convert__Reg1_1__Reg1_1__ImmSExti64i321_0,
Convert__Reg1_2__Reg1_1__ImmSExti64i81_0,
Convert__Reg1_2__Mem645_1__ImmSExti64i81_0,
Convert__Reg1_1__Reg1_1__ImmSExti16i81_0,
Convert__Reg1_1__Tie0_1_1__Mem165_0,
Convert__Reg1_2__Reg1_1__ImmSExti16i81_0,
Convert__Reg1_2__Mem165_1__ImmSExti16i81_0,
Convert__Reg1_2__Mem165_1__Imm1_0,
Convert__ImmUnsignedi81_1,
Convert__DstIdx161_0,
Convert__DstIdx321_0,
Convert__DstIdx81_0,
Convert__DstIdx81_1,
Convert__Reg1_0__Tie0_1_1__Reg1_1__ImmUnsignedi81_2__ImmUnsignedi81_3,
Convert__Reg1_3__Tie0_4_4__Reg1_2__ImmUnsignedi81_1__ImmUnsignedi81_0,
Convert__DstIdx321_1,
Convert__DstIdx161_1,
Convert__AbsMem1_0__imm_95_7,
Convert__AbsMem1_0__imm_95_3,
Convert__AbsMem1_0__imm_95_2,
Convert__AbsMem1_0__imm_95_6,
Convert__AbsMem1_0__imm_95_4,
Convert__AbsMem1_0__imm_95_15,
Convert__AbsMem1_0__imm_95_13,
Convert__AbsMem1_0__imm_95_12,
Convert__AbsMem1_0__imm_95_14,
Convert__AbsMem1_0__imm_95_5,
Convert__AbsMem1_0__imm_95_1,
Convert__AbsMem1_0__imm_95_11,
Convert__AbsMem1_0__imm_95_9,
Convert__AbsMem1_0__imm_95_0,
Convert__AbsMem1_0__imm_95_10,
Convert__AbsMem1_0__imm_95_8,
Convert__Reg1_0__GR16orGR32orGR641_1,
Convert__Reg1_1__GR16orGR32orGR641_0,
Convert__Mem5_1,
Convert__Reg1_0__Mem5_1,
Convert__Reg1_1__Mem5_0,
Convert__SrcIdx162_0,
Convert__SrcIdx322_0,
Convert__SrcIdx642_0,
Convert__SrcIdx82_0,
Convert__SrcIdx82_1,
Convert__SrcIdx162_1,
Convert__SrcIdx322_1,
Convert__SrcIdx642_1,
Convert__MemOffs16_82_1,
Convert__MemOffs32_82_1,
Convert__MemOffs16_162_1,
Convert__MemOffs32_162_1,
Convert__MemOffs16_322_1,
Convert__MemOffs32_322_1,
Convert__MemOffs32_642_1,
Convert__MemOffs16_162_0,
Convert__MemOffs16_322_0,
Convert__MemOffs16_82_0,
Convert__MemOffs32_162_0,
Convert__MemOffs32_322_0,
Convert__MemOffs32_642_0,
Convert__MemOffs32_82_0,
Convert__MemOffs64_82_1,
Convert__MemOffs64_162_1,
Convert__MemOffs64_322_1,
Convert__MemOffs64_642_1,
Convert__MemOffs64_162_0,
Convert__MemOffs64_322_0,
Convert__MemOffs64_642_0,
Convert__MemOffs64_82_0,
Convert__Mem1285_1__Reg1_0,
Convert__Mem1285_0__Reg1_1,
Convert__GR32orGR641_1__Reg1_0,
Convert__GR32orGR641_0__Reg1_1,
Convert__Reg1_1__Tie0_2_2__Reg1_0__imm_95_17,
Convert__Reg1_0__Tie0_1_1__Reg1_1__imm_95_17,
Convert__Reg1_0__Tie0_1_1__Mem1285_1__imm_95_17,
Convert__Reg1_1__Tie0_2_2__Mem1285_0__imm_95_17,
Convert__Reg1_0__Tie0_1_1__Mem1285_1__imm_95_1,
Convert__Reg1_1__Tie0_2_2__Mem1285_0__imm_95_1,
Convert__Reg1_1__Tie0_2_2__Reg1_0__imm_95_16,
Convert__Reg1_0__Tie0_1_1__Reg1_1__imm_95_16,
Convert__Reg1_0__Tie0_1_1__Mem1285_1__imm_95_16,
Convert__Reg1_1__Tie0_2_2__Mem1285_0__imm_95_16,
Convert__Reg1_0__Tie0_1_1__Mem1285_1__imm_95_0,
Convert__Reg1_1__Tie0_2_2__Mem1285_0__imm_95_0,
Convert__Mem85_2__Reg1_1__ImmUnsignedi81_0,
Convert__Mem85_0__Reg1_1__ImmUnsignedi81_2,
Convert__Mem645_2__Reg1_1__ImmUnsignedi81_0,
Convert__Mem645_0__Reg1_1__ImmUnsignedi81_2,
Convert__Mem165_2__Reg1_1__ImmUnsignedi81_0,
Convert__Mem165_0__Reg1_1__ImmUnsignedi81_2,
Convert__Reg1_0__Tie0_1_1__GR32orGR641_1__ImmUnsignedi81_2,
Convert__Reg1_0__Tie0_1_1__Mem85_1__ImmUnsignedi81_2,
Convert__Reg1_2__Tie0_1_1__GR32orGR641_1__ImmUnsignedi81_0,
Convert__Reg1_2__Tie0_1_1__Mem85_1__ImmUnsignedi81_0,
Convert__Reg1_0__Tie0_1_1__Mem165_1__ImmUnsignedi81_2,
Convert__Reg1_2__Tie0_1_1__Mem165_1__ImmUnsignedi81_0,
Convert__Reg1_0__Mem645_1__ImmUnsignedi81_2,
Convert__Reg1_2__Mem645_1__ImmUnsignedi81_0,
Convert__Reg1_1__Tie0_1_1__ImmUnsignedi81_0,
Convert__ImmSExti64i81_0,
Convert__ImmSExti16i81_0,
Convert__ImmSExti32i81_0,
Convert__Mem85_0__ImmUnsignedi81_1,
Convert__Reg1_1__Tie0_1_1,
Convert__Mem85_1__ImmUnsignedi81_0,
Convert__Reg1_0__Mem325_1__ImmUnsignedi81_2,
Convert__Reg1_2__Mem325_1__ImmUnsignedi81_0,
Convert__DstIdx641_0,
Convert__DstIdx641_1,
Convert__Reg1_0__imm_95_7,
Convert__Mem85_0__imm_95_7,
Convert__Reg1_0__imm_95_3,
Convert__Mem85_0__imm_95_3,
Convert__Reg1_0__imm_95_2,
Convert__Mem85_0__imm_95_2,
Convert__Reg1_0__imm_95_6,
Convert__Mem85_0__imm_95_6,
Convert__Reg1_0__imm_95_4,
Convert__Mem85_0__imm_95_4,
Convert__Reg1_0__imm_95_15,
Convert__Mem85_0__imm_95_15,
Convert__Reg1_0__imm_95_13,
Convert__Mem85_0__imm_95_13,
Convert__Reg1_0__imm_95_12,
Convert__Mem85_0__imm_95_12,
Convert__Reg1_0__imm_95_14,
Convert__Mem85_0__imm_95_14,
Convert__Reg1_0__imm_95_5,
Convert__Mem85_0__imm_95_5,
Convert__Reg1_0__imm_95_1,
Convert__Mem85_0__imm_95_1,
Convert__Reg1_0__imm_95_11,
Convert__Mem85_0__imm_95_11,
Convert__Reg1_0__imm_95_9,
Convert__Mem85_0__imm_95_9,
Convert__Reg1_0__imm_95_0,
Convert__Mem85_0__imm_95_0,
Convert__Reg1_0__imm_95_10,
Convert__Mem85_0__imm_95_10,
Convert__Reg1_0__imm_95_8,
Convert__Mem85_0__imm_95_8,
Convert__Mem325_2__Reg1_1,
Convert__Mem645_2__Reg1_1,
Convert__Mem165_2__Reg1_1,
Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0,
Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2,
Convert__Reg1_2__Tie0_3_3__Reg1_1__Reg1_0,
Convert__Reg1_0__SibMem5_1,
Convert__Reg1_1__SibMem5_0,
Convert__SibMem5_1__Reg1_0,
Convert__SibMem5_0__Reg1_1,
Convert__GR32orGR641_0,
Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2,
Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0,
Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5,
Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0,
Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem1285_6,
Convert__Reg1_0__Reg1_1__Mem1285_2,
Convert__Reg1_0__Reg1_1__Mem2565_2,
Convert__Reg1_0__Reg1_1__Mem5125_2,
Convert__Reg1_2__Reg1_1__Mem1285_0,
Convert__Reg1_2__Reg1_1__Mem2565_0,
Convert__Reg1_2__Reg1_1__Mem5125_0,
Convert__Reg1_0__Reg1_1__Reg1_2__AVX512RC1_3,
Convert__Reg1_3__Reg1_2__Reg1_1__AVX512RC1_0,
Convert__Reg1_3__Reg1_2__Mem645_0,
Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5,
Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0,
Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5,
Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5,
Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0,
Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0,
Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6,
Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6,
Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5,
Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0,
Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6,
Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6,
Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6,
Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0,
Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0,
Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0,
Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0,
Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0,
Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6,
Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7,
Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0,
Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0,
Convert__Reg1_0__Reg1_1__Mem165_2,
Convert__Reg1_3__Reg1_2__Mem165_0,
Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5,
Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0,
Convert__Reg1_0__Reg1_2__Reg1_5__Mem165_6,
Convert__Reg1_3__Reg1_5__Reg1_2__Mem165_0,
Convert__Reg1_3__Reg1_2__Mem325_0,
Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5,
Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0,
Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6,
Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0,
Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem645_0,
Convert__Reg1_2__Reg1_4__Reg1_1__Mem645_0,
Convert__Reg1_2__Reg1_1__Mem165_0,
Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem165_0,
Convert__Reg1_2__Reg1_4__Reg1_1__Mem165_0,
Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem325_0,
Convert__Reg1_2__Reg1_4__Reg1_1__Mem325_0,
Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3,
Convert__Reg1_0__Reg1_1__Mem1285_2__ImmUnsignedi81_3,
Convert__Reg1_0__Reg1_1__Mem2565_2__ImmUnsignedi81_3,
Convert__Reg1_0__Reg1_1__Mem5125_2__ImmUnsignedi81_3,
Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0,
Convert__Reg1_3__Reg1_2__Mem1285_1__ImmUnsignedi81_0,
Convert__Reg1_3__Reg1_2__Mem2565_1__ImmUnsignedi81_0,
Convert__Reg1_3__Reg1_2__Mem5125_1__ImmUnsignedi81_0,
Convert__Reg1_0__Reg1_1__Mem325_2__ImmUnsignedi81_4,
Convert__Reg1_4__Reg1_3__Mem325_1__ImmUnsignedi81_0,
Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6,
Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5__ImmUnsignedi81_6,
Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5__ImmUnsignedi81_6,
Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5__ImmUnsignedi81_6,
Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0,
Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem1285_1__ImmUnsignedi81_0,
Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem2565_1__ImmUnsignedi81_0,
Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem5125_1__ImmUnsignedi81_0,
Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7,
Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6__ImmUnsignedi81_7,
Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5__ImmUnsignedi81_7,
Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6__ImmUnsignedi81_7,
Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6__ImmUnsignedi81_7,
Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0,
Convert__Reg1_3__Reg1_5__Reg1_2__Mem1285_1__ImmUnsignedi81_0,
Convert__Reg1_3__Reg1_5__Reg1_2__Mem2565_1__ImmUnsignedi81_0,
Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Mem325_1__ImmUnsignedi81_0,
Convert__Reg1_3__Reg1_5__Reg1_2__Mem5125_1__ImmUnsignedi81_0,
Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6__ImmUnsignedi81_8,
Convert__Reg1_4__Reg1_6__Reg1_3__Mem325_1__ImmUnsignedi81_0,
Convert__Reg1_0__Reg1_1__Mem645_2__ImmUnsignedi81_4,
Convert__Reg1_4__Reg1_3__Mem645_1__ImmUnsignedi81_0,
Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5__ImmUnsignedi81_7,
Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Mem645_1__ImmUnsignedi81_0,
Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6__ImmUnsignedi81_8,
Convert__Reg1_4__Reg1_6__Reg1_3__Mem645_1__ImmUnsignedi81_0,
Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5,
Convert__Reg1_0__Reg1_2__Reg1_4__Mem1285_5,
Convert__Reg1_0__Reg1_2__Reg1_4__Mem2565_5,
Convert__Reg1_0__Reg1_2__Reg1_4__Mem5125_5,
Convert__Reg1_0__Reg1_2__Reg1_4__Mem645_5,
Convert__Reg1_0__Reg1_2__Reg1_4__Mem325_5,
Convert__Reg1_3__Reg1_2__Reg1_1__Reg1_0,
Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3,
Convert__Reg1_0__Reg1_1__Mem1285_2__Reg1_3,
Convert__Reg1_3__Reg1_2__Mem1285_1__Reg1_0,
Convert__Reg1_0__Reg1_1__Mem2565_2__Reg1_3,
Convert__Reg1_3__Reg1_2__Mem2565_1__Reg1_0,
Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0,
Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4,
Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4,
Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem645_0,
Convert__Reg1_1__Reg1_3__Reg1_0,
Convert__Reg1_0__Reg1_2__Reg1_5,
Convert__Reg1_0__Reg1_2__Mem645_5,
Convert__Reg1_1__Reg1_3__Mem645_0,
Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4,
Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0,
Convert__Reg1_0__Reg1_2__Mem1285_5,
Convert__Reg1_1__Reg1_3__Mem1285_0,
Convert__Reg1_0__Mem2565_1,
Convert__Reg1_1__Mem2565_0,
Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4,
Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0,
Convert__Reg1_0__Reg1_2__Mem2565_5,
Convert__Reg1_1__Reg1_3__Mem2565_0,
Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4,
Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem325_0,
Convert__Reg1_0__Reg1_2__Mem325_5,
Convert__Reg1_1__Reg1_3__Mem325_0,
Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_4,
Convert__Reg1_4__Reg1_3__Reg1_2__ImmUnsignedi81_0,
Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6,
Convert__Reg1_0__Reg1_2__Reg1_4__Mem1285_5__ImmUnsignedi81_6,
Convert__Reg1_0__Reg1_2__Reg1_4__Mem2565_5__ImmUnsignedi81_6,
Convert__Reg1_0__Reg1_2__Reg1_4__Mem5125_5__ImmUnsignedi81_6,
Convert__Reg1_0__Reg1_2__Reg1_4__Mem645_5__ImmUnsignedi81_7,
Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_7,
Convert__Reg1_4__Reg1_6__Reg1_3__Reg1_2__ImmUnsignedi81_0,
Convert__Reg1_0__Reg1_1__Mem165_2__ImmUnsignedi81_4,
Convert__Reg1_4__Reg1_3__Mem165_1__ImmUnsignedi81_0,
Convert__Reg1_0__Reg1_2__Reg1_4__Mem165_5__ImmUnsignedi81_7,
Convert__Reg1_4__Reg1_6__Reg1_3__Mem165_1__ImmUnsignedi81_0,
Convert__Reg1_0__Reg1_2__Reg1_4__Mem325_5__ImmUnsignedi81_7,
Convert__Reg1_0__Reg1_1__Mem645_2__ImmUnsignedi81_3,
Convert__Reg1_3__Reg1_2__Mem645_1__ImmUnsignedi81_0,
Convert__Reg1_0__Reg1_2__Reg1_4__Mem645_5__ImmUnsignedi81_6,
Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_1__ImmUnsignedi81_0,
Convert__Reg1_0__Reg1_1__Mem165_2__ImmUnsignedi81_3,
Convert__Reg1_3__Reg1_2__Mem165_1__ImmUnsignedi81_0,
Convert__Reg1_0__Reg1_2__Reg1_4__Mem165_5__ImmUnsignedi81_6,
Convert__Reg1_3__Reg1_5__Reg1_2__Mem165_1__ImmUnsignedi81_0,
Convert__Reg1_0__Reg1_1__Mem325_2__ImmUnsignedi81_3,
Convert__Reg1_3__Reg1_2__Mem325_1__ImmUnsignedi81_0,
Convert__Reg1_0__Reg1_2__Reg1_4__Mem325_5__ImmUnsignedi81_6,
Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_1__ImmUnsignedi81_0,
Convert__Reg1_2__Reg1_1,
Convert__Mem2565_1__Reg1_0,
Convert__Mem5125_1__Reg1_0,
Convert__Mem2565_0__Reg1_1,
Convert__Mem5125_0__Reg1_1,
Convert__Mem1285_1__Reg1_3__Reg1_0,
Convert__Mem2565_1__Reg1_3__Reg1_0,
Convert__Mem5125_1__Reg1_3__Reg1_0,
Convert__Mem1285_0__Reg1_2__Reg1_4,
Convert__Mem2565_0__Reg1_2__Reg1_4,
Convert__Mem5125_0__Reg1_2__Reg1_4,
Convert__Reg1_2__Mem325_0,
Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem325_0,
Convert__Reg1_2__Reg1_4__Mem325_0,
Convert__Reg1_0__Reg1_1__AVX512RC1_2,
Convert__Reg1_2__Reg1_1__AVX512RC1_0,
Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4,
Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem5125_0,
Convert__Reg1_0__Reg1_2__Mem5125_5,
Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__AVX512RC1_5,
Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__AVX512RC1_0,
Convert__Reg1_1__Reg1_3__Mem5125_0,
Convert__Reg1_0__Reg1_2__Reg1_5__AVX512RC1_6,
Convert__Reg1_2__Reg1_4__Reg1_1__AVX512RC1_0,
Convert__Reg1_2__Mem645_0,
Convert__Reg1_1__Tie0_2_2__Reg1_3__Reg1_0,
Convert__Reg1_2__Tie0_3_3__Reg1_4__Mem645_0,
Convert__Reg1_2__Reg1_4__Mem645_0,
Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem645_0,
Convert__Reg1_2__Mem165_0,
Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem165_4,
Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem165_0,
Convert__Reg1_0__Reg1_2__Mem165_5,
Convert__Reg1_2__Reg1_4__Mem165_0,
Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1,
Convert__Reg1_2__Reg1_4__Reg1_1,
Convert__Mem1285_2__Reg1_1__ImmUnsignedi81_0,
Convert__Mem2565_2__Reg1_1__ImmUnsignedi81_0,
Convert__Mem1285_0__Reg1_1__ImmUnsignedi81_2,
Convert__Mem2565_0__Reg1_1__ImmUnsignedi81_2,
Convert__Reg1_0__Reg1_1__ImmUnsignedi81_3,
Convert__Reg1_3__Reg1_2__ImmUnsignedi81_0,
Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5,
Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0,
Convert__Mem645_2__Reg1_4__Reg1_1__ImmUnsignedi81_0,
Convert__Mem1285_2__Reg1_4__Reg1_1__ImmUnsignedi81_0,
Convert__Mem2565_2__Reg1_4__Reg1_1__ImmUnsignedi81_0,
Convert__Mem1285_0__Reg1_2__Reg1_4__ImmUnsignedi81_5,
Convert__Mem2565_0__Reg1_2__Reg1_4__ImmUnsignedi81_5,
Convert__Mem645_0__Reg1_2__Reg1_4__ImmUnsignedi81_5,
Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6,
Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_6,
Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__ImmUnsignedi81_0,
Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0,
Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_7,
Convert__Reg1_3__Reg1_5__Reg1_2__ImmUnsignedi81_0,
Convert__Reg1_3__Reg1_2__Reg1_1,
Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1,
Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1,
Convert__Reg1_3__Reg1_2__Reg1_0__AVX512RC1_1,
Convert__Reg1_0__Reg1_1__Reg1_3__AVX512RC1_2,
Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2,
Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem5125_2,
Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0,
Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem5125_0,
Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2,
Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_0,
Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6,
Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem2565_6,
Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem5125_6,
Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6,
Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__AVX512RC1_3,
Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__AVX512RC1_0,
Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7,
Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem325_0,
Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__ImmUnsignedi81_3,
Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2__ImmUnsignedi81_3,
Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2__ImmUnsignedi81_3,
Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem5125_2__ImmUnsignedi81_3,
Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__ImmUnsignedi81_0,
Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem1285_1__ImmUnsignedi81_0,
Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem2565_1__ImmUnsignedi81_0,
Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem5125_1__ImmUnsignedi81_0,
Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2__ImmUnsignedi81_4,
Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__ImmUnsignedi81_4,
Convert__Reg1_4__Tie0_1_1__Reg1_3__Reg1_2__ImmUnsignedi81_0,
Convert__Reg1_4__Tie0_1_1__Reg1_3__Mem645_1__ImmUnsignedi81_0,
Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7,
Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem1285_6__ImmUnsignedi81_7,
Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem2565_6__ImmUnsignedi81_7,
Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem5125_6__ImmUnsignedi81_7,
Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_7,
Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Reg1_2__ImmUnsignedi81_0,
Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6__ImmUnsignedi81_8,
Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_8,
Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2__ImmUnsignedi81_4,
Convert__Reg1_4__Tie0_1_1__Reg1_3__Mem325_1__ImmUnsignedi81_0,
Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6__ImmUnsignedi81_8,
Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2__ImmUnsignedi81_3,
Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem645_1__ImmUnsignedi81_0,
Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5__ImmUnsignedi81_6,
Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_1__ImmUnsignedi81_0,
Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6__ImmUnsignedi81_7,
Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2__ImmUnsignedi81_3,
Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_1__ImmUnsignedi81_0,
Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5__ImmUnsignedi81_6,
Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_1__ImmUnsignedi81_0,
Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6__ImmUnsignedi81_7,
Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2,
Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem645_0,
Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6,
Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem165_2,
Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem165_0,
Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem165_6,
Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem645_0,
Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem165_0,
Convert__Reg1_0__Reg1_1__Reg1_2__Mem1285_3,
Convert__Reg1_0__Reg1_1__Reg1_2__Mem2565_3,
Convert__Reg1_3__Reg1_2__Reg1_1__Mem1285_0,
Convert__Reg1_3__Reg1_2__Reg1_1__Mem2565_0,
Convert__Reg1_0__Reg1_1__Reg1_2__Mem645_3,
Convert__Reg1_0__Reg1_1__Mem645_2__Reg1_3,
Convert__Reg1_3__Reg1_2__Mem645_1__Reg1_0,
Convert__Reg1_3__Reg1_2__Reg1_1__Mem645_0,
Convert__Reg1_0__Reg1_1__Reg1_2__Mem325_3,
Convert__Reg1_0__Reg1_1__Mem325_2__Reg1_3,
Convert__Reg1_3__Reg1_2__Mem325_1__Reg1_0,
Convert__Reg1_3__Reg1_2__Reg1_1__Mem325_0,
Convert__Reg1_0__Mem2565_1__ImmUnsignedi81_2,
Convert__Reg1_0__Mem5125_1__ImmUnsignedi81_2,
Convert__Reg1_0__Mem645_1__ImmUnsignedi81_3,
Convert__Reg1_3__Mem645_1__ImmUnsignedi81_0,
Convert__Reg1_0__Reg1_2__Reg1_4__ImmUnsignedi81_5,
Convert__Reg1_0__Reg1_2__Mem1285_4__ImmUnsignedi81_5,
Convert__Reg1_0__Reg1_2__Mem2565_4__ImmUnsignedi81_5,
Convert__Reg1_0__Reg1_2__Mem5125_4__ImmUnsignedi81_5,
Convert__Reg1_0__Reg1_2__Mem645_4__ImmUnsignedi81_6,
Convert__Reg1_3__Reg1_5__Mem645_1__ImmUnsignedi81_0,
Convert__Reg1_2__Reg1_4__Mem1285_1__ImmUnsignedi81_0,
Convert__Reg1_2__Mem2565_1__ImmUnsignedi81_0,
Convert__Reg1_2__Reg1_4__Mem2565_1__ImmUnsignedi81_0,
Convert__Reg1_2__Mem5125_1__ImmUnsignedi81_0,
Convert__Reg1_2__Reg1_4__Mem5125_1__ImmUnsignedi81_0,
Convert__Reg1_0__Mem165_1__ImmUnsignedi81_3,
Convert__Reg1_3__Mem165_1__ImmUnsignedi81_0,
Convert__Reg1_0__Reg1_2__Mem165_4__ImmUnsignedi81_6,
Convert__Reg1_3__Reg1_5__Mem165_1__ImmUnsignedi81_0,
Convert__Reg1_0__Mem325_1__ImmUnsignedi81_3,
Convert__Reg1_3__Mem325_1__ImmUnsignedi81_0,
Convert__Reg1_0__Reg1_2__Mem325_4__ImmUnsignedi81_6,
Convert__Reg1_3__Reg1_5__Mem325_1__ImmUnsignedi81_0,
Convert__Reg1_0__Reg1_2__Mem645_4__ImmUnsignedi81_5,
Convert__Reg1_2__Reg1_4__Mem645_1__ImmUnsignedi81_0,
Convert__Reg1_0__Mem165_1__ImmUnsignedi81_2,
Convert__Reg1_2__Mem165_1__ImmUnsignedi81_0,
Convert__Reg1_0__Reg1_2__Mem165_4__ImmUnsignedi81_5,
Convert__Reg1_2__Reg1_4__Mem165_1__ImmUnsignedi81_0,
Convert__Reg1_0__Reg1_2__Mem325_4__ImmUnsignedi81_5,
Convert__Reg1_2__Reg1_4__Mem325_1__ImmUnsignedi81_0,
Convert__Reg1_2__Reg1_0__Tie0_1_1__Mem128_RC1285_1__Tie1_1_1,
Convert__Reg1_0__Reg1_2__Tie0_1_1__Mem128_RC1285_1__Tie1_3_3,
Convert__Reg1_2__Reg1_0__Tie0_1_1__Mem256_RC1285_1__Tie1_1_1,
Convert__Reg1_0__Reg1_2__Tie0_1_1__Mem256_RC1285_1__Tie1_3_3,
Convert__Reg1_0__Reg1_2__Tie0_1_1__Tie1_3_3__Mem128_RC128X5_4,
Convert__Reg1_0__Reg1_2__Tie0_1_1__Tie1_3_3__Mem256_RC128X5_4,
Convert__Reg1_0__Reg1_2__Tie0_1_1__Tie1_3_3__Mem512_RC256X5_4,
Convert__Reg1_1__Reg1_3__Tie0_1_1__Tie1_4_4__Mem128_RC128X5_0,
Convert__Reg1_1__Reg1_3__Tie0_1_1__Tie1_4_4__Mem256_RC128X5_0,
Convert__Reg1_1__Reg1_3__Tie0_1_1__Tie1_4_4__Mem512_RC256X5_0,
Convert__Reg1_2__Reg1_0__Tie0_1_1__Mem256_RC2565_1__Tie1_1_1,
Convert__Reg1_0__Reg1_2__Tie0_1_1__Mem256_RC2565_1__Tie1_3_3,
Convert__Reg1_0__Reg1_2__Tie0_1_1__Tie1_3_3__Mem256_RC256X5_4,
Convert__Reg1_0__Reg1_2__Tie0_1_1__Tie1_3_3__Mem512_RC5125_4,
Convert__Reg1_1__Reg1_3__Tie0_1_1__Tie1_4_4__Mem256_RC256X5_0,
Convert__Reg1_1__Reg1_3__Tie0_1_1__Tie1_4_4__Mem512_RC5125_0,
Convert__Reg1_1__Mem512_RC256X5_3,
Convert__Reg1_2__Mem512_RC256X5_0,
Convert__Reg1_1__Mem512_RC5125_3,
Convert__Reg1_2__Mem512_RC5125_0,
Convert__Reg1_1__Mem256_RC5125_3,
Convert__Reg1_2__Mem256_RC5125_0,
Convert__Reg1_2__Reg1_0__Tie0_1_1__Mem128_RC2565_1__Tie1_1_1,
Convert__Reg1_0__Reg1_2__Tie0_1_1__Mem128_RC2565_1__Tie1_3_3,
Convert__Reg1_2__Reg1_0__Tie0_1_1__Mem64_RC1285_1__Tie1_1_1,
Convert__Reg1_0__Reg1_2__Tie0_1_1__Mem64_RC1285_1__Tie1_3_3,
Convert__Reg1_0__Reg1_2__Tie0_1_1__Tie1_3_3__Mem128_RC256X5_4,
Convert__Reg1_0__Reg1_2__Tie0_1_1__Tie1_3_3__Mem64_RC128X5_4,
Convert__Reg1_0__Reg1_2__Tie0_1_1__Tie1_3_3__Mem256_RC5125_4,
Convert__Reg1_1__Reg1_3__Tie0_1_1__Tie1_4_4__Mem128_RC256X5_0,
Convert__Reg1_1__Reg1_3__Tie0_1_1__Tie1_4_4__Mem256_RC5125_0,
Convert__Reg1_1__Reg1_3__Tie0_1_1__Tie1_4_4__Mem64_RC128X5_0,
Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4__ImmUnsignedi81_5,
Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4__ImmUnsignedi81_5,
Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4__ImmUnsignedi81_5,
Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem1285_1__ImmUnsignedi81_0,
Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem2565_1__ImmUnsignedi81_0,
Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem5125_1__ImmUnsignedi81_0,
Convert__Reg1_0__Reg1_2__Mem1285_5__ImmUnsignedi81_6,
Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4__ImmUnsignedi81_6,
Convert__Reg1_0__Reg1_2__Mem2565_5__ImmUnsignedi81_6,
Convert__Reg1_0__Reg1_2__Mem5125_5__ImmUnsignedi81_6,
Convert__Reg1_3__Tie0_1_1__Reg1_5__Mem645_1__ImmUnsignedi81_0,
Convert__Reg1_0__Reg1_2__Mem645_5__ImmUnsignedi81_7,
Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem165_4__ImmUnsignedi81_6,
Convert__Reg1_3__Tie0_1_1__Reg1_5__Mem165_1__ImmUnsignedi81_0,
Convert__Reg1_0__Reg1_2__Mem165_5__ImmUnsignedi81_7,
Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4__ImmUnsignedi81_6,
Convert__Reg1_3__Tie0_1_1__Reg1_5__Mem325_1__ImmUnsignedi81_0,
Convert__Reg1_0__Reg1_2__Mem325_5__ImmUnsignedi81_7,
Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6__ImmUnsignedi81_7,
Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_8,
Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5__ImmUnsignedi81_6,
Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_1__ImmUnsignedi81_0,
Convert__Reg1_0__Reg1_2__Reg1_5__Mem165_6__ImmUnsignedi81_7,
Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6__ImmUnsignedi81_7,
Convert__Reg1_0__Reg1_1__Mem85_2__ImmUnsignedi81_4,
Convert__Reg1_4__Reg1_3__Mem85_1__ImmUnsignedi81_0,
Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem85_5__ImmUnsignedi81_7,
Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Mem85_1__ImmUnsignedi81_0,
Convert__Reg1_0__Reg1_2__Reg1_5__Mem85_6__ImmUnsignedi81_8,
Convert__Reg1_4__Reg1_6__Reg1_3__Mem85_1__ImmUnsignedi81_0,
Convert__Mem1285_2__Reg1_1__Reg1_0,
Convert__Mem2565_2__Reg1_1__Reg1_0,
Convert__Mem1285_0__Reg1_1__Reg1_2,
Convert__Mem2565_0__Reg1_1__Reg1_2,
Convert__Reg1_0__Reg1_2__Reg1_4,
Convert__Mem645_1__Reg1_3__Reg1_0,
Convert__Mem645_0__Reg1_2__Reg1_4,
Convert__Reg1_2__Tie0_3_3__Reg1_4__Reg1_1__Reg1_0,
Convert__Mem165_1__Reg1_3__Reg1_0,
Convert__Mem165_0__Reg1_2__Reg1_4,
Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem165_0,
Convert__Reg1_1__Reg1_3__Mem165_0,
Convert__Mem325_1__Reg1_3__Reg1_0,
Convert__Mem325_0__Reg1_2__Reg1_4,
Convert__VK4Pair1_2__Reg1_1__Reg1_0,
Convert__VK8Pair1_2__Reg1_1__Reg1_0,
Convert__VK16Pair1_2__Reg1_1__Reg1_0,
Convert__VK16Pair1_0__Reg1_1__Reg1_2,
Convert__VK16Pair1_0__Reg1_1__Mem5125_2,
Convert__VK4Pair1_0__Reg1_1__Reg1_2,
Convert__VK4Pair1_0__Reg1_1__Mem1285_2,
Convert__VK8Pair1_0__Reg1_1__Reg1_2,
Convert__VK8Pair1_0__Reg1_1__Mem2565_2,
Convert__VK4Pair1_2__Reg1_1__Mem1285_0,
Convert__VK8Pair1_2__Reg1_1__Mem2565_0,
Convert__VK16Pair1_2__Reg1_1__Mem5125_0,
Convert__VK16Pair1_0__Reg1_1__Mem325_2,
Convert__VK4Pair1_0__Reg1_1__Mem325_2,
Convert__VK8Pair1_0__Reg1_1__Mem325_2,
Convert__VK16Pair1_3__Reg1_2__Mem325_0,
Convert__VK4Pair1_3__Reg1_2__Mem325_0,
Convert__VK8Pair1_3__Reg1_2__Mem325_0,
Convert__VK2Pair1_2__Reg1_1__Reg1_0,
Convert__VK2Pair1_0__Reg1_1__Reg1_2,
Convert__VK2Pair1_0__Reg1_1__Mem1285_2,
Convert__VK4Pair1_0__Reg1_1__Mem2565_2,
Convert__VK8Pair1_0__Reg1_1__Mem5125_2,
Convert__VK2Pair1_2__Reg1_1__Mem1285_0,
Convert__VK4Pair1_2__Reg1_1__Mem2565_0,
Convert__VK8Pair1_2__Reg1_1__Mem5125_0,
Convert__VK2Pair1_0__Reg1_1__Mem645_2,
Convert__VK4Pair1_0__Reg1_1__Mem645_2,
Convert__VK8Pair1_0__Reg1_1__Mem645_2,
Convert__VK2Pair1_3__Reg1_2__Mem645_0,
Convert__VK4Pair1_3__Reg1_2__Mem645_0,
Convert__VK8Pair1_3__Reg1_2__Mem645_0,
Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem85_4,
Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem85_0,
Convert__Reg1_0__Reg1_2__Mem85_5,
Convert__Reg1_1__Reg1_3__Mem85_0,
Convert__Reg1_2__Reg1_1__Reg1_0__imm_95_17,
Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_17,
Convert__Reg1_0__Reg1_1__Mem1285_2__imm_95_17,
Convert__Reg1_0__Reg1_1__Mem2565_2__imm_95_17,
Convert__Reg1_0__Reg1_1__Mem5125_2__imm_95_17,
Convert__Reg1_2__Reg1_1__Mem1285_0__imm_95_17,
Convert__Reg1_2__Reg1_1__Mem2565_0__imm_95_17,
Convert__Reg1_2__Reg1_1__Mem5125_0__imm_95_17,
Convert__Reg1_2__Reg1_1__Reg1_0__imm_95_1,
Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_1,
Convert__Reg1_0__Reg1_1__Mem1285_2__imm_95_1,
Convert__Reg1_0__Reg1_1__Mem2565_2__imm_95_1,
Convert__Reg1_0__Reg1_1__Mem5125_2__imm_95_1,
Convert__Reg1_2__Reg1_1__Mem1285_0__imm_95_1,
Convert__Reg1_2__Reg1_1__Mem2565_0__imm_95_1,
Convert__Reg1_2__Reg1_1__Mem5125_0__imm_95_1,
Convert__Reg1_2__Reg1_1__Reg1_0__imm_95_16,
Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_16,
Convert__Reg1_0__Reg1_1__Mem1285_2__imm_95_16,
Convert__Reg1_0__Reg1_1__Mem2565_2__imm_95_16,
Convert__Reg1_0__Reg1_1__Mem5125_2__imm_95_16,
Convert__Reg1_2__Reg1_1__Mem1285_0__imm_95_16,
Convert__Reg1_2__Reg1_1__Mem2565_0__imm_95_16,
Convert__Reg1_2__Reg1_1__Mem5125_0__imm_95_16,
Convert__Reg1_2__Reg1_1__Reg1_0__imm_95_0,
Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0,
Convert__Reg1_0__Reg1_1__Mem1285_2__imm_95_0,
Convert__Reg1_0__Reg1_1__Mem2565_2__imm_95_0,
Convert__Reg1_0__Reg1_1__Mem5125_2__imm_95_0,
Convert__Reg1_2__Reg1_1__Mem1285_0__imm_95_0,
Convert__Reg1_2__Reg1_1__Mem2565_0__imm_95_0,
Convert__Reg1_2__Reg1_1__Mem5125_0__imm_95_0,
Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3__ImmUnsignedi41_4,
Convert__Reg1_0__Reg1_1__Reg1_2__Mem1285_3__ImmUnsignedi41_4,
Convert__Reg1_0__Reg1_1__Mem1285_2__Reg1_3__ImmUnsignedi41_4,
Convert__Reg1_0__Reg1_1__Reg1_2__Mem2565_3__ImmUnsignedi41_4,
Convert__Reg1_0__Reg1_1__Mem2565_2__Reg1_3__ImmUnsignedi41_4,
Convert__Reg1_4__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi41_0,
Convert__Reg1_4__Reg1_3__Mem1285_2__Reg1_1__ImmUnsignedi41_0,
Convert__Reg1_4__Reg1_3__Mem2565_2__Reg1_1__ImmUnsignedi41_0,
Convert__Reg1_4__Reg1_3__Reg1_2__Mem1285_1__ImmUnsignedi41_0,
Convert__Reg1_4__Reg1_3__Reg1_2__Mem2565_1__ImmUnsignedi41_0,
Convert__Reg1_0__Reg1_1__GR32orGR641_2__ImmUnsignedi81_3,
Convert__Reg1_0__Reg1_1__Mem85_2__ImmUnsignedi81_3,
Convert__Reg1_3__Reg1_2__GR32orGR641_1__ImmUnsignedi81_0,
Convert__Reg1_3__Reg1_2__Mem85_1__ImmUnsignedi81_0,
Convert__Reg1_2__Mem1285_1__Reg1_0,
Convert__Reg1_0__Mem1285_1__Reg1_2,
Convert__Reg1_3__Mem128_RC128X5_1__Tie0_4_4__Reg1_0,
Convert__Reg1_3__Mem256_RC256X5_1__Tie0_4_4__Reg1_0,
Convert__Reg1_3__Mem512_RC5125_1__Tie0_4_4__Reg1_0,
Convert__Reg1_2__Mem128_RC128X5_0__Tie0_3_3__Reg1_4,
Convert__Reg1_2__Mem256_RC256X5_0__Tie0_3_3__Reg1_4,
Convert__Reg1_2__Mem512_RC5125_0__Tie0_3_3__Reg1_4,
Convert__Reg1_3__Mem256_RC128X5_1__Tie0_4_4__Reg1_0,
Convert__Reg1_3__Mem512_RC256X5_1__Tie0_4_4__Reg1_0,
Convert__Reg1_2__Mem256_RC128X5_0__Tie0_3_3__Reg1_4,
Convert__Reg1_2__Mem512_RC256X5_0__Tie0_3_3__Reg1_4,
Convert__Reg1_3__Mem128_RC256X5_1__Tie0_4_4__Reg1_0,
Convert__Reg1_3__Mem64_RC128X5_1__Tie0_4_4__Reg1_0,
Convert__Reg1_3__Mem256_RC5125_1__Tie0_4_4__Reg1_0,
Convert__Reg1_2__Mem128_RC256X5_0__Tie0_3_3__Reg1_4,
Convert__Reg1_2__Mem256_RC5125_0__Tie0_3_3__Reg1_4,
Convert__Reg1_2__Mem64_RC128X5_0__Tie0_3_3__Reg1_4,
Convert__Reg1_0__Reg1_1__Tie0_1_1__Tie1_2_2,
Convert__Reg1_1__Reg1_0__Tie0_2_2__Tie1_1_1,
Convert__AbsMem161_0,
Convert__Reg1_1__Tie0_2_2,
Convert__regEAX__regEAX__Tie0_1_1__Tie1_1_1,
CVT_NUM_SIGNATURES
};
} // end anonymous namespace
static const uint8_t ConversionTable[CVT_NUM_SIGNATURES][13] = {
// Convert_NoOperands
{ CVT_Done },
// Convert__imm_95_10
{ CVT_imm_95_10, 0, CVT_Done },
// Convert__Imm1_0
{ CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Mem325_0__Reg1_1
{ CVT_95_addMemOperands, 1, CVT_95_Reg, 2, CVT_Done },
// Convert__Mem645_0__Reg1_1
{ CVT_95_addMemOperands, 1, CVT_95_Reg, 2, CVT_Done },
// Convert__Mem325_1__Reg1_0
{ CVT_95_addMemOperands, 2, CVT_95_Reg, 1, CVT_Done },
// Convert__Mem645_1__Reg1_0
{ CVT_95_addMemOperands, 2, CVT_95_Reg, 1, CVT_Done },
// Convert__Imm1_1
{ CVT_95_addImmOperands, 2, CVT_Done },
// Convert__regAX__Tie0_1_1__ImmSExti16i81_1
{ CVT_regAX, 0, CVT_Tied, Tie0_1_1, CVT_95_addImmOperands, 2, CVT_Done },
// Convert__regEAX__Tie0_1_1__ImmSExti32i81_1
{ CVT_regEAX, 0, CVT_Tied, Tie0_1_1, CVT_95_addImmOperands, 2, CVT_Done },
// Convert__regRAX__Tie0_1_1__ImmSExti64i81_1
{ CVT_regRAX, 0, CVT_Tied, Tie0_1_1, CVT_95_addImmOperands, 2, CVT_Done },
// Convert__ImmSExti64i321_1
{ CVT_95_addImmOperands, 2, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_1
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 2, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__ImmSExti16i81_1
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_addImmOperands, 2, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Imm1_1
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_addImmOperands, 2, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Mem165_1
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_addMemOperands, 2, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__ImmSExti32i81_1
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_addImmOperands, 2, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Mem325_1
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_addMemOperands, 2, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__ImmSExti64i81_1
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_addImmOperands, 2, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__ImmSExti64i321_1
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_addImmOperands, 2, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Mem645_1
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_addMemOperands, 2, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Mem85_1
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_addMemOperands, 2, CVT_Done },
// Convert__Mem165_0__Reg1_1
{ CVT_95_addMemOperands, 1, CVT_95_Reg, 2, CVT_Done },
// Convert__Mem165_0__ImmSExti16i81_1
{ CVT_95_addMemOperands, 1, CVT_95_addImmOperands, 2, CVT_Done },
// Convert__Mem165_0__Imm1_1
{ CVT_95_addMemOperands, 1, CVT_95_addImmOperands, 2, CVT_Done },
// Convert__Mem325_0__ImmSExti32i81_1
{ CVT_95_addMemOperands, 1, CVT_95_addImmOperands, 2, CVT_Done },
// Convert__Mem325_0__Imm1_1
{ CVT_95_addMemOperands, 1, CVT_95_addImmOperands, 2, CVT_Done },
// Convert__Mem645_0__ImmSExti64i81_1
{ CVT_95_addMemOperands, 1, CVT_95_addImmOperands, 2, CVT_Done },
// Convert__Mem645_0__ImmSExti64i321_1
{ CVT_95_addMemOperands, 1, CVT_95_addImmOperands, 2, CVT_Done },
// Convert__Mem85_0__Reg1_1
{ CVT_95_addMemOperands, 1, CVT_95_Reg, 2, CVT_Done },
// Convert__Mem85_0__Imm1_1
{ CVT_95_addMemOperands, 1, CVT_95_addImmOperands, 2, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Reg1_0
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_Reg, 1, CVT_Done },
// Convert__Mem85_1__Reg1_0
{ CVT_95_addMemOperands, 2, CVT_95_Reg, 1, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Imm1_0
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Mem85_1__Imm1_0
{ CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem85_0
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__regEAX__Tie0_1_1__ImmSExti32i81_0
{ CVT_regEAX, 0, CVT_Tied, Tie0_1_1, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__ImmSExti32i81_0
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Mem325_1__ImmSExti32i81_0
{ CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Mem325_1__Imm1_0
{ CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem325_0
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__regRAX__Tie0_1_1__ImmSExti64i81_0
{ CVT_regRAX, 0, CVT_Tied, Tie0_1_1, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__ImmSExti64i81_0
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Mem645_1__ImmSExti64i81_0
{ CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__ImmSExti64i321_0
{ CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__ImmSExti64i321_0
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Mem645_1__ImmSExti64i321_0
{ CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem645_0
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Mem165_1__Reg1_0
{ CVT_95_addMemOperands, 2, CVT_95_Reg, 1, CVT_Done },
// Convert__regAX__Tie0_1_1__ImmSExti16i81_0
{ CVT_regAX, 0, CVT_Tied, Tie0_1_1, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__ImmSExti16i81_0
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Mem165_1__ImmSExti16i81_0
{ CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Mem165_1__Imm1_0
{ CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem165_0
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Reg1_1__Tie0_1_1__Reg1_0
{ CVT_95_Reg, 2, CVT_Tied, Tie0_1_1, CVT_95_Reg, 1, CVT_Done },
// Convert__Reg1_1__Tie0_1_1__Mem325_0
{ CVT_95_Reg, 2, CVT_Tied, Tie0_1_1, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Reg1_1__Tie0_1_1__Mem645_0
{ CVT_95_Reg, 2, CVT_Tied, Tie0_1_1, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Mem1285_1
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_addMemOperands, 2, CVT_Done },
// Convert__Reg1_1__Tie0_1_1__Mem1285_0
{ CVT_95_Reg, 2, CVT_Tied, Tie0_1_1, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Mem5_1
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_addMemOperands, 2, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem5_0
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Mem5_0
{ CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Reg1_1__Reg1_0
{ CVT_95_Reg, 2, CVT_95_Reg, 1, CVT_Done },
// Convert__Reg1_0__Reg1_1
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_Done },
// Convert__Reg1_0__Mem1285_1
{ CVT_95_Reg, 1, CVT_95_addMemOperands, 2, CVT_Done },
// Convert__Reg1_1__Mem1285_0
{ CVT_95_Reg, 2, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addImmOperands, 3, CVT_Done },
// Convert__Reg1_0__Mem1285_1__ImmUnsignedi81_2
{ CVT_95_Reg, 1, CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
// Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0
{ CVT_95_Reg, 3, CVT_95_Reg, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_2__Mem1285_1__ImmUnsignedi81_0
{ CVT_95_Reg, 3, CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_0__Reg1_1__Reg1_2
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_Reg, 3, CVT_Done },
// Convert__Reg1_0__Reg1_1__Mem325_2
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addMemOperands, 3, CVT_Done },
// Convert__Reg1_0__Reg1_1__Mem645_2
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addMemOperands, 3, CVT_Done },
// Convert__Reg1_2__Reg1_1__Reg1_0
{ CVT_95_Reg, 3, CVT_95_Reg, 2, CVT_95_Reg, 1, CVT_Done },
// Convert__Reg1_2__Reg1_1__Mem325_0
{ CVT_95_Reg, 3, CVT_95_Reg, 2, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Reg1_2__Reg1_1__Mem645_0
{ CVT_95_Reg, 3, CVT_95_Reg, 2, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Reg1_0__Reg1_1__Imm1_2
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addImmOperands, 3, CVT_Done },
// Convert__Reg1_0__Mem325_1__Reg1_2
{ CVT_95_Reg, 1, CVT_95_addMemOperands, 2, CVT_95_Reg, 3, CVT_Done },
// Convert__Reg1_0__Mem325_1__Imm1_2
{ CVT_95_Reg, 1, CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
// Convert__Reg1_0__Reg1_1__ImmSExti64i321_2
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addImmOperands, 3, CVT_Done },
// Convert__Reg1_0__Mem645_1__Reg1_2
{ CVT_95_Reg, 1, CVT_95_addMemOperands, 2, CVT_95_Reg, 3, CVT_Done },
// Convert__Reg1_0__Mem645_1__ImmSExti64i321_2
{ CVT_95_Reg, 1, CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
// Convert__Reg1_2__Mem325_1__Reg1_0
{ CVT_95_Reg, 3, CVT_95_addMemOperands, 2, CVT_95_Reg, 1, CVT_Done },
// Convert__Reg1_2__Reg1_1__Imm1_0
{ CVT_95_Reg, 3, CVT_95_Reg, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_2__Mem325_1__Imm1_0
{ CVT_95_Reg, 3, CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_2__Mem645_1__Reg1_0
{ CVT_95_Reg, 3, CVT_95_addMemOperands, 2, CVT_95_Reg, 1, CVT_Done },
// Convert__Reg1_2__Reg1_1__ImmSExti64i321_0
{ CVT_95_Reg, 3, CVT_95_Reg, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_2__Mem645_1__ImmSExti64i321_0
{ CVT_95_Reg, 3, CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_0__Mem325_1
{ CVT_95_Reg, 1, CVT_95_addMemOperands, 2, CVT_Done },
// Convert__Reg1_0__Mem645_1
{ CVT_95_Reg, 1, CVT_95_addMemOperands, 2, CVT_Done },
// Convert__Reg1_1__Mem325_0
{ CVT_95_Reg, 2, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Reg1_1__Mem645_0
{ CVT_95_Reg, 2, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_1__ImmUnsignedi81_2
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 2, CVT_95_addImmOperands, 3, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Mem1285_1__ImmUnsignedi81_2
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
// Convert__Reg1_2__Tie0_1_1__Reg1_1__ImmUnsignedi81_0
{ CVT_95_Reg, 3, CVT_Tied, Tie0_1_1, CVT_95_Reg, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_2__Tie0_1_1__Mem1285_1__ImmUnsignedi81_0
{ CVT_95_Reg, 3, CVT_Tied, Tie0_1_1, CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem1285_0
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Reg1_2__Tie0_1_1__Reg1_1
{ CVT_95_Reg, 3, CVT_Tied, Tie0_1_1, CVT_95_Reg, 2, CVT_Done },
// Convert__Reg1_2__Tie0_1_1__Mem1285_1
{ CVT_95_Reg, 3, CVT_Tied, Tie0_1_1, CVT_95_addMemOperands, 2, CVT_Done },
// Convert__Reg1_0__Mem165_1
{ CVT_95_Reg, 1, CVT_95_addMemOperands, 2, CVT_Done },
// Convert__Reg1_1__Mem165_0
{ CVT_95_Reg, 2, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Reg1_0__Tie0_1_1
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_Done },
// Convert__Reg1_0__ImmUnsignedi81_1
{ CVT_95_Reg, 1, CVT_95_addImmOperands, 2, CVT_Done },
// Convert__Mem325_1__ImmUnsignedi81_0
{ CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Mem165_0__ImmUnsignedi81_1
{ CVT_95_addMemOperands, 1, CVT_95_addImmOperands, 2, CVT_Done },
// Convert__Mem325_0__ImmUnsignedi81_1
{ CVT_95_addMemOperands, 1, CVT_95_addImmOperands, 2, CVT_Done },
// Convert__Mem645_0__ImmUnsignedi81_1
{ CVT_95_addMemOperands, 1, CVT_95_addImmOperands, 2, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__ImmUnsignedi81_1
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_addImmOperands, 2, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__ImmUnsignedi81_0
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Mem645_1__ImmUnsignedi81_0
{ CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Mem165_1__ImmUnsignedi81_0
{ CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_1__ImmUnsignedi81_0
{ CVT_95_Reg, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_0
{ CVT_95_Reg, 1, CVT_Done },
// Convert__AbsMem1_0
{ CVT_95_addAbsMemOperands, 1, CVT_Done },
// Convert__Mem165_0
{ CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Mem325_0
{ CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Mem645_0
{ CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Imm1_1__Imm1_0
{ CVT_95_addImmOperands, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_1
{ CVT_95_Reg, 2, CVT_Done },
// Convert__Mem325_1
{ CVT_95_addMemOperands, 2, CVT_Done },
// Convert__Mem645_1
{ CVT_95_addMemOperands, 2, CVT_Done },
// Convert__Mem165_1
{ CVT_95_addMemOperands, 2, CVT_Done },
// Convert__Mem85_0
{ CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_0
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 1, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_1__imm_95_7
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 2, CVT_imm_95_7, 0, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Mem165_1__imm_95_7
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_addMemOperands, 2, CVT_imm_95_7, 0, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Mem325_1__imm_95_7
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_addMemOperands, 2, CVT_imm_95_7, 0, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Mem645_1__imm_95_7
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_addMemOperands, 2, CVT_imm_95_7, 0, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_1__imm_95_3
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 2, CVT_imm_95_3, 0, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Mem165_1__imm_95_3
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_addMemOperands, 2, CVT_imm_95_3, 0, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Mem325_1__imm_95_3
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_addMemOperands, 2, CVT_imm_95_3, 0, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Mem645_1__imm_95_3
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_addMemOperands, 2, CVT_imm_95_3, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Reg1_0__imm_95_3
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_Reg, 1, CVT_imm_95_3, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem325_0__imm_95_3
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 1, CVT_imm_95_3, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem645_0__imm_95_3
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 1, CVT_imm_95_3, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem165_0__imm_95_3
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 1, CVT_imm_95_3, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Reg1_0__imm_95_7
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_Reg, 1, CVT_imm_95_7, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem325_0__imm_95_7
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 1, CVT_imm_95_7, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem645_0__imm_95_7
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 1, CVT_imm_95_7, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem165_0__imm_95_7
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 1, CVT_imm_95_7, 0, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_1__imm_95_2
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 2, CVT_imm_95_2, 0, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Mem165_1__imm_95_2
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_addMemOperands, 2, CVT_imm_95_2, 0, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Mem325_1__imm_95_2
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_addMemOperands, 2, CVT_imm_95_2, 0, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Mem645_1__imm_95_2
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_addMemOperands, 2, CVT_imm_95_2, 0, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_1__imm_95_6
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 2, CVT_imm_95_6, 0, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Mem165_1__imm_95_6
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_addMemOperands, 2, CVT_imm_95_6, 0, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Mem325_1__imm_95_6
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_addMemOperands, 2, CVT_imm_95_6, 0, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Mem645_1__imm_95_6
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_addMemOperands, 2, CVT_imm_95_6, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Reg1_0__imm_95_6
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_Reg, 1, CVT_imm_95_6, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem325_0__imm_95_6
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 1, CVT_imm_95_6, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem645_0__imm_95_6
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 1, CVT_imm_95_6, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem165_0__imm_95_6
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 1, CVT_imm_95_6, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Reg1_0__imm_95_2
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_Reg, 1, CVT_imm_95_2, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem325_0__imm_95_2
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 1, CVT_imm_95_2, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem645_0__imm_95_2
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 1, CVT_imm_95_2, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem165_0__imm_95_2
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 1, CVT_imm_95_2, 0, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_1__imm_95_4
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 2, CVT_imm_95_4, 0, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Mem165_1__imm_95_4
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_addMemOperands, 2, CVT_imm_95_4, 0, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Mem325_1__imm_95_4
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_addMemOperands, 2, CVT_imm_95_4, 0, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Mem645_1__imm_95_4
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_addMemOperands, 2, CVT_imm_95_4, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Reg1_0__imm_95_4
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_Reg, 1, CVT_imm_95_4, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem325_0__imm_95_4
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 1, CVT_imm_95_4, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem645_0__imm_95_4
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 1, CVT_imm_95_4, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem165_0__imm_95_4
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 1, CVT_imm_95_4, 0, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_1__imm_95_15
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 2, CVT_imm_95_15, 0, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Mem165_1__imm_95_15
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_addMemOperands, 2, CVT_imm_95_15, 0, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Mem325_1__imm_95_15
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_addMemOperands, 2, CVT_imm_95_15, 0, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Mem645_1__imm_95_15
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_addMemOperands, 2, CVT_imm_95_15, 0, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_1__imm_95_13
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 2, CVT_imm_95_13, 0, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Mem165_1__imm_95_13
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_addMemOperands, 2, CVT_imm_95_13, 0, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Mem325_1__imm_95_13
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_addMemOperands, 2, CVT_imm_95_13, 0, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Mem645_1__imm_95_13
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_addMemOperands, 2, CVT_imm_95_13, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Reg1_0__imm_95_13
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_Reg, 1, CVT_imm_95_13, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem325_0__imm_95_13
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 1, CVT_imm_95_13, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem645_0__imm_95_13
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 1, CVT_imm_95_13, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem165_0__imm_95_13
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 1, CVT_imm_95_13, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Reg1_0__imm_95_15
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_Reg, 1, CVT_imm_95_15, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem325_0__imm_95_15
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 1, CVT_imm_95_15, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem645_0__imm_95_15
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 1, CVT_imm_95_15, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem165_0__imm_95_15
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 1, CVT_imm_95_15, 0, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_1__imm_95_12
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 2, CVT_imm_95_12, 0, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Mem165_1__imm_95_12
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_addMemOperands, 2, CVT_imm_95_12, 0, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Mem325_1__imm_95_12
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_addMemOperands, 2, CVT_imm_95_12, 0, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Mem645_1__imm_95_12
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_addMemOperands, 2, CVT_imm_95_12, 0, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_1__imm_95_14
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 2, CVT_imm_95_14, 0, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Mem165_1__imm_95_14
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_addMemOperands, 2, CVT_imm_95_14, 0, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Mem325_1__imm_95_14
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_addMemOperands, 2, CVT_imm_95_14, 0, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Mem645_1__imm_95_14
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_addMemOperands, 2, CVT_imm_95_14, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Reg1_0__imm_95_14
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_Reg, 1, CVT_imm_95_14, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem325_0__imm_95_14
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 1, CVT_imm_95_14, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem645_0__imm_95_14
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 1, CVT_imm_95_14, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem165_0__imm_95_14
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 1, CVT_imm_95_14, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Reg1_0__imm_95_12
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_Reg, 1, CVT_imm_95_12, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem325_0__imm_95_12
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 1, CVT_imm_95_12, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem645_0__imm_95_12
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 1, CVT_imm_95_12, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem165_0__imm_95_12
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 1, CVT_imm_95_12, 0, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_1__imm_95_5
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 2, CVT_imm_95_5, 0, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Mem165_1__imm_95_5
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_addMemOperands, 2, CVT_imm_95_5, 0, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Mem325_1__imm_95_5
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_addMemOperands, 2, CVT_imm_95_5, 0, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Mem645_1__imm_95_5
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_addMemOperands, 2, CVT_imm_95_5, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Reg1_0__imm_95_5
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_Reg, 1, CVT_imm_95_5, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem325_0__imm_95_5
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 1, CVT_imm_95_5, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem645_0__imm_95_5
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 1, CVT_imm_95_5, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem165_0__imm_95_5
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 1, CVT_imm_95_5, 0, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_1__imm_95_1
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 2, CVT_imm_95_1, 0, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Mem165_1__imm_95_1
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_addMemOperands, 2, CVT_imm_95_1, 0, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Mem325_1__imm_95_1
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_addMemOperands, 2, CVT_imm_95_1, 0, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Mem645_1__imm_95_1
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_addMemOperands, 2, CVT_imm_95_1, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Reg1_0__imm_95_1
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_Reg, 1, CVT_imm_95_1, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem325_0__imm_95_1
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 1, CVT_imm_95_1, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem645_0__imm_95_1
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 1, CVT_imm_95_1, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem165_0__imm_95_1
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 1, CVT_imm_95_1, 0, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_1__imm_95_11
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 2, CVT_imm_95_11, 0, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Mem165_1__imm_95_11
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_addMemOperands, 2, CVT_imm_95_11, 0, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Mem325_1__imm_95_11
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_addMemOperands, 2, CVT_imm_95_11, 0, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Mem645_1__imm_95_11
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_addMemOperands, 2, CVT_imm_95_11, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Reg1_0__imm_95_11
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_Reg, 1, CVT_imm_95_11, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem325_0__imm_95_11
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 1, CVT_imm_95_11, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem645_0__imm_95_11
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 1, CVT_imm_95_11, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem165_0__imm_95_11
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 1, CVT_imm_95_11, 0, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_1__imm_95_9
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 2, CVT_imm_95_9, 0, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Mem165_1__imm_95_9
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_addMemOperands, 2, CVT_imm_95_9, 0, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Mem325_1__imm_95_9
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_addMemOperands, 2, CVT_imm_95_9, 0, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Mem645_1__imm_95_9
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_addMemOperands, 2, CVT_imm_95_9, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Reg1_0__imm_95_9
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_Reg, 1, CVT_imm_95_9, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem325_0__imm_95_9
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 1, CVT_imm_95_9, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem645_0__imm_95_9
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 1, CVT_imm_95_9, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem165_0__imm_95_9
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 1, CVT_imm_95_9, 0, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_1__imm_95_0
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 2, CVT_imm_95_0, 0, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Mem165_1__imm_95_0
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_addMemOperands, 2, CVT_imm_95_0, 0, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Mem325_1__imm_95_0
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_addMemOperands, 2, CVT_imm_95_0, 0, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Mem645_1__imm_95_0
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_addMemOperands, 2, CVT_imm_95_0, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Reg1_0__imm_95_0
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_Reg, 1, CVT_imm_95_0, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem325_0__imm_95_0
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 1, CVT_imm_95_0, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem645_0__imm_95_0
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 1, CVT_imm_95_0, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem165_0__imm_95_0
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 1, CVT_imm_95_0, 0, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_1__imm_95_10
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 2, CVT_imm_95_10, 0, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Mem165_1__imm_95_10
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_addMemOperands, 2, CVT_imm_95_10, 0, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Mem325_1__imm_95_10
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_addMemOperands, 2, CVT_imm_95_10, 0, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Mem645_1__imm_95_10
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_addMemOperands, 2, CVT_imm_95_10, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Reg1_0__imm_95_10
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_Reg, 1, CVT_imm_95_10, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem325_0__imm_95_10
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 1, CVT_imm_95_10, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem645_0__imm_95_10
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 1, CVT_imm_95_10, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem165_0__imm_95_10
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 1, CVT_imm_95_10, 0, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_1__imm_95_8
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 2, CVT_imm_95_8, 0, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Mem165_1__imm_95_8
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_addMemOperands, 2, CVT_imm_95_8, 0, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Mem325_1__imm_95_8
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_addMemOperands, 2, CVT_imm_95_8, 0, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Mem645_1__imm_95_8
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_addMemOperands, 2, CVT_imm_95_8, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Reg1_0__imm_95_8
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_Reg, 1, CVT_imm_95_8, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem325_0__imm_95_8
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 1, CVT_imm_95_8, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem645_0__imm_95_8
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 1, CVT_imm_95_8, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem165_0__imm_95_8
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 1, CVT_imm_95_8, 0, CVT_Done },
// Convert__regAX__ImmSExti16i81_1
{ CVT_regAX, 0, CVT_95_addImmOperands, 2, CVT_Done },
// Convert__regEAX__ImmSExti32i81_1
{ CVT_regEAX, 0, CVT_95_addImmOperands, 2, CVT_Done },
// Convert__regRAX__ImmSExti64i81_1
{ CVT_regRAX, 0, CVT_95_addImmOperands, 2, CVT_Done },
// Convert__Reg1_0__ImmSExti16i81_1
{ CVT_95_Reg, 1, CVT_95_addImmOperands, 2, CVT_Done },
// Convert__Reg1_0__Imm1_1
{ CVT_95_Reg, 1, CVT_95_addImmOperands, 2, CVT_Done },
// Convert__Reg1_0__ImmSExti32i81_1
{ CVT_95_Reg, 1, CVT_95_addImmOperands, 2, CVT_Done },
// Convert__Reg1_0__ImmSExti64i81_1
{ CVT_95_Reg, 1, CVT_95_addImmOperands, 2, CVT_Done },
// Convert__Reg1_0__ImmSExti64i321_1
{ CVT_95_Reg, 1, CVT_95_addImmOperands, 2, CVT_Done },
// Convert__Reg1_0__Mem85_1
{ CVT_95_Reg, 1, CVT_95_addMemOperands, 2, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem325_2__Reg1_0__imm_95_3
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 3, CVT_95_Reg, 1, CVT_imm_95_3, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem645_2__Reg1_0__imm_95_3
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 3, CVT_95_Reg, 1, CVT_imm_95_3, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem325_0__Reg1_2__imm_95_3
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 1, CVT_95_Reg, 3, CVT_imm_95_3, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem645_0__Reg1_2__imm_95_3
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 1, CVT_95_Reg, 3, CVT_imm_95_3, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem325_2__Reg1_0__imm_95_7
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 3, CVT_95_Reg, 1, CVT_imm_95_7, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem645_2__Reg1_0__imm_95_7
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 3, CVT_95_Reg, 1, CVT_imm_95_7, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem325_0__Reg1_2__imm_95_7
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 1, CVT_95_Reg, 3, CVT_imm_95_7, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem645_0__Reg1_2__imm_95_7
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 1, CVT_95_Reg, 3, CVT_imm_95_7, 0, CVT_Done },
// Convert__Reg1_1__Imm1_0
{ CVT_95_Reg, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_1__Mem85_0
{ CVT_95_Reg, 2, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem325_2__Reg1_0__imm_95_6
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 3, CVT_95_Reg, 1, CVT_imm_95_6, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem645_2__Reg1_0__imm_95_6
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 3, CVT_95_Reg, 1, CVT_imm_95_6, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem325_0__Reg1_2__imm_95_6
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 1, CVT_95_Reg, 3, CVT_imm_95_6, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem645_0__Reg1_2__imm_95_6
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 1, CVT_95_Reg, 3, CVT_imm_95_6, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem325_2__Reg1_0__imm_95_2
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 3, CVT_95_Reg, 1, CVT_imm_95_2, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem645_2__Reg1_0__imm_95_2
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 3, CVT_95_Reg, 1, CVT_imm_95_2, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem325_0__Reg1_2__imm_95_2
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 1, CVT_95_Reg, 3, CVT_imm_95_2, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem645_0__Reg1_2__imm_95_2
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 1, CVT_95_Reg, 3, CVT_imm_95_2, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem325_2__Reg1_0__imm_95_4
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 3, CVT_95_Reg, 1, CVT_imm_95_4, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem645_2__Reg1_0__imm_95_4
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 3, CVT_95_Reg, 1, CVT_imm_95_4, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem325_0__Reg1_2__imm_95_4
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 1, CVT_95_Reg, 3, CVT_imm_95_4, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem645_0__Reg1_2__imm_95_4
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 1, CVT_95_Reg, 3, CVT_imm_95_4, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem325_2__Reg1_0__imm_95_13
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 3, CVT_95_Reg, 1, CVT_imm_95_13, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem645_2__Reg1_0__imm_95_13
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 3, CVT_95_Reg, 1, CVT_imm_95_13, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem325_0__Reg1_2__imm_95_13
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 1, CVT_95_Reg, 3, CVT_imm_95_13, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem645_0__Reg1_2__imm_95_13
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 1, CVT_95_Reg, 3, CVT_imm_95_13, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem325_2__Reg1_0__imm_95_15
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 3, CVT_95_Reg, 1, CVT_imm_95_15, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem645_2__Reg1_0__imm_95_15
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 3, CVT_95_Reg, 1, CVT_imm_95_15, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem325_0__Reg1_2__imm_95_15
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 1, CVT_95_Reg, 3, CVT_imm_95_15, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem645_0__Reg1_2__imm_95_15
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 1, CVT_95_Reg, 3, CVT_imm_95_15, 0, CVT_Done },
// Convert__regEAX__ImmSExti32i81_0
{ CVT_regEAX, 0, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_1__ImmSExti32i81_0
{ CVT_95_Reg, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem325_2__Reg1_0__imm_95_14
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 3, CVT_95_Reg, 1, CVT_imm_95_14, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem645_2__Reg1_0__imm_95_14
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 3, CVT_95_Reg, 1, CVT_imm_95_14, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem325_0__Reg1_2__imm_95_14
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 1, CVT_95_Reg, 3, CVT_imm_95_14, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem645_0__Reg1_2__imm_95_14
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 1, CVT_95_Reg, 3, CVT_imm_95_14, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem325_2__Reg1_0__imm_95_12
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 3, CVT_95_Reg, 1, CVT_imm_95_12, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem645_2__Reg1_0__imm_95_12
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 3, CVT_95_Reg, 1, CVT_imm_95_12, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem325_0__Reg1_2__imm_95_12
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 1, CVT_95_Reg, 3, CVT_imm_95_12, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem645_0__Reg1_2__imm_95_12
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 1, CVT_95_Reg, 3, CVT_imm_95_12, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem325_2__Reg1_0__imm_95_5
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 3, CVT_95_Reg, 1, CVT_imm_95_5, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem645_2__Reg1_0__imm_95_5
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 3, CVT_95_Reg, 1, CVT_imm_95_5, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem325_0__Reg1_2__imm_95_5
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 1, CVT_95_Reg, 3, CVT_imm_95_5, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem645_0__Reg1_2__imm_95_5
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 1, CVT_95_Reg, 3, CVT_imm_95_5, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem325_2__Reg1_0__imm_95_1
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 3, CVT_95_Reg, 1, CVT_imm_95_1, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem645_2__Reg1_0__imm_95_1
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 3, CVT_95_Reg, 1, CVT_imm_95_1, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem325_0__Reg1_2__imm_95_1
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 1, CVT_95_Reg, 3, CVT_imm_95_1, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem645_0__Reg1_2__imm_95_1
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 1, CVT_95_Reg, 3, CVT_imm_95_1, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem325_2__Reg1_0__imm_95_11
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 3, CVT_95_Reg, 1, CVT_imm_95_11, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem645_2__Reg1_0__imm_95_11
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 3, CVT_95_Reg, 1, CVT_imm_95_11, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem325_0__Reg1_2__imm_95_11
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 1, CVT_95_Reg, 3, CVT_imm_95_11, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem645_0__Reg1_2__imm_95_11
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 1, CVT_95_Reg, 3, CVT_imm_95_11, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem325_2__Reg1_0__imm_95_9
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 3, CVT_95_Reg, 1, CVT_imm_95_9, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem645_2__Reg1_0__imm_95_9
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 3, CVT_95_Reg, 1, CVT_imm_95_9, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem325_0__Reg1_2__imm_95_9
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 1, CVT_95_Reg, 3, CVT_imm_95_9, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem645_0__Reg1_2__imm_95_9
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 1, CVT_95_Reg, 3, CVT_imm_95_9, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem325_2__Reg1_0__imm_95_0
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 3, CVT_95_Reg, 1, CVT_imm_95_0, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem645_2__Reg1_0__imm_95_0
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 3, CVT_95_Reg, 1, CVT_imm_95_0, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem325_0__Reg1_2__imm_95_0
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 1, CVT_95_Reg, 3, CVT_imm_95_0, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem645_0__Reg1_2__imm_95_0
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 1, CVT_95_Reg, 3, CVT_imm_95_0, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem325_2__Reg1_0__imm_95_10
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 3, CVT_95_Reg, 1, CVT_imm_95_10, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem645_2__Reg1_0__imm_95_10
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 3, CVT_95_Reg, 1, CVT_imm_95_10, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem325_0__Reg1_2__imm_95_10
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 1, CVT_95_Reg, 3, CVT_imm_95_10, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem645_0__Reg1_2__imm_95_10
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 1, CVT_95_Reg, 3, CVT_imm_95_10, 0, CVT_Done },
// Convert__regRAX__ImmSExti64i81_0
{ CVT_regRAX, 0, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_1__ImmSExti64i81_0
{ CVT_95_Reg, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_1__ImmSExti64i321_0
{ CVT_95_Reg, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__DstIdx161_1__SrcIdx162_0
{ CVT_95_addDstIdxOperands, 2, CVT_95_addSrcIdxOperands, 1, CVT_Done },
// Convert__DstIdx321_1__SrcIdx322_0
{ CVT_95_addDstIdxOperands, 2, CVT_95_addSrcIdxOperands, 1, CVT_Done },
// Convert__DstIdx641_1__SrcIdx642_0
{ CVT_95_addDstIdxOperands, 2, CVT_95_addSrcIdxOperands, 1, CVT_Done },
// Convert__DstIdx81_1__SrcIdx82_0
{ CVT_95_addDstIdxOperands, 2, CVT_95_addSrcIdxOperands, 1, CVT_Done },
// Convert__DstIdx81_0__SrcIdx82_1
{ CVT_95_addDstIdxOperands, 1, CVT_95_addSrcIdxOperands, 2, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Mem645_1__ImmUnsignedi81_2
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
// Convert__Reg1_2__Tie0_1_1__Mem645_1__ImmUnsignedi81_0
{ CVT_95_Reg, 3, CVT_Tied, Tie0_1_1, CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__DstIdx321_0__SrcIdx322_1
{ CVT_95_addDstIdxOperands, 1, CVT_95_addSrcIdxOperands, 2, CVT_Done },
// Convert__DstIdx641_0__SrcIdx642_1
{ CVT_95_addDstIdxOperands, 1, CVT_95_addSrcIdxOperands, 2, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Mem325_1__ImmUnsignedi81_2
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
// Convert__Reg1_2__Tie0_1_1__Mem325_1__ImmUnsignedi81_0
{ CVT_95_Reg, 3, CVT_Tied, Tie0_1_1, CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__DstIdx161_0__SrcIdx162_1
{ CVT_95_addDstIdxOperands, 1, CVT_95_addSrcIdxOperands, 2, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem325_2__Reg1_0__imm_95_8
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 3, CVT_95_Reg, 1, CVT_imm_95_8, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem645_2__Reg1_0__imm_95_8
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 3, CVT_95_Reg, 1, CVT_imm_95_8, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem325_0__Reg1_2__imm_95_8
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 1, CVT_95_Reg, 3, CVT_imm_95_8, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem645_0__Reg1_2__imm_95_8
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 1, CVT_95_Reg, 3, CVT_imm_95_8, 0, CVT_Done },
// Convert__regAX__ImmSExti16i81_0
{ CVT_regAX, 0, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_1__ImmSExti16i81_0
{ CVT_95_Reg, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Mem1285_0
{ CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Mem85_1
{ CVT_95_addMemOperands, 2, CVT_Done },
// Convert__Reg1_0__Mem5125_1
{ CVT_95_Reg, 1, CVT_95_addMemOperands, 2, CVT_Done },
// Convert__Reg1_1__Mem5125_0
{ CVT_95_Reg, 2, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Imm1_0__Imm1_1
{ CVT_95_addImmOperands, 1, CVT_95_addImmOperands, 2, CVT_Done },
// Convert__GR32orGR641_2__Reg1_1__ImmUnsignedi81_0
{ CVT_95_addGR32orGR64Operands, 3, CVT_95_Reg, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Mem325_2__Reg1_1__ImmUnsignedi81_0
{ CVT_95_addMemOperands, 3, CVT_95_Reg, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__GR32orGR641_0__Reg1_1__ImmUnsignedi81_2
{ CVT_95_addGR32orGR64Operands, 1, CVT_95_Reg, 2, CVT_95_addImmOperands, 3, CVT_Done },
// Convert__Mem325_0__Reg1_1__ImmUnsignedi81_2
{ CVT_95_addMemOperands, 1, CVT_95_Reg, 2, CVT_95_addImmOperands, 3, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__ImmUnsignedi81_1__ImmUnsignedi81_2
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_addImmOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
// Convert__Reg1_2__Tie0_3_3__ImmUnsignedi81_1__ImmUnsignedi81_0
{ CVT_95_Reg, 3, CVT_Tied, Tie0_3_3, CVT_95_addImmOperands, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__regST1
{ CVT_regST1, 0, CVT_Done },
// Convert__regST0
{ CVT_regST0, 0, CVT_Done },
// Convert__Mem805_0
{ CVT_95_addMemOperands, 1, CVT_Done },
// Convert__ImmUnsignedi81_0
{ CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_0__Reg1_0__ImmSExti16i81_1
{ CVT_95_Reg, 1, CVT_95_Reg, 1, CVT_95_addImmOperands, 2, CVT_Done },
// Convert__Reg1_0__Reg1_0__Imm1_1
{ CVT_95_Reg, 1, CVT_95_Reg, 1, CVT_95_addImmOperands, 2, CVT_Done },
// Convert__Reg1_0__Reg1_0__ImmSExti32i81_1
{ CVT_95_Reg, 1, CVT_95_Reg, 1, CVT_95_addImmOperands, 2, CVT_Done },
// Convert__Reg1_0__Reg1_0__ImmSExti64i81_1
{ CVT_95_Reg, 1, CVT_95_Reg, 1, CVT_95_addImmOperands, 2, CVT_Done },
// Convert__Reg1_0__Reg1_0__ImmSExti64i321_1
{ CVT_95_Reg, 1, CVT_95_Reg, 1, CVT_95_addImmOperands, 2, CVT_Done },
// Convert__Reg1_0__Reg1_1__ImmSExti16i81_2
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addImmOperands, 3, CVT_Done },
// Convert__Reg1_0__Mem165_1__ImmSExti16i81_2
{ CVT_95_Reg, 1, CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
// Convert__Reg1_0__Mem165_1__Imm1_2
{ CVT_95_Reg, 1, CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
// Convert__Reg1_0__Reg1_1__ImmSExti32i81_2
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addImmOperands, 3, CVT_Done },
// Convert__Reg1_0__Mem325_1__ImmSExti32i81_2
{ CVT_95_Reg, 1, CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
// Convert__Reg1_0__Reg1_1__ImmSExti64i81_2
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addImmOperands, 3, CVT_Done },
// Convert__Reg1_0__Mem645_1__ImmSExti64i81_2
{ CVT_95_Reg, 1, CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
// Convert__Reg1_1__Reg1_1__ImmSExti32i81_0
{ CVT_95_Reg, 2, CVT_95_Reg, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_1__Reg1_1__Imm1_0
{ CVT_95_Reg, 2, CVT_95_Reg, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_2__Reg1_1__ImmSExti32i81_0
{ CVT_95_Reg, 3, CVT_95_Reg, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_2__Mem325_1__ImmSExti32i81_0
{ CVT_95_Reg, 3, CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_1__Reg1_1__ImmSExti64i81_0
{ CVT_95_Reg, 2, CVT_95_Reg, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_1__Reg1_1__ImmSExti64i321_0
{ CVT_95_Reg, 2, CVT_95_Reg, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_2__Reg1_1__ImmSExti64i81_0
{ CVT_95_Reg, 3, CVT_95_Reg, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_2__Mem645_1__ImmSExti64i81_0
{ CVT_95_Reg, 3, CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_1__Reg1_1__ImmSExti16i81_0
{ CVT_95_Reg, 2, CVT_95_Reg, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_1__Tie0_1_1__Mem165_0
{ CVT_95_Reg, 2, CVT_Tied, Tie0_1_1, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Reg1_2__Reg1_1__ImmSExti16i81_0
{ CVT_95_Reg, 3, CVT_95_Reg, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_2__Mem165_1__ImmSExti16i81_0
{ CVT_95_Reg, 3, CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_2__Mem165_1__Imm1_0
{ CVT_95_Reg, 3, CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__ImmUnsignedi81_1
{ CVT_95_addImmOperands, 2, CVT_Done },
// Convert__DstIdx161_0
{ CVT_95_addDstIdxOperands, 1, CVT_Done },
// Convert__DstIdx321_0
{ CVT_95_addDstIdxOperands, 1, CVT_Done },
// Convert__DstIdx81_0
{ CVT_95_addDstIdxOperands, 1, CVT_Done },
// Convert__DstIdx81_1
{ CVT_95_addDstIdxOperands, 2, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_1__ImmUnsignedi81_2__ImmUnsignedi81_3
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 2, CVT_95_addImmOperands, 3, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__Reg1_3__Tie0_4_4__Reg1_2__ImmUnsignedi81_1__ImmUnsignedi81_0
{ CVT_95_Reg, 4, CVT_Tied, Tie0_4_4, CVT_95_Reg, 3, CVT_95_addImmOperands, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__DstIdx321_1
{ CVT_95_addDstIdxOperands, 2, CVT_Done },
// Convert__DstIdx161_1
{ CVT_95_addDstIdxOperands, 2, CVT_Done },
// Convert__AbsMem1_0__imm_95_7
{ CVT_95_addAbsMemOperands, 1, CVT_imm_95_7, 0, CVT_Done },
// Convert__AbsMem1_0__imm_95_3
{ CVT_95_addAbsMemOperands, 1, CVT_imm_95_3, 0, CVT_Done },
// Convert__AbsMem1_0__imm_95_2
{ CVT_95_addAbsMemOperands, 1, CVT_imm_95_2, 0, CVT_Done },
// Convert__AbsMem1_0__imm_95_6
{ CVT_95_addAbsMemOperands, 1, CVT_imm_95_6, 0, CVT_Done },
// Convert__AbsMem1_0__imm_95_4
{ CVT_95_addAbsMemOperands, 1, CVT_imm_95_4, 0, CVT_Done },
// Convert__AbsMem1_0__imm_95_15
{ CVT_95_addAbsMemOperands, 1, CVT_imm_95_15, 0, CVT_Done },
// Convert__AbsMem1_0__imm_95_13
{ CVT_95_addAbsMemOperands, 1, CVT_imm_95_13, 0, CVT_Done },
// Convert__AbsMem1_0__imm_95_12
{ CVT_95_addAbsMemOperands, 1, CVT_imm_95_12, 0, CVT_Done },
// Convert__AbsMem1_0__imm_95_14
{ CVT_95_addAbsMemOperands, 1, CVT_imm_95_14, 0, CVT_Done },
// Convert__AbsMem1_0__imm_95_5
{ CVT_95_addAbsMemOperands, 1, CVT_imm_95_5, 0, CVT_Done },
// Convert__AbsMem1_0__imm_95_1
{ CVT_95_addAbsMemOperands, 1, CVT_imm_95_1, 0, CVT_Done },
// Convert__AbsMem1_0__imm_95_11
{ CVT_95_addAbsMemOperands, 1, CVT_imm_95_11, 0, CVT_Done },
// Convert__AbsMem1_0__imm_95_9
{ CVT_95_addAbsMemOperands, 1, CVT_imm_95_9, 0, CVT_Done },
// Convert__AbsMem1_0__imm_95_0
{ CVT_95_addAbsMemOperands, 1, CVT_imm_95_0, 0, CVT_Done },
// Convert__AbsMem1_0__imm_95_10
{ CVT_95_addAbsMemOperands, 1, CVT_imm_95_10, 0, CVT_Done },
// Convert__AbsMem1_0__imm_95_8
{ CVT_95_addAbsMemOperands, 1, CVT_imm_95_8, 0, CVT_Done },
// Convert__Reg1_0__GR16orGR32orGR641_1
{ CVT_95_Reg, 1, CVT_95_addGR16orGR32orGR64Operands, 2, CVT_Done },
// Convert__Reg1_1__GR16orGR32orGR641_0
{ CVT_95_Reg, 2, CVT_95_addGR16orGR32orGR64Operands, 1, CVT_Done },
// Convert__Mem5_1
{ CVT_95_addMemOperands, 2, CVT_Done },
// Convert__Reg1_0__Mem5_1
{ CVT_95_Reg, 1, CVT_95_addMemOperands, 2, CVT_Done },
// Convert__Reg1_1__Mem5_0
{ CVT_95_Reg, 2, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__SrcIdx162_0
{ CVT_95_addSrcIdxOperands, 1, CVT_Done },
// Convert__SrcIdx322_0
{ CVT_95_addSrcIdxOperands, 1, CVT_Done },
// Convert__SrcIdx642_0
{ CVT_95_addSrcIdxOperands, 1, CVT_Done },
// Convert__SrcIdx82_0
{ CVT_95_addSrcIdxOperands, 1, CVT_Done },
// Convert__SrcIdx82_1
{ CVT_95_addSrcIdxOperands, 2, CVT_Done },
// Convert__SrcIdx162_1
{ CVT_95_addSrcIdxOperands, 2, CVT_Done },
// Convert__SrcIdx322_1
{ CVT_95_addSrcIdxOperands, 2, CVT_Done },
// Convert__SrcIdx642_1
{ CVT_95_addSrcIdxOperands, 2, CVT_Done },
// Convert__MemOffs16_82_1
{ CVT_95_addMemOffsOperands, 2, CVT_Done },
// Convert__MemOffs32_82_1
{ CVT_95_addMemOffsOperands, 2, CVT_Done },
// Convert__MemOffs16_162_1
{ CVT_95_addMemOffsOperands, 2, CVT_Done },
// Convert__MemOffs32_162_1
{ CVT_95_addMemOffsOperands, 2, CVT_Done },
// Convert__MemOffs16_322_1
{ CVT_95_addMemOffsOperands, 2, CVT_Done },
// Convert__MemOffs32_322_1
{ CVT_95_addMemOffsOperands, 2, CVT_Done },
// Convert__MemOffs32_642_1
{ CVT_95_addMemOffsOperands, 2, CVT_Done },
// Convert__MemOffs16_162_0
{ CVT_95_addMemOffsOperands, 1, CVT_Done },
// Convert__MemOffs16_322_0
{ CVT_95_addMemOffsOperands, 1, CVT_Done },
// Convert__MemOffs16_82_0
{ CVT_95_addMemOffsOperands, 1, CVT_Done },
// Convert__MemOffs32_162_0
{ CVT_95_addMemOffsOperands, 1, CVT_Done },
// Convert__MemOffs32_322_0
{ CVT_95_addMemOffsOperands, 1, CVT_Done },
// Convert__MemOffs32_642_0
{ CVT_95_addMemOffsOperands, 1, CVT_Done },
// Convert__MemOffs32_82_0
{ CVT_95_addMemOffsOperands, 1, CVT_Done },
// Convert__MemOffs64_82_1
{ CVT_95_addMemOffsOperands, 2, CVT_Done },
// Convert__MemOffs64_162_1
{ CVT_95_addMemOffsOperands, 2, CVT_Done },
// Convert__MemOffs64_322_1
{ CVT_95_addMemOffsOperands, 2, CVT_Done },
// Convert__MemOffs64_642_1
{ CVT_95_addMemOffsOperands, 2, CVT_Done },
// Convert__MemOffs64_162_0
{ CVT_95_addMemOffsOperands, 1, CVT_Done },
// Convert__MemOffs64_322_0
{ CVT_95_addMemOffsOperands, 1, CVT_Done },
// Convert__MemOffs64_642_0
{ CVT_95_addMemOffsOperands, 1, CVT_Done },
// Convert__MemOffs64_82_0
{ CVT_95_addMemOffsOperands, 1, CVT_Done },
// Convert__Mem1285_1__Reg1_0
{ CVT_95_addMemOperands, 2, CVT_95_Reg, 1, CVT_Done },
// Convert__Mem1285_0__Reg1_1
{ CVT_95_addMemOperands, 1, CVT_95_Reg, 2, CVT_Done },
// Convert__GR32orGR641_1__Reg1_0
{ CVT_95_addGR32orGR64Operands, 2, CVT_95_Reg, 1, CVT_Done },
// Convert__GR32orGR641_0__Reg1_1
{ CVT_95_addGR32orGR64Operands, 1, CVT_95_Reg, 2, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Reg1_0__imm_95_17
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_Reg, 1, CVT_imm_95_17, 0, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_1__imm_95_17
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 2, CVT_imm_95_17, 0, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Mem1285_1__imm_95_17
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_addMemOperands, 2, CVT_imm_95_17, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem1285_0__imm_95_17
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 1, CVT_imm_95_17, 0, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Mem1285_1__imm_95_1
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_addMemOperands, 2, CVT_imm_95_1, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem1285_0__imm_95_1
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 1, CVT_imm_95_1, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Reg1_0__imm_95_16
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_Reg, 1, CVT_imm_95_16, 0, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_1__imm_95_16
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 2, CVT_imm_95_16, 0, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Mem1285_1__imm_95_16
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_addMemOperands, 2, CVT_imm_95_16, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem1285_0__imm_95_16
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 1, CVT_imm_95_16, 0, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Mem1285_1__imm_95_0
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_addMemOperands, 2, CVT_imm_95_0, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Mem1285_0__imm_95_0
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_addMemOperands, 1, CVT_imm_95_0, 0, CVT_Done },
// Convert__Mem85_2__Reg1_1__ImmUnsignedi81_0
{ CVT_95_addMemOperands, 3, CVT_95_Reg, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Mem85_0__Reg1_1__ImmUnsignedi81_2
{ CVT_95_addMemOperands, 1, CVT_95_Reg, 2, CVT_95_addImmOperands, 3, CVT_Done },
// Convert__Mem645_2__Reg1_1__ImmUnsignedi81_0
{ CVT_95_addMemOperands, 3, CVT_95_Reg, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Mem645_0__Reg1_1__ImmUnsignedi81_2
{ CVT_95_addMemOperands, 1, CVT_95_Reg, 2, CVT_95_addImmOperands, 3, CVT_Done },
// Convert__Mem165_2__Reg1_1__ImmUnsignedi81_0
{ CVT_95_addMemOperands, 3, CVT_95_Reg, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Mem165_0__Reg1_1__ImmUnsignedi81_2
{ CVT_95_addMemOperands, 1, CVT_95_Reg, 2, CVT_95_addImmOperands, 3, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__GR32orGR641_1__ImmUnsignedi81_2
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_addGR32orGR64Operands, 2, CVT_95_addImmOperands, 3, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Mem85_1__ImmUnsignedi81_2
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
// Convert__Reg1_2__Tie0_1_1__GR32orGR641_1__ImmUnsignedi81_0
{ CVT_95_Reg, 3, CVT_Tied, Tie0_1_1, CVT_95_addGR32orGR64Operands, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_2__Tie0_1_1__Mem85_1__ImmUnsignedi81_0
{ CVT_95_Reg, 3, CVT_Tied, Tie0_1_1, CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Mem165_1__ImmUnsignedi81_2
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
// Convert__Reg1_2__Tie0_1_1__Mem165_1__ImmUnsignedi81_0
{ CVT_95_Reg, 3, CVT_Tied, Tie0_1_1, CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_0__Mem645_1__ImmUnsignedi81_2
{ CVT_95_Reg, 1, CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
// Convert__Reg1_2__Mem645_1__ImmUnsignedi81_0
{ CVT_95_Reg, 3, CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_1__Tie0_1_1__ImmUnsignedi81_0
{ CVT_95_Reg, 2, CVT_Tied, Tie0_1_1, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__ImmSExti64i81_0
{ CVT_95_addImmOperands, 1, CVT_Done },
// Convert__ImmSExti16i81_0
{ CVT_95_addImmOperands, 1, CVT_Done },
// Convert__ImmSExti32i81_0
{ CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Mem85_0__ImmUnsignedi81_1
{ CVT_95_addMemOperands, 1, CVT_95_addImmOperands, 2, CVT_Done },
// Convert__Reg1_1__Tie0_1_1
{ CVT_95_Reg, 2, CVT_Tied, Tie0_1_1, CVT_Done },
// Convert__Mem85_1__ImmUnsignedi81_0
{ CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_0__Mem325_1__ImmUnsignedi81_2
{ CVT_95_Reg, 1, CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
// Convert__Reg1_2__Mem325_1__ImmUnsignedi81_0
{ CVT_95_Reg, 3, CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__DstIdx641_0
{ CVT_95_addDstIdxOperands, 1, CVT_Done },
// Convert__DstIdx641_1
{ CVT_95_addDstIdxOperands, 2, CVT_Done },
// Convert__Reg1_0__imm_95_7
{ CVT_95_Reg, 1, CVT_imm_95_7, 0, CVT_Done },
// Convert__Mem85_0__imm_95_7
{ CVT_95_addMemOperands, 1, CVT_imm_95_7, 0, CVT_Done },
// Convert__Reg1_0__imm_95_3
{ CVT_95_Reg, 1, CVT_imm_95_3, 0, CVT_Done },
// Convert__Mem85_0__imm_95_3
{ CVT_95_addMemOperands, 1, CVT_imm_95_3, 0, CVT_Done },
// Convert__Reg1_0__imm_95_2
{ CVT_95_Reg, 1, CVT_imm_95_2, 0, CVT_Done },
// Convert__Mem85_0__imm_95_2
{ CVT_95_addMemOperands, 1, CVT_imm_95_2, 0, CVT_Done },
// Convert__Reg1_0__imm_95_6
{ CVT_95_Reg, 1, CVT_imm_95_6, 0, CVT_Done },
// Convert__Mem85_0__imm_95_6
{ CVT_95_addMemOperands, 1, CVT_imm_95_6, 0, CVT_Done },
// Convert__Reg1_0__imm_95_4
{ CVT_95_Reg, 1, CVT_imm_95_4, 0, CVT_Done },
// Convert__Mem85_0__imm_95_4
{ CVT_95_addMemOperands, 1, CVT_imm_95_4, 0, CVT_Done },
// Convert__Reg1_0__imm_95_15
{ CVT_95_Reg, 1, CVT_imm_95_15, 0, CVT_Done },
// Convert__Mem85_0__imm_95_15
{ CVT_95_addMemOperands, 1, CVT_imm_95_15, 0, CVT_Done },
// Convert__Reg1_0__imm_95_13
{ CVT_95_Reg, 1, CVT_imm_95_13, 0, CVT_Done },
// Convert__Mem85_0__imm_95_13
{ CVT_95_addMemOperands, 1, CVT_imm_95_13, 0, CVT_Done },
// Convert__Reg1_0__imm_95_12
{ CVT_95_Reg, 1, CVT_imm_95_12, 0, CVT_Done },
// Convert__Mem85_0__imm_95_12
{ CVT_95_addMemOperands, 1, CVT_imm_95_12, 0, CVT_Done },
// Convert__Reg1_0__imm_95_14
{ CVT_95_Reg, 1, CVT_imm_95_14, 0, CVT_Done },
// Convert__Mem85_0__imm_95_14
{ CVT_95_addMemOperands, 1, CVT_imm_95_14, 0, CVT_Done },
// Convert__Reg1_0__imm_95_5
{ CVT_95_Reg, 1, CVT_imm_95_5, 0, CVT_Done },
// Convert__Mem85_0__imm_95_5
{ CVT_95_addMemOperands, 1, CVT_imm_95_5, 0, CVT_Done },
// Convert__Reg1_0__imm_95_1
{ CVT_95_Reg, 1, CVT_imm_95_1, 0, CVT_Done },
// Convert__Mem85_0__imm_95_1
{ CVT_95_addMemOperands, 1, CVT_imm_95_1, 0, CVT_Done },
// Convert__Reg1_0__imm_95_11
{ CVT_95_Reg, 1, CVT_imm_95_11, 0, CVT_Done },
// Convert__Mem85_0__imm_95_11
{ CVT_95_addMemOperands, 1, CVT_imm_95_11, 0, CVT_Done },
// Convert__Reg1_0__imm_95_9
{ CVT_95_Reg, 1, CVT_imm_95_9, 0, CVT_Done },
// Convert__Mem85_0__imm_95_9
{ CVT_95_addMemOperands, 1, CVT_imm_95_9, 0, CVT_Done },
// Convert__Reg1_0__imm_95_0
{ CVT_95_Reg, 1, CVT_imm_95_0, 0, CVT_Done },
// Convert__Mem85_0__imm_95_0
{ CVT_95_addMemOperands, 1, CVT_imm_95_0, 0, CVT_Done },
// Convert__Reg1_0__imm_95_10
{ CVT_95_Reg, 1, CVT_imm_95_10, 0, CVT_Done },
// Convert__Mem85_0__imm_95_10
{ CVT_95_addMemOperands, 1, CVT_imm_95_10, 0, CVT_Done },
// Convert__Reg1_0__imm_95_8
{ CVT_95_Reg, 1, CVT_imm_95_8, 0, CVT_Done },
// Convert__Mem85_0__imm_95_8
{ CVT_95_addMemOperands, 1, CVT_imm_95_8, 0, CVT_Done },
// Convert__Mem325_2__Reg1_1
{ CVT_95_addMemOperands, 3, CVT_95_Reg, 2, CVT_Done },
// Convert__Mem645_2__Reg1_1
{ CVT_95_addMemOperands, 3, CVT_95_Reg, 2, CVT_Done },
// Convert__Mem165_2__Reg1_1
{ CVT_95_addMemOperands, 3, CVT_95_Reg, 2, CVT_Done },
// Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0
{ CVT_95_Reg, 3, CVT_Tied, Tie0_1_1, CVT_95_Reg, 2, CVT_95_Reg, 1, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 2, CVT_95_Reg, 3, CVT_Done },
// Convert__Reg1_2__Tie0_3_3__Reg1_1__Reg1_0
{ CVT_95_Reg, 3, CVT_Tied, Tie0_3_3, CVT_95_Reg, 2, CVT_95_Reg, 1, CVT_Done },
// Convert__Reg1_0__SibMem5_1
{ CVT_95_Reg, 1, CVT_95_addMemOperands, 2, CVT_Done },
// Convert__Reg1_1__SibMem5_0
{ CVT_95_Reg, 2, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__SibMem5_1__Reg1_0
{ CVT_95_addMemOperands, 2, CVT_95_Reg, 1, CVT_Done },
// Convert__SibMem5_0__Reg1_1
{ CVT_95_addMemOperands, 1, CVT_95_Reg, 2, CVT_Done },
// Convert__GR32orGR641_0
{ CVT_95_addGR32orGR64Operands, 1, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 2, CVT_95_addMemOperands, 3, CVT_Done },
// Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0
{ CVT_95_Reg, 3, CVT_Tied, Tie0_1_1, CVT_95_Reg, 2, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 3, CVT_95_Reg, 5, CVT_95_addMemOperands, 6, CVT_Done },
// Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0
{ CVT_95_Reg, 3, CVT_Tied, Tie0_1_1, CVT_95_Reg, 5, CVT_95_Reg, 2, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem1285_6
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 3, CVT_95_Reg, 6, CVT_95_addMemOperands, 7, CVT_Done },
// Convert__Reg1_0__Reg1_1__Mem1285_2
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addMemOperands, 3, CVT_Done },
// Convert__Reg1_0__Reg1_1__Mem2565_2
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addMemOperands, 3, CVT_Done },
// Convert__Reg1_0__Reg1_1__Mem5125_2
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addMemOperands, 3, CVT_Done },
// Convert__Reg1_2__Reg1_1__Mem1285_0
{ CVT_95_Reg, 3, CVT_95_Reg, 2, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Reg1_2__Reg1_1__Mem2565_0
{ CVT_95_Reg, 3, CVT_95_Reg, 2, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Reg1_2__Reg1_1__Mem5125_0
{ CVT_95_Reg, 3, CVT_95_Reg, 2, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Reg1_0__Reg1_1__Reg1_2__AVX512RC1_3
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_Reg, 3, CVT_95_addAVX512RCOperands, 4, CVT_Done },
// Convert__Reg1_3__Reg1_2__Reg1_1__AVX512RC1_0
{ CVT_95_Reg, 4, CVT_95_Reg, 3, CVT_95_Reg, 2, CVT_95_addAVX512RCOperands, 1, CVT_Done },
// Convert__Reg1_3__Reg1_2__Mem645_0
{ CVT_95_Reg, 4, CVT_95_Reg, 3, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 3, CVT_95_Reg, 5, CVT_95_Reg, 6, CVT_Done },
// Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0
{ CVT_95_Reg, 3, CVT_Tied, Tie0_1_1, CVT_95_Reg, 5, CVT_95_Reg, 2, CVT_95_Reg, 1, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 3, CVT_95_Reg, 5, CVT_95_addMemOperands, 6, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 3, CVT_95_Reg, 5, CVT_95_addMemOperands, 6, CVT_Done },
// Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0
{ CVT_95_Reg, 3, CVT_Tied, Tie0_1_1, CVT_95_Reg, 5, CVT_95_Reg, 2, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0
{ CVT_95_Reg, 3, CVT_Tied, Tie0_1_1, CVT_95_Reg, 5, CVT_95_Reg, 2, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_Reg, 6, CVT_95_Reg, 7, CVT_Done },
// Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_Reg, 6, CVT_95_addMemOperands, 7, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 3, CVT_95_Reg, 5, CVT_95_addMemOperands, 6, CVT_Done },
// Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0
{ CVT_95_Reg, 3, CVT_95_Reg, 5, CVT_95_Reg, 2, CVT_95_Reg, 1, CVT_Done },
// Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_Reg, 6, CVT_95_addMemOperands, 7, CVT_Done },
// Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_Reg, 6, CVT_95_addMemOperands, 7, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 3, CVT_95_Reg, 5, CVT_95_Reg, 6, CVT_95_addAVX512RCOperands, 7, CVT_Done },
// Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0
{ CVT_95_Reg, 4, CVT_Tied, Tie0_1_1, CVT_95_Reg, 6, CVT_95_Reg, 3, CVT_95_Reg, 2, CVT_95_addAVX512RCOperands, 1, CVT_Done },
// Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0
{ CVT_95_Reg, 3, CVT_95_Reg, 5, CVT_95_Reg, 2, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0
{ CVT_95_Reg, 3, CVT_95_Reg, 5, CVT_95_Reg, 2, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0
{ CVT_95_Reg, 3, CVT_95_Reg, 5, CVT_95_Reg, 2, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0
{ CVT_95_Reg, 4, CVT_Tied, Tie0_1_1, CVT_95_Reg, 6, CVT_95_Reg, 3, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_Reg, 6, CVT_95_addMemOperands, 7, CVT_Done },
// Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_Reg, 6, CVT_95_Reg, 7, CVT_95_addAVX512RCOperands, 8, CVT_Done },
// Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0
{ CVT_95_Reg, 4, CVT_95_Reg, 6, CVT_95_Reg, 3, CVT_95_Reg, 2, CVT_95_addAVX512RCOperands, 1, CVT_Done },
// Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0
{ CVT_95_Reg, 4, CVT_95_Reg, 6, CVT_95_Reg, 3, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Reg1_0__Reg1_1__Mem165_2
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addMemOperands, 3, CVT_Done },
// Convert__Reg1_3__Reg1_2__Mem165_0
{ CVT_95_Reg, 4, CVT_95_Reg, 3, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 3, CVT_95_Reg, 5, CVT_95_addMemOperands, 6, CVT_Done },
// Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0
{ CVT_95_Reg, 4, CVT_Tied, Tie0_1_1, CVT_95_Reg, 6, CVT_95_Reg, 3, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Reg1_0__Reg1_2__Reg1_5__Mem165_6
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_Reg, 6, CVT_95_addMemOperands, 7, CVT_Done },
// Convert__Reg1_3__Reg1_5__Reg1_2__Mem165_0
{ CVT_95_Reg, 4, CVT_95_Reg, 6, CVT_95_Reg, 3, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Reg1_3__Reg1_2__Mem325_0
{ CVT_95_Reg, 4, CVT_95_Reg, 3, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 3, CVT_95_Reg, 5, CVT_95_addMemOperands, 6, CVT_Done },
// Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0
{ CVT_95_Reg, 4, CVT_Tied, Tie0_1_1, CVT_95_Reg, 6, CVT_95_Reg, 3, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_Reg, 6, CVT_95_addMemOperands, 7, CVT_Done },
// Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0
{ CVT_95_Reg, 4, CVT_95_Reg, 6, CVT_95_Reg, 3, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem645_0
{ CVT_95_Reg, 3, CVT_Tied, Tie0_1_1, CVT_95_Reg, 5, CVT_95_Reg, 2, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Reg1_2__Reg1_4__Reg1_1__Mem645_0
{ CVT_95_Reg, 3, CVT_95_Reg, 5, CVT_95_Reg, 2, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Reg1_2__Reg1_1__Mem165_0
{ CVT_95_Reg, 3, CVT_95_Reg, 2, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem165_0
{ CVT_95_Reg, 3, CVT_Tied, Tie0_1_1, CVT_95_Reg, 5, CVT_95_Reg, 2, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Reg1_2__Reg1_4__Reg1_1__Mem165_0
{ CVT_95_Reg, 3, CVT_95_Reg, 5, CVT_95_Reg, 2, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem325_0
{ CVT_95_Reg, 3, CVT_Tied, Tie0_1_1, CVT_95_Reg, 5, CVT_95_Reg, 2, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Reg1_2__Reg1_4__Reg1_1__Mem325_0
{ CVT_95_Reg, 3, CVT_95_Reg, 5, CVT_95_Reg, 2, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_Reg, 3, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__Reg1_0__Reg1_1__Mem1285_2__ImmUnsignedi81_3
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addMemOperands, 3, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__Reg1_0__Reg1_1__Mem2565_2__ImmUnsignedi81_3
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addMemOperands, 3, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__Reg1_0__Reg1_1__Mem5125_2__ImmUnsignedi81_3
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addMemOperands, 3, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0
{ CVT_95_Reg, 4, CVT_95_Reg, 3, CVT_95_Reg, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_3__Reg1_2__Mem1285_1__ImmUnsignedi81_0
{ CVT_95_Reg, 4, CVT_95_Reg, 3, CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_3__Reg1_2__Mem2565_1__ImmUnsignedi81_0
{ CVT_95_Reg, 4, CVT_95_Reg, 3, CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_3__Reg1_2__Mem5125_1__ImmUnsignedi81_0
{ CVT_95_Reg, 4, CVT_95_Reg, 3, CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_0__Reg1_1__Mem325_2__ImmUnsignedi81_4
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addMemOperands, 3, CVT_95_addImmOperands, 5, CVT_Done },
// Convert__Reg1_4__Reg1_3__Mem325_1__ImmUnsignedi81_0
{ CVT_95_Reg, 5, CVT_95_Reg, 4, CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 3, CVT_95_Reg, 5, CVT_95_Reg, 6, CVT_95_addImmOperands, 7, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5__ImmUnsignedi81_6
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 3, CVT_95_Reg, 5, CVT_95_addMemOperands, 6, CVT_95_addImmOperands, 7, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5__ImmUnsignedi81_6
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 3, CVT_95_Reg, 5, CVT_95_addMemOperands, 6, CVT_95_addImmOperands, 7, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5__ImmUnsignedi81_6
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 3, CVT_95_Reg, 5, CVT_95_addMemOperands, 6, CVT_95_addImmOperands, 7, CVT_Done },
// Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0
{ CVT_95_Reg, 4, CVT_Tied, Tie0_1_1, CVT_95_Reg, 6, CVT_95_Reg, 3, CVT_95_Reg, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem1285_1__ImmUnsignedi81_0
{ CVT_95_Reg, 4, CVT_Tied, Tie0_1_1, CVT_95_Reg, 6, CVT_95_Reg, 3, CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem2565_1__ImmUnsignedi81_0
{ CVT_95_Reg, 4, CVT_Tied, Tie0_1_1, CVT_95_Reg, 6, CVT_95_Reg, 3, CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem5125_1__ImmUnsignedi81_0
{ CVT_95_Reg, 4, CVT_Tied, Tie0_1_1, CVT_95_Reg, 6, CVT_95_Reg, 3, CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_Reg, 6, CVT_95_Reg, 7, CVT_95_addImmOperands, 8, CVT_Done },
// Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6__ImmUnsignedi81_7
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_Reg, 6, CVT_95_addMemOperands, 7, CVT_95_addImmOperands, 8, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5__ImmUnsignedi81_7
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 3, CVT_95_Reg, 5, CVT_95_addMemOperands, 6, CVT_95_addImmOperands, 8, CVT_Done },
// Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6__ImmUnsignedi81_7
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_Reg, 6, CVT_95_addMemOperands, 7, CVT_95_addImmOperands, 8, CVT_Done },
// Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6__ImmUnsignedi81_7
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_Reg, 6, CVT_95_addMemOperands, 7, CVT_95_addImmOperands, 8, CVT_Done },
// Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0
{ CVT_95_Reg, 4, CVT_95_Reg, 6, CVT_95_Reg, 3, CVT_95_Reg, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_3__Reg1_5__Reg1_2__Mem1285_1__ImmUnsignedi81_0
{ CVT_95_Reg, 4, CVT_95_Reg, 6, CVT_95_Reg, 3, CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_3__Reg1_5__Reg1_2__Mem2565_1__ImmUnsignedi81_0
{ CVT_95_Reg, 4, CVT_95_Reg, 6, CVT_95_Reg, 3, CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Mem325_1__ImmUnsignedi81_0
{ CVT_95_Reg, 5, CVT_Tied, Tie0_1_1, CVT_95_Reg, 7, CVT_95_Reg, 4, CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_3__Reg1_5__Reg1_2__Mem5125_1__ImmUnsignedi81_0
{ CVT_95_Reg, 4, CVT_95_Reg, 6, CVT_95_Reg, 3, CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6__ImmUnsignedi81_8
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_Reg, 6, CVT_95_addMemOperands, 7, CVT_95_addImmOperands, 9, CVT_Done },
// Convert__Reg1_4__Reg1_6__Reg1_3__Mem325_1__ImmUnsignedi81_0
{ CVT_95_Reg, 5, CVT_95_Reg, 7, CVT_95_Reg, 4, CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_0__Reg1_1__Mem645_2__ImmUnsignedi81_4
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addMemOperands, 3, CVT_95_addImmOperands, 5, CVT_Done },
// Convert__Reg1_4__Reg1_3__Mem645_1__ImmUnsignedi81_0
{ CVT_95_Reg, 5, CVT_95_Reg, 4, CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5__ImmUnsignedi81_7
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 3, CVT_95_Reg, 5, CVT_95_addMemOperands, 6, CVT_95_addImmOperands, 8, CVT_Done },
// Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Mem645_1__ImmUnsignedi81_0
{ CVT_95_Reg, 5, CVT_Tied, Tie0_1_1, CVT_95_Reg, 7, CVT_95_Reg, 4, CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6__ImmUnsignedi81_8
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_Reg, 6, CVT_95_addMemOperands, 7, CVT_95_addImmOperands, 9, CVT_Done },
// Convert__Reg1_4__Reg1_6__Reg1_3__Mem645_1__ImmUnsignedi81_0
{ CVT_95_Reg, 5, CVT_95_Reg, 7, CVT_95_Reg, 4, CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_Reg, 5, CVT_95_Reg, 6, CVT_Done },
// Convert__Reg1_0__Reg1_2__Reg1_4__Mem1285_5
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_Reg, 5, CVT_95_addMemOperands, 6, CVT_Done },
// Convert__Reg1_0__Reg1_2__Reg1_4__Mem2565_5
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_Reg, 5, CVT_95_addMemOperands, 6, CVT_Done },
// Convert__Reg1_0__Reg1_2__Reg1_4__Mem5125_5
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_Reg, 5, CVT_95_addMemOperands, 6, CVT_Done },
// Convert__Reg1_0__Reg1_2__Reg1_4__Mem645_5
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_Reg, 5, CVT_95_addMemOperands, 6, CVT_Done },
// Convert__Reg1_0__Reg1_2__Reg1_4__Mem325_5
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_Reg, 5, CVT_95_addMemOperands, 6, CVT_Done },
// Convert__Reg1_3__Reg1_2__Reg1_1__Reg1_0
{ CVT_95_Reg, 4, CVT_95_Reg, 3, CVT_95_Reg, 2, CVT_95_Reg, 1, CVT_Done },
// Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_Reg, 3, CVT_95_Reg, 4, CVT_Done },
// Convert__Reg1_0__Reg1_1__Mem1285_2__Reg1_3
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addMemOperands, 3, CVT_95_Reg, 4, CVT_Done },
// Convert__Reg1_3__Reg1_2__Mem1285_1__Reg1_0
{ CVT_95_Reg, 4, CVT_95_Reg, 3, CVT_95_addMemOperands, 2, CVT_95_Reg, 1, CVT_Done },
// Convert__Reg1_0__Reg1_1__Mem2565_2__Reg1_3
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addMemOperands, 3, CVT_95_Reg, 4, CVT_Done },
// Convert__Reg1_3__Reg1_2__Mem2565_1__Reg1_0
{ CVT_95_Reg, 4, CVT_95_Reg, 3, CVT_95_addMemOperands, 2, CVT_95_Reg, 1, CVT_Done },
// Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0
{ CVT_95_Reg, 2, CVT_Tied, Tie0_1_1, CVT_95_Reg, 4, CVT_95_Reg, 1, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 3, CVT_95_Reg, 5, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 3, CVT_95_addMemOperands, 5, CVT_Done },
// Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem645_0
{ CVT_95_Reg, 2, CVT_Tied, Tie0_1_1, CVT_95_Reg, 4, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Reg1_1__Reg1_3__Reg1_0
{ CVT_95_Reg, 2, CVT_95_Reg, 4, CVT_95_Reg, 1, CVT_Done },
// Convert__Reg1_0__Reg1_2__Reg1_5
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_Reg, 6, CVT_Done },
// Convert__Reg1_0__Reg1_2__Mem645_5
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_addMemOperands, 6, CVT_Done },
// Convert__Reg1_1__Reg1_3__Mem645_0
{ CVT_95_Reg, 2, CVT_95_Reg, 4, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 3, CVT_95_addMemOperands, 5, CVT_Done },
// Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0
{ CVT_95_Reg, 2, CVT_Tied, Tie0_1_1, CVT_95_Reg, 4, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Reg1_0__Reg1_2__Mem1285_5
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_addMemOperands, 6, CVT_Done },
// Convert__Reg1_1__Reg1_3__Mem1285_0
{ CVT_95_Reg, 2, CVT_95_Reg, 4, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Reg1_0__Mem2565_1
{ CVT_95_Reg, 1, CVT_95_addMemOperands, 2, CVT_Done },
// Convert__Reg1_1__Mem2565_0
{ CVT_95_Reg, 2, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 3, CVT_95_addMemOperands, 5, CVT_Done },
// Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0
{ CVT_95_Reg, 2, CVT_Tied, Tie0_1_1, CVT_95_Reg, 4, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Reg1_0__Reg1_2__Mem2565_5
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_addMemOperands, 6, CVT_Done },
// Convert__Reg1_1__Reg1_3__Mem2565_0
{ CVT_95_Reg, 2, CVT_95_Reg, 4, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 3, CVT_95_addMemOperands, 5, CVT_Done },
// Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem325_0
{ CVT_95_Reg, 2, CVT_Tied, Tie0_1_1, CVT_95_Reg, 4, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Reg1_0__Reg1_2__Mem325_5
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_addMemOperands, 6, CVT_Done },
// Convert__Reg1_1__Reg1_3__Mem325_0
{ CVT_95_Reg, 2, CVT_95_Reg, 4, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_4
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_Reg, 3, CVT_95_addImmOperands, 5, CVT_Done },
// Convert__Reg1_4__Reg1_3__Reg1_2__ImmUnsignedi81_0
{ CVT_95_Reg, 5, CVT_95_Reg, 4, CVT_95_Reg, 3, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_Reg, 5, CVT_95_Reg, 6, CVT_95_addImmOperands, 7, CVT_Done },
// Convert__Reg1_0__Reg1_2__Reg1_4__Mem1285_5__ImmUnsignedi81_6
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_Reg, 5, CVT_95_addMemOperands, 6, CVT_95_addImmOperands, 7, CVT_Done },
// Convert__Reg1_0__Reg1_2__Reg1_4__Mem2565_5__ImmUnsignedi81_6
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_Reg, 5, CVT_95_addMemOperands, 6, CVT_95_addImmOperands, 7, CVT_Done },
// Convert__Reg1_0__Reg1_2__Reg1_4__Mem5125_5__ImmUnsignedi81_6
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_Reg, 5, CVT_95_addMemOperands, 6, CVT_95_addImmOperands, 7, CVT_Done },
// Convert__Reg1_0__Reg1_2__Reg1_4__Mem645_5__ImmUnsignedi81_7
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_Reg, 5, CVT_95_addMemOperands, 6, CVT_95_addImmOperands, 8, CVT_Done },
// Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_7
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_Reg, 5, CVT_95_Reg, 6, CVT_95_addImmOperands, 8, CVT_Done },
// Convert__Reg1_4__Reg1_6__Reg1_3__Reg1_2__ImmUnsignedi81_0
{ CVT_95_Reg, 5, CVT_95_Reg, 7, CVT_95_Reg, 4, CVT_95_Reg, 3, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_0__Reg1_1__Mem165_2__ImmUnsignedi81_4
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addMemOperands, 3, CVT_95_addImmOperands, 5, CVT_Done },
// Convert__Reg1_4__Reg1_3__Mem165_1__ImmUnsignedi81_0
{ CVT_95_Reg, 5, CVT_95_Reg, 4, CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_0__Reg1_2__Reg1_4__Mem165_5__ImmUnsignedi81_7
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_Reg, 5, CVT_95_addMemOperands, 6, CVT_95_addImmOperands, 8, CVT_Done },
// Convert__Reg1_4__Reg1_6__Reg1_3__Mem165_1__ImmUnsignedi81_0
{ CVT_95_Reg, 5, CVT_95_Reg, 7, CVT_95_Reg, 4, CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_0__Reg1_2__Reg1_4__Mem325_5__ImmUnsignedi81_7
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_Reg, 5, CVT_95_addMemOperands, 6, CVT_95_addImmOperands, 8, CVT_Done },
// Convert__Reg1_0__Reg1_1__Mem645_2__ImmUnsignedi81_3
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addMemOperands, 3, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__Reg1_3__Reg1_2__Mem645_1__ImmUnsignedi81_0
{ CVT_95_Reg, 4, CVT_95_Reg, 3, CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_0__Reg1_2__Reg1_4__Mem645_5__ImmUnsignedi81_6
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_Reg, 5, CVT_95_addMemOperands, 6, CVT_95_addImmOperands, 7, CVT_Done },
// Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_1__ImmUnsignedi81_0
{ CVT_95_Reg, 4, CVT_95_Reg, 6, CVT_95_Reg, 3, CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_0__Reg1_1__Mem165_2__ImmUnsignedi81_3
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addMemOperands, 3, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__Reg1_3__Reg1_2__Mem165_1__ImmUnsignedi81_0
{ CVT_95_Reg, 4, CVT_95_Reg, 3, CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_0__Reg1_2__Reg1_4__Mem165_5__ImmUnsignedi81_6
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_Reg, 5, CVT_95_addMemOperands, 6, CVT_95_addImmOperands, 7, CVT_Done },
// Convert__Reg1_3__Reg1_5__Reg1_2__Mem165_1__ImmUnsignedi81_0
{ CVT_95_Reg, 4, CVT_95_Reg, 6, CVT_95_Reg, 3, CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_0__Reg1_1__Mem325_2__ImmUnsignedi81_3
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addMemOperands, 3, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__Reg1_3__Reg1_2__Mem325_1__ImmUnsignedi81_0
{ CVT_95_Reg, 4, CVT_95_Reg, 3, CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_0__Reg1_2__Reg1_4__Mem325_5__ImmUnsignedi81_6
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_Reg, 5, CVT_95_addMemOperands, 6, CVT_95_addImmOperands, 7, CVT_Done },
// Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_1__ImmUnsignedi81_0
{ CVT_95_Reg, 4, CVT_95_Reg, 6, CVT_95_Reg, 3, CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_2__Reg1_1
{ CVT_95_Reg, 3, CVT_95_Reg, 2, CVT_Done },
// Convert__Mem2565_1__Reg1_0
{ CVT_95_addMemOperands, 2, CVT_95_Reg, 1, CVT_Done },
// Convert__Mem5125_1__Reg1_0
{ CVT_95_addMemOperands, 2, CVT_95_Reg, 1, CVT_Done },
// Convert__Mem2565_0__Reg1_1
{ CVT_95_addMemOperands, 1, CVT_95_Reg, 2, CVT_Done },
// Convert__Mem5125_0__Reg1_1
{ CVT_95_addMemOperands, 1, CVT_95_Reg, 2, CVT_Done },
// Convert__Mem1285_1__Reg1_3__Reg1_0
{ CVT_95_addMemOperands, 2, CVT_95_Reg, 4, CVT_95_Reg, 1, CVT_Done },
// Convert__Mem2565_1__Reg1_3__Reg1_0
{ CVT_95_addMemOperands, 2, CVT_95_Reg, 4, CVT_95_Reg, 1, CVT_Done },
// Convert__Mem5125_1__Reg1_3__Reg1_0
{ CVT_95_addMemOperands, 2, CVT_95_Reg, 4, CVT_95_Reg, 1, CVT_Done },
// Convert__Mem1285_0__Reg1_2__Reg1_4
{ CVT_95_addMemOperands, 1, CVT_95_Reg, 3, CVT_95_Reg, 5, CVT_Done },
// Convert__Mem2565_0__Reg1_2__Reg1_4
{ CVT_95_addMemOperands, 1, CVT_95_Reg, 3, CVT_95_Reg, 5, CVT_Done },
// Convert__Mem5125_0__Reg1_2__Reg1_4
{ CVT_95_addMemOperands, 1, CVT_95_Reg, 3, CVT_95_Reg, 5, CVT_Done },
// Convert__Reg1_2__Mem325_0
{ CVT_95_Reg, 3, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem325_0
{ CVT_95_Reg, 3, CVT_Tied, Tie0_1_1, CVT_95_Reg, 5, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Reg1_2__Reg1_4__Mem325_0
{ CVT_95_Reg, 3, CVT_95_Reg, 5, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Reg1_0__Reg1_1__AVX512RC1_2
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addAVX512RCOperands, 3, CVT_Done },
// Convert__Reg1_2__Reg1_1__AVX512RC1_0
{ CVT_95_Reg, 3, CVT_95_Reg, 2, CVT_95_addAVX512RCOperands, 1, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 3, CVT_95_addMemOperands, 5, CVT_Done },
// Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem5125_0
{ CVT_95_Reg, 2, CVT_Tied, Tie0_1_1, CVT_95_Reg, 4, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Reg1_0__Reg1_2__Mem5125_5
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_addMemOperands, 6, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__AVX512RC1_5
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 3, CVT_95_Reg, 5, CVT_95_addAVX512RCOperands, 6, CVT_Done },
// Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__AVX512RC1_0
{ CVT_95_Reg, 3, CVT_Tied, Tie0_1_1, CVT_95_Reg, 5, CVT_95_Reg, 2, CVT_95_addAVX512RCOperands, 1, CVT_Done },
// Convert__Reg1_1__Reg1_3__Mem5125_0
{ CVT_95_Reg, 2, CVT_95_Reg, 4, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Reg1_0__Reg1_2__Reg1_5__AVX512RC1_6
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_Reg, 6, CVT_95_addAVX512RCOperands, 7, CVT_Done },
// Convert__Reg1_2__Reg1_4__Reg1_1__AVX512RC1_0
{ CVT_95_Reg, 3, CVT_95_Reg, 5, CVT_95_Reg, 2, CVT_95_addAVX512RCOperands, 1, CVT_Done },
// Convert__Reg1_2__Mem645_0
{ CVT_95_Reg, 3, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Reg1_3__Reg1_0
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_Reg, 4, CVT_95_Reg, 1, CVT_Done },
// Convert__Reg1_2__Tie0_3_3__Reg1_4__Mem645_0
{ CVT_95_Reg, 3, CVT_Tied, Tie0_3_3, CVT_95_Reg, 5, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Reg1_2__Reg1_4__Mem645_0
{ CVT_95_Reg, 3, CVT_95_Reg, 5, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem645_0
{ CVT_95_Reg, 3, CVT_Tied, Tie0_1_1, CVT_95_Reg, 5, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Reg1_2__Mem165_0
{ CVT_95_Reg, 3, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem165_4
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 3, CVT_95_addMemOperands, 5, CVT_Done },
// Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem165_0
{ CVT_95_Reg, 3, CVT_Tied, Tie0_1_1, CVT_95_Reg, 5, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Reg1_0__Reg1_2__Mem165_5
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_addMemOperands, 6, CVT_Done },
// Convert__Reg1_2__Reg1_4__Mem165_0
{ CVT_95_Reg, 3, CVT_95_Reg, 5, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1
{ CVT_95_Reg, 3, CVT_Tied, Tie0_1_1, CVT_95_Reg, 5, CVT_95_Reg, 2, CVT_Done },
// Convert__Reg1_2__Reg1_4__Reg1_1
{ CVT_95_Reg, 3, CVT_95_Reg, 5, CVT_95_Reg, 2, CVT_Done },
// Convert__Mem1285_2__Reg1_1__ImmUnsignedi81_0
{ CVT_95_addMemOperands, 3, CVT_95_Reg, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Mem2565_2__Reg1_1__ImmUnsignedi81_0
{ CVT_95_addMemOperands, 3, CVT_95_Reg, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Mem1285_0__Reg1_1__ImmUnsignedi81_2
{ CVT_95_addMemOperands, 1, CVT_95_Reg, 2, CVT_95_addImmOperands, 3, CVT_Done },
// Convert__Mem2565_0__Reg1_1__ImmUnsignedi81_2
{ CVT_95_addMemOperands, 1, CVT_95_Reg, 2, CVT_95_addImmOperands, 3, CVT_Done },
// Convert__Reg1_0__Reg1_1__ImmUnsignedi81_3
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__Reg1_3__Reg1_2__ImmUnsignedi81_0
{ CVT_95_Reg, 4, CVT_95_Reg, 3, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 3, CVT_95_Reg, 5, CVT_95_addImmOperands, 6, CVT_Done },
// Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0
{ CVT_95_Reg, 3, CVT_Tied, Tie0_1_1, CVT_95_Reg, 5, CVT_95_Reg, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Mem645_2__Reg1_4__Reg1_1__ImmUnsignedi81_0
{ CVT_95_addMemOperands, 3, CVT_95_Reg, 5, CVT_95_Reg, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Mem1285_2__Reg1_4__Reg1_1__ImmUnsignedi81_0
{ CVT_95_addMemOperands, 3, CVT_95_Reg, 5, CVT_95_Reg, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Mem2565_2__Reg1_4__Reg1_1__ImmUnsignedi81_0
{ CVT_95_addMemOperands, 3, CVT_95_Reg, 5, CVT_95_Reg, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Mem1285_0__Reg1_2__Reg1_4__ImmUnsignedi81_5
{ CVT_95_addMemOperands, 1, CVT_95_Reg, 3, CVT_95_Reg, 5, CVT_95_addImmOperands, 6, CVT_Done },
// Convert__Mem2565_0__Reg1_2__Reg1_4__ImmUnsignedi81_5
{ CVT_95_addMemOperands, 1, CVT_95_Reg, 3, CVT_95_Reg, 5, CVT_95_addImmOperands, 6, CVT_Done },
// Convert__Mem645_0__Reg1_2__Reg1_4__ImmUnsignedi81_5
{ CVT_95_addMemOperands, 1, CVT_95_Reg, 3, CVT_95_Reg, 5, CVT_95_addImmOperands, 6, CVT_Done },
// Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_Reg, 6, CVT_95_addImmOperands, 7, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_6
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 3, CVT_95_Reg, 5, CVT_95_addImmOperands, 7, CVT_Done },
// Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__ImmUnsignedi81_0
{ CVT_95_Reg, 4, CVT_Tied, Tie0_1_1, CVT_95_Reg, 6, CVT_95_Reg, 3, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0
{ CVT_95_Reg, 3, CVT_95_Reg, 5, CVT_95_Reg, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_7
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_Reg, 6, CVT_95_addImmOperands, 8, CVT_Done },
// Convert__Reg1_3__Reg1_5__Reg1_2__ImmUnsignedi81_0
{ CVT_95_Reg, 4, CVT_95_Reg, 6, CVT_95_Reg, 3, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_3__Reg1_2__Reg1_1
{ CVT_95_Reg, 4, CVT_95_Reg, 3, CVT_95_Reg, 2, CVT_Done },
// Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1
{ CVT_95_Reg, 4, CVT_Tied, Tie0_1_1, CVT_95_Reg, 6, CVT_95_Reg, 3, CVT_95_Reg, 2, CVT_Done },
// Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1
{ CVT_95_Reg, 4, CVT_95_Reg, 6, CVT_95_Reg, 3, CVT_95_Reg, 2, CVT_Done },
// Convert__Reg1_3__Reg1_2__Reg1_0__AVX512RC1_1
{ CVT_95_Reg, 4, CVT_95_Reg, 3, CVT_95_Reg, 1, CVT_95_addAVX512RCOperands, 2, CVT_Done },
// Convert__Reg1_0__Reg1_1__Reg1_3__AVX512RC1_2
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_Reg, 4, CVT_95_addAVX512RCOperands, 3, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 2, CVT_95_addMemOperands, 3, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem5125_2
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 2, CVT_95_addMemOperands, 3, CVT_Done },
// Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0
{ CVT_95_Reg, 3, CVT_Tied, Tie0_1_1, CVT_95_Reg, 2, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem5125_0
{ CVT_95_Reg, 3, CVT_Tied, Tie0_1_1, CVT_95_Reg, 2, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 2, CVT_95_addMemOperands, 3, CVT_Done },
// Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_0
{ CVT_95_Reg, 4, CVT_Tied, Tie0_1_1, CVT_95_Reg, 3, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 3, CVT_95_Reg, 6, CVT_95_Reg, 7, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem2565_6
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 3, CVT_95_Reg, 6, CVT_95_addMemOperands, 7, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem5125_6
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 3, CVT_95_Reg, 6, CVT_95_addMemOperands, 7, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 3, CVT_95_Reg, 6, CVT_95_addMemOperands, 7, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__AVX512RC1_3
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 2, CVT_95_Reg, 3, CVT_95_addAVX512RCOperands, 4, CVT_Done },
// Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__AVX512RC1_0
{ CVT_95_Reg, 4, CVT_Tied, Tie0_1_1, CVT_95_Reg, 3, CVT_95_Reg, 2, CVT_95_addAVX512RCOperands, 1, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 3, CVT_95_Reg, 6, CVT_95_Reg, 7, CVT_95_addAVX512RCOperands, 8, CVT_Done },
// Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem325_0
{ CVT_95_Reg, 3, CVT_Tied, Tie0_1_1, CVT_95_Reg, 2, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__ImmUnsignedi81_3
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 2, CVT_95_Reg, 3, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2__ImmUnsignedi81_3
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 2, CVT_95_addMemOperands, 3, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2__ImmUnsignedi81_3
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 2, CVT_95_addMemOperands, 3, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem5125_2__ImmUnsignedi81_3
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 2, CVT_95_addMemOperands, 3, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__ImmUnsignedi81_0
{ CVT_95_Reg, 4, CVT_Tied, Tie0_1_1, CVT_95_Reg, 3, CVT_95_Reg, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem1285_1__ImmUnsignedi81_0
{ CVT_95_Reg, 4, CVT_Tied, Tie0_1_1, CVT_95_Reg, 3, CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem2565_1__ImmUnsignedi81_0
{ CVT_95_Reg, 4, CVT_Tied, Tie0_1_1, CVT_95_Reg, 3, CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem5125_1__ImmUnsignedi81_0
{ CVT_95_Reg, 4, CVT_Tied, Tie0_1_1, CVT_95_Reg, 3, CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2__ImmUnsignedi81_4
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 2, CVT_95_addMemOperands, 3, CVT_95_addImmOperands, 5, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__ImmUnsignedi81_4
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 2, CVT_95_Reg, 3, CVT_95_addImmOperands, 5, CVT_Done },
// Convert__Reg1_4__Tie0_1_1__Reg1_3__Reg1_2__ImmUnsignedi81_0
{ CVT_95_Reg, 5, CVT_Tied, Tie0_1_1, CVT_95_Reg, 4, CVT_95_Reg, 3, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_4__Tie0_1_1__Reg1_3__Mem645_1__ImmUnsignedi81_0
{ CVT_95_Reg, 5, CVT_Tied, Tie0_1_1, CVT_95_Reg, 4, CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 3, CVT_95_Reg, 6, CVT_95_Reg, 7, CVT_95_addImmOperands, 8, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem1285_6__ImmUnsignedi81_7
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 3, CVT_95_Reg, 6, CVT_95_addMemOperands, 7, CVT_95_addImmOperands, 8, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem2565_6__ImmUnsignedi81_7
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 3, CVT_95_Reg, 6, CVT_95_addMemOperands, 7, CVT_95_addImmOperands, 8, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem5125_6__ImmUnsignedi81_7
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 3, CVT_95_Reg, 6, CVT_95_addMemOperands, 7, CVT_95_addImmOperands, 8, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_7
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 3, CVT_95_Reg, 5, CVT_95_Reg, 6, CVT_95_addImmOperands, 8, CVT_Done },
// Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Reg1_2__ImmUnsignedi81_0
{ CVT_95_Reg, 5, CVT_Tied, Tie0_1_1, CVT_95_Reg, 7, CVT_95_Reg, 4, CVT_95_Reg, 3, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6__ImmUnsignedi81_8
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 3, CVT_95_Reg, 6, CVT_95_addMemOperands, 7, CVT_95_addImmOperands, 9, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_8
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 3, CVT_95_Reg, 6, CVT_95_Reg, 7, CVT_95_addImmOperands, 9, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2__ImmUnsignedi81_4
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 2, CVT_95_addMemOperands, 3, CVT_95_addImmOperands, 5, CVT_Done },
// Convert__Reg1_4__Tie0_1_1__Reg1_3__Mem325_1__ImmUnsignedi81_0
{ CVT_95_Reg, 5, CVT_Tied, Tie0_1_1, CVT_95_Reg, 4, CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6__ImmUnsignedi81_8
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 3, CVT_95_Reg, 6, CVT_95_addMemOperands, 7, CVT_95_addImmOperands, 9, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2__ImmUnsignedi81_3
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 2, CVT_95_addMemOperands, 3, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem645_1__ImmUnsignedi81_0
{ CVT_95_Reg, 4, CVT_Tied, Tie0_1_1, CVT_95_Reg, 3, CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5__ImmUnsignedi81_6
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 3, CVT_95_Reg, 5, CVT_95_addMemOperands, 6, CVT_95_addImmOperands, 7, CVT_Done },
// Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_1__ImmUnsignedi81_0
{ CVT_95_Reg, 4, CVT_Tied, Tie0_1_1, CVT_95_Reg, 6, CVT_95_Reg, 3, CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6__ImmUnsignedi81_7
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 3, CVT_95_Reg, 6, CVT_95_addMemOperands, 7, CVT_95_addImmOperands, 8, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2__ImmUnsignedi81_3
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 2, CVT_95_addMemOperands, 3, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_1__ImmUnsignedi81_0
{ CVT_95_Reg, 4, CVT_Tied, Tie0_1_1, CVT_95_Reg, 3, CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5__ImmUnsignedi81_6
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 3, CVT_95_Reg, 5, CVT_95_addMemOperands, 6, CVT_95_addImmOperands, 7, CVT_Done },
// Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_1__ImmUnsignedi81_0
{ CVT_95_Reg, 4, CVT_Tied, Tie0_1_1, CVT_95_Reg, 6, CVT_95_Reg, 3, CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6__ImmUnsignedi81_7
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 3, CVT_95_Reg, 6, CVT_95_addMemOperands, 7, CVT_95_addImmOperands, 8, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 2, CVT_95_addMemOperands, 3, CVT_Done },
// Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem645_0
{ CVT_95_Reg, 4, CVT_Tied, Tie0_1_1, CVT_95_Reg, 3, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 3, CVT_95_Reg, 6, CVT_95_addMemOperands, 7, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem165_2
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 2, CVT_95_addMemOperands, 3, CVT_Done },
// Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem165_0
{ CVT_95_Reg, 4, CVT_Tied, Tie0_1_1, CVT_95_Reg, 3, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem165_6
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 3, CVT_95_Reg, 6, CVT_95_addMemOperands, 7, CVT_Done },
// Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem645_0
{ CVT_95_Reg, 3, CVT_Tied, Tie0_1_1, CVT_95_Reg, 2, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem165_0
{ CVT_95_Reg, 3, CVT_Tied, Tie0_1_1, CVT_95_Reg, 2, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Reg1_0__Reg1_1__Reg1_2__Mem1285_3
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_Reg, 3, CVT_95_addMemOperands, 4, CVT_Done },
// Convert__Reg1_0__Reg1_1__Reg1_2__Mem2565_3
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_Reg, 3, CVT_95_addMemOperands, 4, CVT_Done },
// Convert__Reg1_3__Reg1_2__Reg1_1__Mem1285_0
{ CVT_95_Reg, 4, CVT_95_Reg, 3, CVT_95_Reg, 2, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Reg1_3__Reg1_2__Reg1_1__Mem2565_0
{ CVT_95_Reg, 4, CVT_95_Reg, 3, CVT_95_Reg, 2, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Reg1_0__Reg1_1__Reg1_2__Mem645_3
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_Reg, 3, CVT_95_addMemOperands, 4, CVT_Done },
// Convert__Reg1_0__Reg1_1__Mem645_2__Reg1_3
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addMemOperands, 3, CVT_95_Reg, 4, CVT_Done },
// Convert__Reg1_3__Reg1_2__Mem645_1__Reg1_0
{ CVT_95_Reg, 4, CVT_95_Reg, 3, CVT_95_addMemOperands, 2, CVT_95_Reg, 1, CVT_Done },
// Convert__Reg1_3__Reg1_2__Reg1_1__Mem645_0
{ CVT_95_Reg, 4, CVT_95_Reg, 3, CVT_95_Reg, 2, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Reg1_0__Reg1_1__Reg1_2__Mem325_3
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_Reg, 3, CVT_95_addMemOperands, 4, CVT_Done },
// Convert__Reg1_0__Reg1_1__Mem325_2__Reg1_3
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addMemOperands, 3, CVT_95_Reg, 4, CVT_Done },
// Convert__Reg1_3__Reg1_2__Mem325_1__Reg1_0
{ CVT_95_Reg, 4, CVT_95_Reg, 3, CVT_95_addMemOperands, 2, CVT_95_Reg, 1, CVT_Done },
// Convert__Reg1_3__Reg1_2__Reg1_1__Mem325_0
{ CVT_95_Reg, 4, CVT_95_Reg, 3, CVT_95_Reg, 2, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Reg1_0__Mem2565_1__ImmUnsignedi81_2
{ CVT_95_Reg, 1, CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
// Convert__Reg1_0__Mem5125_1__ImmUnsignedi81_2
{ CVT_95_Reg, 1, CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
// Convert__Reg1_0__Mem645_1__ImmUnsignedi81_3
{ CVT_95_Reg, 1, CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__Reg1_3__Mem645_1__ImmUnsignedi81_0
{ CVT_95_Reg, 4, CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_0__Reg1_2__Reg1_4__ImmUnsignedi81_5
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_Reg, 5, CVT_95_addImmOperands, 6, CVT_Done },
// Convert__Reg1_0__Reg1_2__Mem1285_4__ImmUnsignedi81_5
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_addMemOperands, 5, CVT_95_addImmOperands, 6, CVT_Done },
// Convert__Reg1_0__Reg1_2__Mem2565_4__ImmUnsignedi81_5
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_addMemOperands, 5, CVT_95_addImmOperands, 6, CVT_Done },
// Convert__Reg1_0__Reg1_2__Mem5125_4__ImmUnsignedi81_5
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_addMemOperands, 5, CVT_95_addImmOperands, 6, CVT_Done },
// Convert__Reg1_0__Reg1_2__Mem645_4__ImmUnsignedi81_6
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_addMemOperands, 5, CVT_95_addImmOperands, 7, CVT_Done },
// Convert__Reg1_3__Reg1_5__Mem645_1__ImmUnsignedi81_0
{ CVT_95_Reg, 4, CVT_95_Reg, 6, CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_2__Reg1_4__Mem1285_1__ImmUnsignedi81_0
{ CVT_95_Reg, 3, CVT_95_Reg, 5, CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_2__Mem2565_1__ImmUnsignedi81_0
{ CVT_95_Reg, 3, CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_2__Reg1_4__Mem2565_1__ImmUnsignedi81_0
{ CVT_95_Reg, 3, CVT_95_Reg, 5, CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_2__Mem5125_1__ImmUnsignedi81_0
{ CVT_95_Reg, 3, CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_2__Reg1_4__Mem5125_1__ImmUnsignedi81_0
{ CVT_95_Reg, 3, CVT_95_Reg, 5, CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_0__Mem165_1__ImmUnsignedi81_3
{ CVT_95_Reg, 1, CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__Reg1_3__Mem165_1__ImmUnsignedi81_0
{ CVT_95_Reg, 4, CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_0__Reg1_2__Mem165_4__ImmUnsignedi81_6
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_addMemOperands, 5, CVT_95_addImmOperands, 7, CVT_Done },
// Convert__Reg1_3__Reg1_5__Mem165_1__ImmUnsignedi81_0
{ CVT_95_Reg, 4, CVT_95_Reg, 6, CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_0__Mem325_1__ImmUnsignedi81_3
{ CVT_95_Reg, 1, CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__Reg1_3__Mem325_1__ImmUnsignedi81_0
{ CVT_95_Reg, 4, CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_0__Reg1_2__Mem325_4__ImmUnsignedi81_6
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_addMemOperands, 5, CVT_95_addImmOperands, 7, CVT_Done },
// Convert__Reg1_3__Reg1_5__Mem325_1__ImmUnsignedi81_0
{ CVT_95_Reg, 4, CVT_95_Reg, 6, CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_0__Reg1_2__Mem645_4__ImmUnsignedi81_5
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_addMemOperands, 5, CVT_95_addImmOperands, 6, CVT_Done },
// Convert__Reg1_2__Reg1_4__Mem645_1__ImmUnsignedi81_0
{ CVT_95_Reg, 3, CVT_95_Reg, 5, CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_0__Mem165_1__ImmUnsignedi81_2
{ CVT_95_Reg, 1, CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
// Convert__Reg1_2__Mem165_1__ImmUnsignedi81_0
{ CVT_95_Reg, 3, CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_0__Reg1_2__Mem165_4__ImmUnsignedi81_5
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_addMemOperands, 5, CVT_95_addImmOperands, 6, CVT_Done },
// Convert__Reg1_2__Reg1_4__Mem165_1__ImmUnsignedi81_0
{ CVT_95_Reg, 3, CVT_95_Reg, 5, CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_0__Reg1_2__Mem325_4__ImmUnsignedi81_5
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_addMemOperands, 5, CVT_95_addImmOperands, 6, CVT_Done },
// Convert__Reg1_2__Reg1_4__Mem325_1__ImmUnsignedi81_0
{ CVT_95_Reg, 3, CVT_95_Reg, 5, CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_2__Reg1_0__Tie0_1_1__Mem128_RC1285_1__Tie1_1_1
{ CVT_95_Reg, 3, CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_addMemOperands, 2, CVT_Tied, Tie1_1_1, CVT_Done },
// Convert__Reg1_0__Reg1_2__Tie0_1_1__Mem128_RC1285_1__Tie1_3_3
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_Tied, Tie0_1_1, CVT_95_addMemOperands, 2, CVT_Tied, Tie1_3_3, CVT_Done },
// Convert__Reg1_2__Reg1_0__Tie0_1_1__Mem256_RC1285_1__Tie1_1_1
{ CVT_95_Reg, 3, CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_addMemOperands, 2, CVT_Tied, Tie1_1_1, CVT_Done },
// Convert__Reg1_0__Reg1_2__Tie0_1_1__Mem256_RC1285_1__Tie1_3_3
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_Tied, Tie0_1_1, CVT_95_addMemOperands, 2, CVT_Tied, Tie1_3_3, CVT_Done },
// Convert__Reg1_0__Reg1_2__Tie0_1_1__Tie1_3_3__Mem128_RC128X5_4
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_Tied, Tie0_1_1, CVT_Tied, Tie1_3_3, CVT_95_addMemOperands, 5, CVT_Done },
// Convert__Reg1_0__Reg1_2__Tie0_1_1__Tie1_3_3__Mem256_RC128X5_4
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_Tied, Tie0_1_1, CVT_Tied, Tie1_3_3, CVT_95_addMemOperands, 5, CVT_Done },
// Convert__Reg1_0__Reg1_2__Tie0_1_1__Tie1_3_3__Mem512_RC256X5_4
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_Tied, Tie0_1_1, CVT_Tied, Tie1_3_3, CVT_95_addMemOperands, 5, CVT_Done },
// Convert__Reg1_1__Reg1_3__Tie0_1_1__Tie1_4_4__Mem128_RC128X5_0
{ CVT_95_Reg, 2, CVT_95_Reg, 4, CVT_Tied, Tie0_1_1, CVT_Tied, Tie1_4_4, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Reg1_1__Reg1_3__Tie0_1_1__Tie1_4_4__Mem256_RC128X5_0
{ CVT_95_Reg, 2, CVT_95_Reg, 4, CVT_Tied, Tie0_1_1, CVT_Tied, Tie1_4_4, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Reg1_1__Reg1_3__Tie0_1_1__Tie1_4_4__Mem512_RC256X5_0
{ CVT_95_Reg, 2, CVT_95_Reg, 4, CVT_Tied, Tie0_1_1, CVT_Tied, Tie1_4_4, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Reg1_2__Reg1_0__Tie0_1_1__Mem256_RC2565_1__Tie1_1_1
{ CVT_95_Reg, 3, CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_addMemOperands, 2, CVT_Tied, Tie1_1_1, CVT_Done },
// Convert__Reg1_0__Reg1_2__Tie0_1_1__Mem256_RC2565_1__Tie1_3_3
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_Tied, Tie0_1_1, CVT_95_addMemOperands, 2, CVT_Tied, Tie1_3_3, CVT_Done },
// Convert__Reg1_0__Reg1_2__Tie0_1_1__Tie1_3_3__Mem256_RC256X5_4
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_Tied, Tie0_1_1, CVT_Tied, Tie1_3_3, CVT_95_addMemOperands, 5, CVT_Done },
// Convert__Reg1_0__Reg1_2__Tie0_1_1__Tie1_3_3__Mem512_RC5125_4
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_Tied, Tie0_1_1, CVT_Tied, Tie1_3_3, CVT_95_addMemOperands, 5, CVT_Done },
// Convert__Reg1_1__Reg1_3__Tie0_1_1__Tie1_4_4__Mem256_RC256X5_0
{ CVT_95_Reg, 2, CVT_95_Reg, 4, CVT_Tied, Tie0_1_1, CVT_Tied, Tie1_4_4, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Reg1_1__Reg1_3__Tie0_1_1__Tie1_4_4__Mem512_RC5125_0
{ CVT_95_Reg, 2, CVT_95_Reg, 4, CVT_Tied, Tie0_1_1, CVT_Tied, Tie1_4_4, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Reg1_1__Mem512_RC256X5_3
{ CVT_95_Reg, 2, CVT_95_addMemOperands, 4, CVT_Done },
// Convert__Reg1_2__Mem512_RC256X5_0
{ CVT_95_Reg, 3, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Reg1_1__Mem512_RC5125_3
{ CVT_95_Reg, 2, CVT_95_addMemOperands, 4, CVT_Done },
// Convert__Reg1_2__Mem512_RC5125_0
{ CVT_95_Reg, 3, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Reg1_1__Mem256_RC5125_3
{ CVT_95_Reg, 2, CVT_95_addMemOperands, 4, CVT_Done },
// Convert__Reg1_2__Mem256_RC5125_0
{ CVT_95_Reg, 3, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Reg1_2__Reg1_0__Tie0_1_1__Mem128_RC2565_1__Tie1_1_1
{ CVT_95_Reg, 3, CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_addMemOperands, 2, CVT_Tied, Tie1_1_1, CVT_Done },
// Convert__Reg1_0__Reg1_2__Tie0_1_1__Mem128_RC2565_1__Tie1_3_3
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_Tied, Tie0_1_1, CVT_95_addMemOperands, 2, CVT_Tied, Tie1_3_3, CVT_Done },
// Convert__Reg1_2__Reg1_0__Tie0_1_1__Mem64_RC1285_1__Tie1_1_1
{ CVT_95_Reg, 3, CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_addMemOperands, 2, CVT_Tied, Tie1_1_1, CVT_Done },
// Convert__Reg1_0__Reg1_2__Tie0_1_1__Mem64_RC1285_1__Tie1_3_3
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_Tied, Tie0_1_1, CVT_95_addMemOperands, 2, CVT_Tied, Tie1_3_3, CVT_Done },
// Convert__Reg1_0__Reg1_2__Tie0_1_1__Tie1_3_3__Mem128_RC256X5_4
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_Tied, Tie0_1_1, CVT_Tied, Tie1_3_3, CVT_95_addMemOperands, 5, CVT_Done },
// Convert__Reg1_0__Reg1_2__Tie0_1_1__Tie1_3_3__Mem64_RC128X5_4
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_Tied, Tie0_1_1, CVT_Tied, Tie1_3_3, CVT_95_addMemOperands, 5, CVT_Done },
// Convert__Reg1_0__Reg1_2__Tie0_1_1__Tie1_3_3__Mem256_RC5125_4
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_Tied, Tie0_1_1, CVT_Tied, Tie1_3_3, CVT_95_addMemOperands, 5, CVT_Done },
// Convert__Reg1_1__Reg1_3__Tie0_1_1__Tie1_4_4__Mem128_RC256X5_0
{ CVT_95_Reg, 2, CVT_95_Reg, 4, CVT_Tied, Tie0_1_1, CVT_Tied, Tie1_4_4, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Reg1_1__Reg1_3__Tie0_1_1__Tie1_4_4__Mem256_RC5125_0
{ CVT_95_Reg, 2, CVT_95_Reg, 4, CVT_Tied, Tie0_1_1, CVT_Tied, Tie1_4_4, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Reg1_1__Reg1_3__Tie0_1_1__Tie1_4_4__Mem64_RC128X5_0
{ CVT_95_Reg, 2, CVT_95_Reg, 4, CVT_Tied, Tie0_1_1, CVT_Tied, Tie1_4_4, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4__ImmUnsignedi81_5
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 3, CVT_95_addMemOperands, 5, CVT_95_addImmOperands, 6, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4__ImmUnsignedi81_5
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 3, CVT_95_addMemOperands, 5, CVT_95_addImmOperands, 6, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4__ImmUnsignedi81_5
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 3, CVT_95_addMemOperands, 5, CVT_95_addImmOperands, 6, CVT_Done },
// Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem1285_1__ImmUnsignedi81_0
{ CVT_95_Reg, 3, CVT_Tied, Tie0_1_1, CVT_95_Reg, 5, CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem2565_1__ImmUnsignedi81_0
{ CVT_95_Reg, 3, CVT_Tied, Tie0_1_1, CVT_95_Reg, 5, CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem5125_1__ImmUnsignedi81_0
{ CVT_95_Reg, 3, CVT_Tied, Tie0_1_1, CVT_95_Reg, 5, CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_0__Reg1_2__Mem1285_5__ImmUnsignedi81_6
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_addMemOperands, 6, CVT_95_addImmOperands, 7, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4__ImmUnsignedi81_6
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 3, CVT_95_addMemOperands, 5, CVT_95_addImmOperands, 7, CVT_Done },
// Convert__Reg1_0__Reg1_2__Mem2565_5__ImmUnsignedi81_6
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_addMemOperands, 6, CVT_95_addImmOperands, 7, CVT_Done },
// Convert__Reg1_0__Reg1_2__Mem5125_5__ImmUnsignedi81_6
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_addMemOperands, 6, CVT_95_addImmOperands, 7, CVT_Done },
// Convert__Reg1_3__Tie0_1_1__Reg1_5__Mem645_1__ImmUnsignedi81_0
{ CVT_95_Reg, 4, CVT_Tied, Tie0_1_1, CVT_95_Reg, 6, CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_0__Reg1_2__Mem645_5__ImmUnsignedi81_7
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_addMemOperands, 6, CVT_95_addImmOperands, 8, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem165_4__ImmUnsignedi81_6
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 3, CVT_95_addMemOperands, 5, CVT_95_addImmOperands, 7, CVT_Done },
// Convert__Reg1_3__Tie0_1_1__Reg1_5__Mem165_1__ImmUnsignedi81_0
{ CVT_95_Reg, 4, CVT_Tied, Tie0_1_1, CVT_95_Reg, 6, CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_0__Reg1_2__Mem165_5__ImmUnsignedi81_7
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_addMemOperands, 6, CVT_95_addImmOperands, 8, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4__ImmUnsignedi81_6
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 3, CVT_95_addMemOperands, 5, CVT_95_addImmOperands, 7, CVT_Done },
// Convert__Reg1_3__Tie0_1_1__Reg1_5__Mem325_1__ImmUnsignedi81_0
{ CVT_95_Reg, 4, CVT_Tied, Tie0_1_1, CVT_95_Reg, 6, CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_0__Reg1_2__Mem325_5__ImmUnsignedi81_7
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_addMemOperands, 6, CVT_95_addImmOperands, 8, CVT_Done },
// Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6__ImmUnsignedi81_7
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_Reg, 6, CVT_95_addMemOperands, 7, CVT_95_addImmOperands, 8, CVT_Done },
// Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_8
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_Reg, 6, CVT_95_Reg, 7, CVT_95_addImmOperands, 9, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5__ImmUnsignedi81_6
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 3, CVT_95_Reg, 5, CVT_95_addMemOperands, 6, CVT_95_addImmOperands, 7, CVT_Done },
// Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_1__ImmUnsignedi81_0
{ CVT_95_Reg, 4, CVT_Tied, Tie0_1_1, CVT_95_Reg, 6, CVT_95_Reg, 3, CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_0__Reg1_2__Reg1_5__Mem165_6__ImmUnsignedi81_7
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_Reg, 6, CVT_95_addMemOperands, 7, CVT_95_addImmOperands, 8, CVT_Done },
// Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6__ImmUnsignedi81_7
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_Reg, 6, CVT_95_addMemOperands, 7, CVT_95_addImmOperands, 8, CVT_Done },
// Convert__Reg1_0__Reg1_1__Mem85_2__ImmUnsignedi81_4
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addMemOperands, 3, CVT_95_addImmOperands, 5, CVT_Done },
// Convert__Reg1_4__Reg1_3__Mem85_1__ImmUnsignedi81_0
{ CVT_95_Reg, 5, CVT_95_Reg, 4, CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem85_5__ImmUnsignedi81_7
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 3, CVT_95_Reg, 5, CVT_95_addMemOperands, 6, CVT_95_addImmOperands, 8, CVT_Done },
// Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Mem85_1__ImmUnsignedi81_0
{ CVT_95_Reg, 5, CVT_Tied, Tie0_1_1, CVT_95_Reg, 7, CVT_95_Reg, 4, CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_0__Reg1_2__Reg1_5__Mem85_6__ImmUnsignedi81_8
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_Reg, 6, CVT_95_addMemOperands, 7, CVT_95_addImmOperands, 9, CVT_Done },
// Convert__Reg1_4__Reg1_6__Reg1_3__Mem85_1__ImmUnsignedi81_0
{ CVT_95_Reg, 5, CVT_95_Reg, 7, CVT_95_Reg, 4, CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Mem1285_2__Reg1_1__Reg1_0
{ CVT_95_addMemOperands, 3, CVT_95_Reg, 2, CVT_95_Reg, 1, CVT_Done },
// Convert__Mem2565_2__Reg1_1__Reg1_0
{ CVT_95_addMemOperands, 3, CVT_95_Reg, 2, CVT_95_Reg, 1, CVT_Done },
// Convert__Mem1285_0__Reg1_1__Reg1_2
{ CVT_95_addMemOperands, 1, CVT_95_Reg, 2, CVT_95_Reg, 3, CVT_Done },
// Convert__Mem2565_0__Reg1_1__Reg1_2
{ CVT_95_addMemOperands, 1, CVT_95_Reg, 2, CVT_95_Reg, 3, CVT_Done },
// Convert__Reg1_0__Reg1_2__Reg1_4
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_Reg, 5, CVT_Done },
// Convert__Mem645_1__Reg1_3__Reg1_0
{ CVT_95_addMemOperands, 2, CVT_95_Reg, 4, CVT_95_Reg, 1, CVT_Done },
// Convert__Mem645_0__Reg1_2__Reg1_4
{ CVT_95_addMemOperands, 1, CVT_95_Reg, 3, CVT_95_Reg, 5, CVT_Done },
// Convert__Reg1_2__Tie0_3_3__Reg1_4__Reg1_1__Reg1_0
{ CVT_95_Reg, 3, CVT_Tied, Tie0_3_3, CVT_95_Reg, 5, CVT_95_Reg, 2, CVT_95_Reg, 1, CVT_Done },
// Convert__Mem165_1__Reg1_3__Reg1_0
{ CVT_95_addMemOperands, 2, CVT_95_Reg, 4, CVT_95_Reg, 1, CVT_Done },
// Convert__Mem165_0__Reg1_2__Reg1_4
{ CVT_95_addMemOperands, 1, CVT_95_Reg, 3, CVT_95_Reg, 5, CVT_Done },
// Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem165_0
{ CVT_95_Reg, 2, CVT_Tied, Tie0_1_1, CVT_95_Reg, 4, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Reg1_1__Reg1_3__Mem165_0
{ CVT_95_Reg, 2, CVT_95_Reg, 4, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Mem325_1__Reg1_3__Reg1_0
{ CVT_95_addMemOperands, 2, CVT_95_Reg, 4, CVT_95_Reg, 1, CVT_Done },
// Convert__Mem325_0__Reg1_2__Reg1_4
{ CVT_95_addMemOperands, 1, CVT_95_Reg, 3, CVT_95_Reg, 5, CVT_Done },
// Convert__VK4Pair1_2__Reg1_1__Reg1_0
{ CVT_95_addMaskPairOperands, 3, CVT_95_Reg, 2, CVT_95_Reg, 1, CVT_Done },
// Convert__VK8Pair1_2__Reg1_1__Reg1_0
{ CVT_95_addMaskPairOperands, 3, CVT_95_Reg, 2, CVT_95_Reg, 1, CVT_Done },
// Convert__VK16Pair1_2__Reg1_1__Reg1_0
{ CVT_95_addMaskPairOperands, 3, CVT_95_Reg, 2, CVT_95_Reg, 1, CVT_Done },
// Convert__VK16Pair1_0__Reg1_1__Reg1_2
{ CVT_95_addMaskPairOperands, 1, CVT_95_Reg, 2, CVT_95_Reg, 3, CVT_Done },
// Convert__VK16Pair1_0__Reg1_1__Mem5125_2
{ CVT_95_addMaskPairOperands, 1, CVT_95_Reg, 2, CVT_95_addMemOperands, 3, CVT_Done },
// Convert__VK4Pair1_0__Reg1_1__Reg1_2
{ CVT_95_addMaskPairOperands, 1, CVT_95_Reg, 2, CVT_95_Reg, 3, CVT_Done },
// Convert__VK4Pair1_0__Reg1_1__Mem1285_2
{ CVT_95_addMaskPairOperands, 1, CVT_95_Reg, 2, CVT_95_addMemOperands, 3, CVT_Done },
// Convert__VK8Pair1_0__Reg1_1__Reg1_2
{ CVT_95_addMaskPairOperands, 1, CVT_95_Reg, 2, CVT_95_Reg, 3, CVT_Done },
// Convert__VK8Pair1_0__Reg1_1__Mem2565_2
{ CVT_95_addMaskPairOperands, 1, CVT_95_Reg, 2, CVT_95_addMemOperands, 3, CVT_Done },
// Convert__VK4Pair1_2__Reg1_1__Mem1285_0
{ CVT_95_addMaskPairOperands, 3, CVT_95_Reg, 2, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__VK8Pair1_2__Reg1_1__Mem2565_0
{ CVT_95_addMaskPairOperands, 3, CVT_95_Reg, 2, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__VK16Pair1_2__Reg1_1__Mem5125_0
{ CVT_95_addMaskPairOperands, 3, CVT_95_Reg, 2, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__VK16Pair1_0__Reg1_1__Mem325_2
{ CVT_95_addMaskPairOperands, 1, CVT_95_Reg, 2, CVT_95_addMemOperands, 3, CVT_Done },
// Convert__VK4Pair1_0__Reg1_1__Mem325_2
{ CVT_95_addMaskPairOperands, 1, CVT_95_Reg, 2, CVT_95_addMemOperands, 3, CVT_Done },
// Convert__VK8Pair1_0__Reg1_1__Mem325_2
{ CVT_95_addMaskPairOperands, 1, CVT_95_Reg, 2, CVT_95_addMemOperands, 3, CVT_Done },
// Convert__VK16Pair1_3__Reg1_2__Mem325_0
{ CVT_95_addMaskPairOperands, 4, CVT_95_Reg, 3, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__VK4Pair1_3__Reg1_2__Mem325_0
{ CVT_95_addMaskPairOperands, 4, CVT_95_Reg, 3, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__VK8Pair1_3__Reg1_2__Mem325_0
{ CVT_95_addMaskPairOperands, 4, CVT_95_Reg, 3, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__VK2Pair1_2__Reg1_1__Reg1_0
{ CVT_95_addMaskPairOperands, 3, CVT_95_Reg, 2, CVT_95_Reg, 1, CVT_Done },
// Convert__VK2Pair1_0__Reg1_1__Reg1_2
{ CVT_95_addMaskPairOperands, 1, CVT_95_Reg, 2, CVT_95_Reg, 3, CVT_Done },
// Convert__VK2Pair1_0__Reg1_1__Mem1285_2
{ CVT_95_addMaskPairOperands, 1, CVT_95_Reg, 2, CVT_95_addMemOperands, 3, CVT_Done },
// Convert__VK4Pair1_0__Reg1_1__Mem2565_2
{ CVT_95_addMaskPairOperands, 1, CVT_95_Reg, 2, CVT_95_addMemOperands, 3, CVT_Done },
// Convert__VK8Pair1_0__Reg1_1__Mem5125_2
{ CVT_95_addMaskPairOperands, 1, CVT_95_Reg, 2, CVT_95_addMemOperands, 3, CVT_Done },
// Convert__VK2Pair1_2__Reg1_1__Mem1285_0
{ CVT_95_addMaskPairOperands, 3, CVT_95_Reg, 2, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__VK4Pair1_2__Reg1_1__Mem2565_0
{ CVT_95_addMaskPairOperands, 3, CVT_95_Reg, 2, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__VK8Pair1_2__Reg1_1__Mem5125_0
{ CVT_95_addMaskPairOperands, 3, CVT_95_Reg, 2, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__VK2Pair1_0__Reg1_1__Mem645_2
{ CVT_95_addMaskPairOperands, 1, CVT_95_Reg, 2, CVT_95_addMemOperands, 3, CVT_Done },
// Convert__VK4Pair1_0__Reg1_1__Mem645_2
{ CVT_95_addMaskPairOperands, 1, CVT_95_Reg, 2, CVT_95_addMemOperands, 3, CVT_Done },
// Convert__VK8Pair1_0__Reg1_1__Mem645_2
{ CVT_95_addMaskPairOperands, 1, CVT_95_Reg, 2, CVT_95_addMemOperands, 3, CVT_Done },
// Convert__VK2Pair1_3__Reg1_2__Mem645_0
{ CVT_95_addMaskPairOperands, 4, CVT_95_Reg, 3, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__VK4Pair1_3__Reg1_2__Mem645_0
{ CVT_95_addMaskPairOperands, 4, CVT_95_Reg, 3, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__VK8Pair1_3__Reg1_2__Mem645_0
{ CVT_95_addMaskPairOperands, 4, CVT_95_Reg, 3, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem85_4
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 3, CVT_95_addMemOperands, 5, CVT_Done },
// Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem85_0
{ CVT_95_Reg, 2, CVT_Tied, Tie0_1_1, CVT_95_Reg, 4, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Reg1_0__Reg1_2__Mem85_5
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_addMemOperands, 6, CVT_Done },
// Convert__Reg1_1__Reg1_3__Mem85_0
{ CVT_95_Reg, 2, CVT_95_Reg, 4, CVT_95_addMemOperands, 1, CVT_Done },
// Convert__Reg1_2__Reg1_1__Reg1_0__imm_95_17
{ CVT_95_Reg, 3, CVT_95_Reg, 2, CVT_95_Reg, 1, CVT_imm_95_17, 0, CVT_Done },
// Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_17
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_Reg, 3, CVT_imm_95_17, 0, CVT_Done },
// Convert__Reg1_0__Reg1_1__Mem1285_2__imm_95_17
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addMemOperands, 3, CVT_imm_95_17, 0, CVT_Done },
// Convert__Reg1_0__Reg1_1__Mem2565_2__imm_95_17
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addMemOperands, 3, CVT_imm_95_17, 0, CVT_Done },
// Convert__Reg1_0__Reg1_1__Mem5125_2__imm_95_17
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addMemOperands, 3, CVT_imm_95_17, 0, CVT_Done },
// Convert__Reg1_2__Reg1_1__Mem1285_0__imm_95_17
{ CVT_95_Reg, 3, CVT_95_Reg, 2, CVT_95_addMemOperands, 1, CVT_imm_95_17, 0, CVT_Done },
// Convert__Reg1_2__Reg1_1__Mem2565_0__imm_95_17
{ CVT_95_Reg, 3, CVT_95_Reg, 2, CVT_95_addMemOperands, 1, CVT_imm_95_17, 0, CVT_Done },
// Convert__Reg1_2__Reg1_1__Mem5125_0__imm_95_17
{ CVT_95_Reg, 3, CVT_95_Reg, 2, CVT_95_addMemOperands, 1, CVT_imm_95_17, 0, CVT_Done },
// Convert__Reg1_2__Reg1_1__Reg1_0__imm_95_1
{ CVT_95_Reg, 3, CVT_95_Reg, 2, CVT_95_Reg, 1, CVT_imm_95_1, 0, CVT_Done },
// Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_1
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_Reg, 3, CVT_imm_95_1, 0, CVT_Done },
// Convert__Reg1_0__Reg1_1__Mem1285_2__imm_95_1
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addMemOperands, 3, CVT_imm_95_1, 0, CVT_Done },
// Convert__Reg1_0__Reg1_1__Mem2565_2__imm_95_1
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addMemOperands, 3, CVT_imm_95_1, 0, CVT_Done },
// Convert__Reg1_0__Reg1_1__Mem5125_2__imm_95_1
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addMemOperands, 3, CVT_imm_95_1, 0, CVT_Done },
// Convert__Reg1_2__Reg1_1__Mem1285_0__imm_95_1
{ CVT_95_Reg, 3, CVT_95_Reg, 2, CVT_95_addMemOperands, 1, CVT_imm_95_1, 0, CVT_Done },
// Convert__Reg1_2__Reg1_1__Mem2565_0__imm_95_1
{ CVT_95_Reg, 3, CVT_95_Reg, 2, CVT_95_addMemOperands, 1, CVT_imm_95_1, 0, CVT_Done },
// Convert__Reg1_2__Reg1_1__Mem5125_0__imm_95_1
{ CVT_95_Reg, 3, CVT_95_Reg, 2, CVT_95_addMemOperands, 1, CVT_imm_95_1, 0, CVT_Done },
// Convert__Reg1_2__Reg1_1__Reg1_0__imm_95_16
{ CVT_95_Reg, 3, CVT_95_Reg, 2, CVT_95_Reg, 1, CVT_imm_95_16, 0, CVT_Done },
// Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_16
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_Reg, 3, CVT_imm_95_16, 0, CVT_Done },
// Convert__Reg1_0__Reg1_1__Mem1285_2__imm_95_16
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addMemOperands, 3, CVT_imm_95_16, 0, CVT_Done },
// Convert__Reg1_0__Reg1_1__Mem2565_2__imm_95_16
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addMemOperands, 3, CVT_imm_95_16, 0, CVT_Done },
// Convert__Reg1_0__Reg1_1__Mem5125_2__imm_95_16
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addMemOperands, 3, CVT_imm_95_16, 0, CVT_Done },
// Convert__Reg1_2__Reg1_1__Mem1285_0__imm_95_16
{ CVT_95_Reg, 3, CVT_95_Reg, 2, CVT_95_addMemOperands, 1, CVT_imm_95_16, 0, CVT_Done },
// Convert__Reg1_2__Reg1_1__Mem2565_0__imm_95_16
{ CVT_95_Reg, 3, CVT_95_Reg, 2, CVT_95_addMemOperands, 1, CVT_imm_95_16, 0, CVT_Done },
// Convert__Reg1_2__Reg1_1__Mem5125_0__imm_95_16
{ CVT_95_Reg, 3, CVT_95_Reg, 2, CVT_95_addMemOperands, 1, CVT_imm_95_16, 0, CVT_Done },
// Convert__Reg1_2__Reg1_1__Reg1_0__imm_95_0
{ CVT_95_Reg, 3, CVT_95_Reg, 2, CVT_95_Reg, 1, CVT_imm_95_0, 0, CVT_Done },
// Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_Reg, 3, CVT_imm_95_0, 0, CVT_Done },
// Convert__Reg1_0__Reg1_1__Mem1285_2__imm_95_0
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addMemOperands, 3, CVT_imm_95_0, 0, CVT_Done },
// Convert__Reg1_0__Reg1_1__Mem2565_2__imm_95_0
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addMemOperands, 3, CVT_imm_95_0, 0, CVT_Done },
// Convert__Reg1_0__Reg1_1__Mem5125_2__imm_95_0
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addMemOperands, 3, CVT_imm_95_0, 0, CVT_Done },
// Convert__Reg1_2__Reg1_1__Mem1285_0__imm_95_0
{ CVT_95_Reg, 3, CVT_95_Reg, 2, CVT_95_addMemOperands, 1, CVT_imm_95_0, 0, CVT_Done },
// Convert__Reg1_2__Reg1_1__Mem2565_0__imm_95_0
{ CVT_95_Reg, 3, CVT_95_Reg, 2, CVT_95_addMemOperands, 1, CVT_imm_95_0, 0, CVT_Done },
// Convert__Reg1_2__Reg1_1__Mem5125_0__imm_95_0
{ CVT_95_Reg, 3, CVT_95_Reg, 2, CVT_95_addMemOperands, 1, CVT_imm_95_0, 0, CVT_Done },
// Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3__ImmUnsignedi41_4
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_Reg, 3, CVT_95_Reg, 4, CVT_95_addImmOperands, 5, CVT_Done },
// Convert__Reg1_0__Reg1_1__Reg1_2__Mem1285_3__ImmUnsignedi41_4
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_Reg, 3, CVT_95_addMemOperands, 4, CVT_95_addImmOperands, 5, CVT_Done },
// Convert__Reg1_0__Reg1_1__Mem1285_2__Reg1_3__ImmUnsignedi41_4
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addMemOperands, 3, CVT_95_Reg, 4, CVT_95_addImmOperands, 5, CVT_Done },
// Convert__Reg1_0__Reg1_1__Reg1_2__Mem2565_3__ImmUnsignedi41_4
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_Reg, 3, CVT_95_addMemOperands, 4, CVT_95_addImmOperands, 5, CVT_Done },
// Convert__Reg1_0__Reg1_1__Mem2565_2__Reg1_3__ImmUnsignedi41_4
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addMemOperands, 3, CVT_95_Reg, 4, CVT_95_addImmOperands, 5, CVT_Done },
// Convert__Reg1_4__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi41_0
{ CVT_95_Reg, 5, CVT_95_Reg, 4, CVT_95_Reg, 3, CVT_95_Reg, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_4__Reg1_3__Mem1285_2__Reg1_1__ImmUnsignedi41_0
{ CVT_95_Reg, 5, CVT_95_Reg, 4, CVT_95_addMemOperands, 3, CVT_95_Reg, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_4__Reg1_3__Mem2565_2__Reg1_1__ImmUnsignedi41_0
{ CVT_95_Reg, 5, CVT_95_Reg, 4, CVT_95_addMemOperands, 3, CVT_95_Reg, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_4__Reg1_3__Reg1_2__Mem1285_1__ImmUnsignedi41_0
{ CVT_95_Reg, 5, CVT_95_Reg, 4, CVT_95_Reg, 3, CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_4__Reg1_3__Reg1_2__Mem2565_1__ImmUnsignedi41_0
{ CVT_95_Reg, 5, CVT_95_Reg, 4, CVT_95_Reg, 3, CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_0__Reg1_1__GR32orGR641_2__ImmUnsignedi81_3
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addGR32orGR64Operands, 3, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__Reg1_0__Reg1_1__Mem85_2__ImmUnsignedi81_3
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addMemOperands, 3, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__Reg1_3__Reg1_2__GR32orGR641_1__ImmUnsignedi81_0
{ CVT_95_Reg, 4, CVT_95_Reg, 3, CVT_95_addGR32orGR64Operands, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_3__Reg1_2__Mem85_1__ImmUnsignedi81_0
{ CVT_95_Reg, 4, CVT_95_Reg, 3, CVT_95_addMemOperands, 2, CVT_95_addImmOperands, 1, CVT_Done },
// Convert__Reg1_2__Mem1285_1__Reg1_0
{ CVT_95_Reg, 3, CVT_95_addMemOperands, 2, CVT_95_Reg, 1, CVT_Done },
// Convert__Reg1_0__Mem1285_1__Reg1_2
{ CVT_95_Reg, 1, CVT_95_addMemOperands, 2, CVT_95_Reg, 3, CVT_Done },
// Convert__Reg1_3__Mem128_RC128X5_1__Tie0_4_4__Reg1_0
{ CVT_95_Reg, 4, CVT_95_addMemOperands, 2, CVT_Tied, Tie0_4_4, CVT_95_Reg, 1, CVT_Done },
// Convert__Reg1_3__Mem256_RC256X5_1__Tie0_4_4__Reg1_0
{ CVT_95_Reg, 4, CVT_95_addMemOperands, 2, CVT_Tied, Tie0_4_4, CVT_95_Reg, 1, CVT_Done },
// Convert__Reg1_3__Mem512_RC5125_1__Tie0_4_4__Reg1_0
{ CVT_95_Reg, 4, CVT_95_addMemOperands, 2, CVT_Tied, Tie0_4_4, CVT_95_Reg, 1, CVT_Done },
// Convert__Reg1_2__Mem128_RC128X5_0__Tie0_3_3__Reg1_4
{ CVT_95_Reg, 3, CVT_95_addMemOperands, 1, CVT_Tied, Tie0_3_3, CVT_95_Reg, 5, CVT_Done },
// Convert__Reg1_2__Mem256_RC256X5_0__Tie0_3_3__Reg1_4
{ CVT_95_Reg, 3, CVT_95_addMemOperands, 1, CVT_Tied, Tie0_3_3, CVT_95_Reg, 5, CVT_Done },
// Convert__Reg1_2__Mem512_RC5125_0__Tie0_3_3__Reg1_4
{ CVT_95_Reg, 3, CVT_95_addMemOperands, 1, CVT_Tied, Tie0_3_3, CVT_95_Reg, 5, CVT_Done },
// Convert__Reg1_3__Mem256_RC128X5_1__Tie0_4_4__Reg1_0
{ CVT_95_Reg, 4, CVT_95_addMemOperands, 2, CVT_Tied, Tie0_4_4, CVT_95_Reg, 1, CVT_Done },
// Convert__Reg1_3__Mem512_RC256X5_1__Tie0_4_4__Reg1_0
{ CVT_95_Reg, 4, CVT_95_addMemOperands, 2, CVT_Tied, Tie0_4_4, CVT_95_Reg, 1, CVT_Done },
// Convert__Reg1_2__Mem256_RC128X5_0__Tie0_3_3__Reg1_4
{ CVT_95_Reg, 3, CVT_95_addMemOperands, 1, CVT_Tied, Tie0_3_3, CVT_95_Reg, 5, CVT_Done },
// Convert__Reg1_2__Mem512_RC256X5_0__Tie0_3_3__Reg1_4
{ CVT_95_Reg, 3, CVT_95_addMemOperands, 1, CVT_Tied, Tie0_3_3, CVT_95_Reg, 5, CVT_Done },
// Convert__Reg1_3__Mem128_RC256X5_1__Tie0_4_4__Reg1_0
{ CVT_95_Reg, 4, CVT_95_addMemOperands, 2, CVT_Tied, Tie0_4_4, CVT_95_Reg, 1, CVT_Done },
// Convert__Reg1_3__Mem64_RC128X5_1__Tie0_4_4__Reg1_0
{ CVT_95_Reg, 4, CVT_95_addMemOperands, 2, CVT_Tied, Tie0_4_4, CVT_95_Reg, 1, CVT_Done },
// Convert__Reg1_3__Mem256_RC5125_1__Tie0_4_4__Reg1_0
{ CVT_95_Reg, 4, CVT_95_addMemOperands, 2, CVT_Tied, Tie0_4_4, CVT_95_Reg, 1, CVT_Done },
// Convert__Reg1_2__Mem128_RC256X5_0__Tie0_3_3__Reg1_4
{ CVT_95_Reg, 3, CVT_95_addMemOperands, 1, CVT_Tied, Tie0_3_3, CVT_95_Reg, 5, CVT_Done },
// Convert__Reg1_2__Mem256_RC5125_0__Tie0_3_3__Reg1_4
{ CVT_95_Reg, 3, CVT_95_addMemOperands, 1, CVT_Tied, Tie0_3_3, CVT_95_Reg, 5, CVT_Done },
// Convert__Reg1_2__Mem64_RC128X5_0__Tie0_3_3__Reg1_4
{ CVT_95_Reg, 3, CVT_95_addMemOperands, 1, CVT_Tied, Tie0_3_3, CVT_95_Reg, 5, CVT_Done },
// Convert__Reg1_0__Reg1_1__Tie0_1_1__Tie1_2_2
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_Tied, Tie0_1_1, CVT_Tied, Tie1_2_2, CVT_Done },
// Convert__Reg1_1__Reg1_0__Tie0_2_2__Tie1_1_1
{ CVT_95_Reg, 2, CVT_95_Reg, 1, CVT_Tied, Tie0_2_2, CVT_Tied, Tie1_1_1, CVT_Done },
// Convert__AbsMem161_0
{ CVT_95_addAbsMemOperands, 1, CVT_Done },
// Convert__Reg1_1__Tie0_2_2
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_Done },
// Convert__regEAX__regEAX__Tie0_1_1__Tie1_1_1
{ CVT_regEAX, 0, CVT_regEAX, 0, CVT_Tied, Tie0_1_1, CVT_Tied, Tie1_1_1, CVT_Done },
};
void X86AsmParser::
convertToMCInst(unsigned Kind, MCInst &Inst, unsigned Opcode,
const OperandVector &Operands) {
assert(Kind < CVT_NUM_SIGNATURES && "Invalid signature!");
const uint8_t *Converter = ConversionTable[Kind];
unsigned OpIdx;
Inst.setOpcode(Opcode);
for (const uint8_t *p = Converter; *p; p += 2) {
OpIdx = *(p + 1);
switch (*p) {
default: llvm_unreachable("invalid conversion entry!");
case CVT_Reg:
static_cast<X86Operand &>(*Operands[OpIdx]).addRegOperands(Inst, 1);
break;
case CVT_Tied: {
assert(OpIdx < (size_t)(std::end(TiedAsmOperandTable) -
std::begin(TiedAsmOperandTable)) &&
"Tied operand not found");
unsigned TiedResOpnd = TiedAsmOperandTable[OpIdx][0];
if (TiedResOpnd != (uint8_t)-1)
Inst.addOperand(Inst.getOperand(TiedResOpnd));
break;
}
case CVT_imm_95_10:
Inst.addOperand(MCOperand::createImm(10));
break;
case CVT_95_addImmOperands:
static_cast<X86Operand &>(*Operands[OpIdx]).addImmOperands(Inst, 1);
break;
case CVT_95_addMemOperands:
static_cast<X86Operand &>(*Operands[OpIdx]).addMemOperands(Inst, 5);
break;
case CVT_95_Reg:
static_cast<X86Operand &>(*Operands[OpIdx]).addRegOperands(Inst, 1);
break;
case CVT_regAX:
Inst.addOperand(MCOperand::createReg(X86::AX));
break;
case CVT_regEAX:
Inst.addOperand(MCOperand::createReg(X86::EAX));
break;
case CVT_regRAX:
Inst.addOperand(MCOperand::createReg(X86::RAX));
break;
case CVT_95_addAbsMemOperands:
static_cast<X86Operand &>(*Operands[OpIdx]).addAbsMemOperands(Inst, 1);
break;
case CVT_imm_95_7:
Inst.addOperand(MCOperand::createImm(7));
break;
case CVT_imm_95_3:
Inst.addOperand(MCOperand::createImm(3));
break;
case CVT_imm_95_2:
Inst.addOperand(MCOperand::createImm(2));
break;
case CVT_imm_95_6:
Inst.addOperand(MCOperand::createImm(6));
break;
case CVT_imm_95_4:
Inst.addOperand(MCOperand::createImm(4));
break;
case CVT_imm_95_15:
Inst.addOperand(MCOperand::createImm(15));
break;
case CVT_imm_95_13:
Inst.addOperand(MCOperand::createImm(13));
break;
case CVT_imm_95_12:
Inst.addOperand(MCOperand::createImm(12));
break;
case CVT_imm_95_14:
Inst.addOperand(MCOperand::createImm(14));
break;
case CVT_imm_95_5:
Inst.addOperand(MCOperand::createImm(5));
break;
case CVT_imm_95_1:
Inst.addOperand(MCOperand::createImm(1));
break;
case CVT_imm_95_11:
Inst.addOperand(MCOperand::createImm(11));
break;
case CVT_imm_95_9:
Inst.addOperand(MCOperand::createImm(9));
break;
case CVT_imm_95_0:
Inst.addOperand(MCOperand::createImm(0));
break;
case CVT_imm_95_8:
Inst.addOperand(MCOperand::createImm(8));
break;
case CVT_95_addDstIdxOperands:
static_cast<X86Operand &>(*Operands[OpIdx]).addDstIdxOperands(Inst, 1);
break;
case CVT_95_addSrcIdxOperands:
static_cast<X86Operand &>(*Operands[OpIdx]).addSrcIdxOperands(Inst, 2);
break;
case CVT_95_addGR32orGR64Operands:
static_cast<X86Operand &>(*Operands[OpIdx]).addGR32orGR64Operands(Inst, 1);
break;
case CVT_regST1:
Inst.addOperand(MCOperand::createReg(X86::ST1));
break;
case CVT_regST0:
Inst.addOperand(MCOperand::createReg(X86::ST0));
break;
case CVT_95_addGR16orGR32orGR64Operands:
static_cast<X86Operand &>(*Operands[OpIdx]).addGR16orGR32orGR64Operands(Inst, 1);
break;
case CVT_95_addMemOffsOperands:
static_cast<X86Operand &>(*Operands[OpIdx]).addMemOffsOperands(Inst, 2);
break;
case CVT_imm_95_17:
Inst.addOperand(MCOperand::createImm(17));
break;
case CVT_imm_95_16:
Inst.addOperand(MCOperand::createImm(16));
break;
case CVT_95_addAVX512RCOperands:
static_cast<X86Operand &>(*Operands[OpIdx]).addAVX512RCOperands(Inst, 1);
break;
case CVT_95_addMaskPairOperands:
static_cast<X86Operand &>(*Operands[OpIdx]).addMaskPairOperands(Inst, 1);
break;
}
}
}
void X86AsmParser::
convertToMapAndConstraints(unsigned Kind,
const OperandVector &Operands) {
assert(Kind < CVT_NUM_SIGNATURES && "Invalid signature!");
unsigned NumMCOperands = 0;
const uint8_t *Converter = ConversionTable[Kind];
for (const uint8_t *p = Converter; *p; p += 2) {
switch (*p) {
default: llvm_unreachable("invalid conversion entry!");
case CVT_Reg:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("r");
++NumMCOperands;
break;
case CVT_Tied:
++NumMCOperands;
break;
case CVT_imm_95_10:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("");
++NumMCOperands;
break;
case CVT_95_addImmOperands:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
NumMCOperands += 1;
break;
case CVT_95_addMemOperands:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
NumMCOperands += 5;
break;
case CVT_95_Reg:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("r");
NumMCOperands += 1;
break;
case CVT_regAX:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
++NumMCOperands;
break;
case CVT_regEAX:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
++NumMCOperands;
break;
case CVT_regRAX:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
++NumMCOperands;
break;
case CVT_95_addAbsMemOperands:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
NumMCOperands += 1;
break;
case CVT_imm_95_7:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("");
++NumMCOperands;
break;
case CVT_imm_95_3:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("");
++NumMCOperands;
break;
case CVT_imm_95_2:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("");
++NumMCOperands;
break;
case CVT_imm_95_6:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("");
++NumMCOperands;
break;
case CVT_imm_95_4:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("");
++NumMCOperands;
break;
case CVT_imm_95_15:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("");
++NumMCOperands;
break;
case CVT_imm_95_13:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("");
++NumMCOperands;
break;
case CVT_imm_95_12:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("");
++NumMCOperands;
break;
case CVT_imm_95_14:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("");
++NumMCOperands;
break;
case CVT_imm_95_5:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("");
++NumMCOperands;
break;
case CVT_imm_95_1:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("");
++NumMCOperands;
break;
case CVT_imm_95_11:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("");
++NumMCOperands;
break;
case CVT_imm_95_9:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("");
++NumMCOperands;
break;
case CVT_imm_95_0:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("");
++NumMCOperands;
break;
case CVT_imm_95_8:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("");
++NumMCOperands;
break;
case CVT_95_addDstIdxOperands:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
NumMCOperands += 1;
break;
case CVT_95_addSrcIdxOperands:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
NumMCOperands += 2;
break;
case CVT_95_addGR32orGR64Operands:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
NumMCOperands += 1;
break;
case CVT_regST1:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
++NumMCOperands;
break;
case CVT_regST0:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
++NumMCOperands;
break;
case CVT_95_addGR16orGR32orGR64Operands:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
NumMCOperands += 1;
break;
case CVT_95_addMemOffsOperands:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
NumMCOperands += 2;
break;
case CVT_imm_95_17:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("");
++NumMCOperands;
break;
case CVT_imm_95_16:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("");
++NumMCOperands;
break;
case CVT_95_addAVX512RCOperands:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
NumMCOperands += 1;
break;
case CVT_95_addMaskPairOperands:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
NumMCOperands += 1;
break;
}
}
}
namespace {
/// MatchClassKind - The kinds of classes which participate in
/// instruction matching.
enum MatchClassKind {
InvalidMatchClass = 0,
OptionalMatchClass = 1,
MCK__STAR_, // '*'
MCK__123_, // '{'
MCK__123_1to16_125_, // '{1to16}'
MCK__123_1to2_125_, // '{1to2}'
MCK__123_1to32_125_, // '{1to32}'
MCK__123_1to4_125_, // '{1to4}'
MCK__123_1to8_125_, // '{1to8}'
MCK__123_sae_125_, // '{sae}'
MCK__123_z_125_, // '{z}'
MCK__125_, // '}'
MCK_LAST_TOKEN = MCK__125_,
MCK_Reg80, // derived register class
MCK_Reg79, // derived register class
MCK_Reg76, // derived register class
MCK_Reg74, // derived register class
MCK_Reg72, // derived register class
MCK_Reg38, // derived register class
MCK_Reg36, // derived register class
MCK_Reg34, // derived register class
MCK_Reg32, // derived register class
MCK_AL, // register class 'AL'
MCK_AX, // register class 'AX'
MCK_CCR, // register class 'CCR'
MCK_CL, // register class 'CL'
MCK_CS, // register class 'CS'
MCK_DFCCR, // register class 'DFCCR'
MCK_DS, // register class 'DS'
MCK_DX, // register class 'DX'
MCK_EAX, // register class 'EAX'
MCK_EBX, // register class 'EBX'
MCK_ECX, // register class 'ECX'
MCK_EDX, // register class 'EDX'
MCK_ES, // register class 'ES'
MCK_FPCCR, // register class 'FPCCR'
MCK_FS, // register class 'FS'
MCK_GS, // register class 'GS'
MCK_RAX, // register class 'RAX'
MCK_RBX, // register class 'RBX'
MCK_RCX, // register class 'RCX'
MCK_RDX, // register class 'RDX'
MCK_RFP80_7, // register class 'RFP80_7'
MCK_SS, // register class 'SS'
MCK_ST0, // register class 'ST0'
MCK_XMM0, // register class 'XMM0'
MCK_Reg78, // derived register class
MCK_Reg77, // derived register class
MCK_Reg75, // derived register class
MCK_Reg73, // derived register class
MCK_Reg71, // derived register class
MCK_Reg69, // derived register class
MCK_Reg67, // derived register class
MCK_GR32_AD, // register class 'GR32_AD'
MCK_GR32_BPSP, // register class 'GR32_BPSP'
MCK_GR32_BSI, // register class 'GR32_BSI'
MCK_GR32_CB, // register class 'GR32_CB'
MCK_GR32_DC, // register class 'GR32_DC'
MCK_GR32_DIBP, // register class 'GR32_DIBP'
MCK_GR32_SIDI, // register class 'GR32_SIDI'
MCK_GR64_AD, // register class 'GR64_AD'
MCK_Reg109, // derived register class
MCK_Reg41, // derived register class
MCK_Reg15, // derived register class
MCK_Reg57, // derived register class
MCK_GR16_ABCD, // register class 'GR16_ABCD'
MCK_GR32_ABCD, // register class 'GR32_ABCD'
MCK_GR32_TC, // register class 'GR32_TC'
MCK_GR64_ABCD, // register class 'GR64_ABCD'
MCK_GR8_ABCD_H, // register class 'GR8_ABCD_H'
MCK_GR8_ABCD_L, // register class 'GR8_ABCD_L'
MCK_VK16PAIR, // register class 'VK16PAIR,VK1PAIR,VK2PAIR,VK4PAIR,VK8PAIR'
MCK_Reg63, // derived register class
MCK_Reg62, // derived register class
MCK_Reg50, // derived register class
MCK_Reg65, // derived register class
MCK_Reg51, // derived register class
MCK_SEGMENT_REG, // register class 'SEGMENT_REG'
MCK_Reg64, // derived register class
MCK_Reg60, // derived register class
MCK_Reg55, // derived register class
MCK_Reg54, // derived register class
MCK_GR32_NOREX_NOSP, // register class 'GR32_NOREX_NOSP'
MCK_GR64_NOREX_NOSP, // register class 'GR64_NOREX_NOSP'
MCK_RFP32, // register class 'RFP32,RFP64,RFP80'
MCK_VK16WM, // register class 'VK16WM,VK1WM,VK2WM,VK4WM,VK8WM,VK32WM,VK64WM'
MCK_Reg61, // derived register class
MCK_Reg58, // derived register class
MCK_Reg56, // derived register class
MCK_Reg44, // derived register class
MCK_GR16_NOREX, // register class 'GR16_NOREX'
MCK_GR32_NOREX, // register class 'GR32_NOREX'
MCK_GR8_NOREX, // register class 'GR8_NOREX'
MCK_RST, // register class 'RST'
MCK_TILE, // register class 'TILE'
MCK_VK1, // register class 'VK1,VK16,VK2,VK4,VK8,VK32,VK64'
MCK_VR64, // register class 'VR64'
MCK_Reg52, // derived register class
MCK_Reg23, // derived register class
MCK_GR64_NOREX, // register class 'GR64_NOREX'
MCK_GR64_TCW64, // register class 'GR64_TCW64'
MCK_GR64_TC, // register class 'GR64_TC'
MCK_GRH8, // register class 'GRH8'
MCK_GR64PLTSafe, // register class 'GR64PLTSafe'
MCK_GR32_NOSP, // register class 'GR32_NOSP'
MCK_GR64_NOSP, // register class 'GR64_NOSP'
MCK_Reg45, // derived register class
MCK_CONTROL_REG, // register class 'CONTROL_REG'
MCK_DEBUG_REG, // register class 'DEBUG_REG'
MCK_FR16, // register class 'FR16,FR32,FR64,VR128'
MCK_GR16, // register class 'GR16'
MCK_GR32, // register class 'GR32'
MCK_VR256, // register class 'VR256'
MCK_VR512_0_15, // register class 'VR512_0_15'
MCK_Reg20, // derived register class
MCK_GR64, // register class 'GR64'
MCK_GRH16, // register class 'GRH16'
MCK_LOW32_ADDR_ACCESS, // register class 'LOW32_ADDR_ACCESS'
MCK_LOW32_ADDR_ACCESS_RBP, // register class 'LOW32_ADDR_ACCESS_RBP'
MCK_GR8, // register class 'GR8'
MCK_FR16X, // register class 'FR16X,FR32X,FR64X,VR128X'
MCK_VR256X, // register class 'VR256X'
MCK_VR512, // register class 'VR512'
MCK_LAST_REGISTER = MCK_VR512,
MCK_AVX512RC, // user defined class 'AVX512RCOperand'
MCK_ImmSExti64i8, // user defined class 'ImmSExti64i8AsmOperand'
MCK_ImmSExti16i8, // user defined class 'ImmSExti16i8AsmOperand'
MCK_ImmSExti32i8, // user defined class 'ImmSExti32i8AsmOperand'
MCK_ImmSExti64i32, // user defined class 'ImmSExti64i32AsmOperand'
MCK_Imm, // user defined class 'ImmAsmOperand'
MCK_ImmUnsignedi4, // user defined class 'ImmUnsignedi4AsmOperand'
MCK_ImmUnsignedi8, // user defined class 'ImmUnsignedi8AsmOperand'
MCK_VK16Pair, // user defined class 'VK16PairAsmOperand'
MCK_VK1Pair, // user defined class 'VK1PairAsmOperand'
MCK_VK2Pair, // user defined class 'VK2PairAsmOperand'
MCK_VK4Pair, // user defined class 'VK4PairAsmOperand'
MCK_VK8Pair, // user defined class 'VK8PairAsmOperand'
MCK_GR16orGR32orGR64, // user defined class 'X86GR16orGR32orGR64AsmOperand'
MCK_GR32orGR64, // user defined class 'X86GR32orGR64AsmOperand'
MCK_AbsMem16, // user defined class 'X86AbsMem16AsmOperand'
MCK_DstIdx16, // user defined class 'X86DstIdx16Operand'
MCK_DstIdx32, // user defined class 'X86DstIdx32Operand'
MCK_DstIdx64, // user defined class 'X86DstIdx64Operand'
MCK_DstIdx8, // user defined class 'X86DstIdx8Operand'
MCK_MemOffs16_16, // user defined class 'X86MemOffs16_16AsmOperand'
MCK_MemOffs16_32, // user defined class 'X86MemOffs16_32AsmOperand'
MCK_MemOffs16_8, // user defined class 'X86MemOffs16_8AsmOperand'
MCK_MemOffs32_16, // user defined class 'X86MemOffs32_16AsmOperand'
MCK_MemOffs32_32, // user defined class 'X86MemOffs32_32AsmOperand'
MCK_MemOffs32_64, // user defined class 'X86MemOffs32_64AsmOperand'
MCK_MemOffs32_8, // user defined class 'X86MemOffs32_8AsmOperand'
MCK_MemOffs64_16, // user defined class 'X86MemOffs64_16AsmOperand'
MCK_MemOffs64_32, // user defined class 'X86MemOffs64_32AsmOperand'
MCK_MemOffs64_64, // user defined class 'X86MemOffs64_64AsmOperand'
MCK_MemOffs64_8, // user defined class 'X86MemOffs64_8AsmOperand'
MCK_SrcIdx16, // user defined class 'X86SrcIdx16Operand'
MCK_SrcIdx32, // user defined class 'X86SrcIdx32Operand'
MCK_SrcIdx64, // user defined class 'X86SrcIdx64Operand'
MCK_SrcIdx8, // user defined class 'X86SrcIdx8Operand'
MCK_AbsMem, // user defined class 'X86AbsMemAsmOperand'
MCK_Mem128, // user defined class 'X86Mem128AsmOperand'
MCK_Mem128_RC128, // user defined class 'X86Mem128_RC128Operand'
MCK_Mem128_RC128X, // user defined class 'X86Mem128_RC128XOperand'
MCK_Mem128_RC256, // user defined class 'X86Mem128_RC256Operand'
MCK_Mem128_RC256X, // user defined class 'X86Mem128_RC256XOperand'
MCK_Mem16, // user defined class 'X86Mem16AsmOperand'
MCK_Mem256, // user defined class 'X86Mem256AsmOperand'
MCK_Mem256_RC128, // user defined class 'X86Mem256_RC128Operand'
MCK_Mem256_RC128X, // user defined class 'X86Mem256_RC128XOperand'
MCK_Mem256_RC256, // user defined class 'X86Mem256_RC256Operand'
MCK_Mem256_RC256X, // user defined class 'X86Mem256_RC256XOperand'
MCK_Mem256_RC512, // user defined class 'X86Mem256_RC512Operand'
MCK_Mem32, // user defined class 'X86Mem32AsmOperand'
MCK_Mem512, // user defined class 'X86Mem512AsmOperand'
MCK_Mem512_RC256X, // user defined class 'X86Mem512_RC256XOperand'
MCK_Mem512_RC512, // user defined class 'X86Mem512_RC512Operand'
MCK_Mem64, // user defined class 'X86Mem64AsmOperand'
MCK_Mem64_RC128, // user defined class 'X86Mem64_RC128Operand'
MCK_Mem64_RC128X, // user defined class 'X86Mem64_RC128XOperand'
MCK_Mem80, // user defined class 'X86Mem80AsmOperand'
MCK_Mem8, // user defined class 'X86Mem8AsmOperand'
MCK_SibMem, // user defined class 'X86SibMemOperand'
MCK_Mem, // user defined class 'X86MemAsmOperand'
NumMatchClassKinds
};
} // end anonymous namespace
static unsigned getDiagKindFromRegisterClass(MatchClassKind RegisterClass) {
return MCTargetAsmParser::Match_InvalidOperand;
}
static MatchClassKind matchTokenString(StringRef Name) {
switch (Name.size()) {
default: break;
case 1: // 3 strings to match.
switch (Name[0]) {
default: break;
case '*': // 1 string to match.
return MCK__STAR_; // "*"
case '{': // 1 string to match.
return MCK__123_; // "{"
case '}': // 1 string to match.
return MCK__125_; // "}"
}
break;
case 3: // 1 string to match.
if (memcmp(Name.data()+0, "{z}", 3) != 0)
break;
return MCK__123_z_125_; // "{z}"
case 5: // 1 string to match.
if (memcmp(Name.data()+0, "{sae}", 5) != 0)
break;
return MCK__123_sae_125_; // "{sae}"
case 6: // 3 strings to match.
if (memcmp(Name.data()+0, "{1to", 4) != 0)
break;
switch (Name[4]) {
default: break;
case '2': // 1 string to match.
if (Name[5] != '}')
break;
return MCK__123_1to2_125_; // "{1to2}"
case '4': // 1 string to match.
if (Name[5] != '}')
break;
return MCK__123_1to4_125_; // "{1to4}"
case '8': // 1 string to match.
if (Name[5] != '}')
break;
return MCK__123_1to8_125_; // "{1to8}"
}
break;
case 7: // 2 strings to match.
if (memcmp(Name.data()+0, "{1to", 4) != 0)
break;
switch (Name[4]) {
default: break;
case '1': // 1 string to match.
if (memcmp(Name.data()+5, "6}", 2) != 0)
break;
return MCK__123_1to16_125_; // "{1to16}"
case '3': // 1 string to match.
if (memcmp(Name.data()+5, "2}", 2) != 0)
break;
return MCK__123_1to32_125_; // "{1to32}"
}
break;
}
return InvalidMatchClass;
}
/// isSubclass - Compute whether \p A is a subclass of \p B.
static bool isSubclass(MatchClassKind A, MatchClassKind B) {
if (A == B)
return true;
switch (A) {
default:
return false;
case MCK_Reg80:
switch (B) {
default: return false;
case MCK_Reg78: return true;
case MCK_Reg62: return true;
case MCK_Reg54: return true;
case MCK_Reg61: return true;
case MCK_GR64_NOREX: return true;
case MCK_GR64_TCW64: return true;
case MCK_GR64_TC: return true;
case MCK_GR64: return true;
case MCK_LOW32_ADDR_ACCESS: return true;
case MCK_LOW32_ADDR_ACCESS_RBP: return true;
}
case MCK_Reg79:
switch (B) {
default: return false;
case MCK_Reg77: return true;
case MCK_Reg57: return true;
case MCK_Reg62: return true;
case MCK_Reg51: return true;
case MCK_Reg60: return true;
case MCK_Reg54: return true;
case MCK_Reg61: return true;
case MCK_Reg58: return true;
case MCK_Reg44: return true;
case MCK_Reg52: return true;
case MCK_GR64_NOREX: return true;
case MCK_GR64_TCW64: return true;
case MCK_GR64_TC: return true;
case MCK_Reg45: return true;
case MCK_GR64: return true;
}
case MCK_Reg76:
switch (B) {
default: return false;
case MCK_Reg78: return true;
case MCK_Reg77: return true;
case MCK_Reg75: return true;
case MCK_GR64_NOREX_NOSP: return true;
case MCK_Reg44: return true;
case MCK_Reg23: return true;
case MCK_GR64_NOREX: return true;
case MCK_GR64PLTSafe: return true;
case MCK_GR64_NOSP: return true;
case MCK_Reg45: return true;
case MCK_Reg20: return true;
case MCK_GR64: return true;
case MCK_LOW32_ADDR_ACCESS_RBP: return true;
}
case MCK_Reg74:
switch (B) {
default: return false;
case MCK_Reg75: return true;
case MCK_Reg73: return true;
case MCK_Reg50: return true;
case MCK_Reg51: return true;
case MCK_Reg55: return true;
case MCK_Reg54: return true;
case MCK_GR64_NOREX_NOSP: return true;
case MCK_Reg56: return true;
case MCK_Reg44: return true;
case MCK_Reg52: return true;
case MCK_GR64_NOREX: return true;
case MCK_GR64_TC: return true;
case MCK_GR64PLTSafe: return true;
case MCK_GR64_NOSP: return true;
case MCK_Reg45: return true;
case MCK_GR64: return true;
}
case MCK_Reg72:
switch (B) {
default: return false;
case MCK_Reg73: return true;
case MCK_Reg71: return true;
case MCK_Reg50: return true;
case MCK_Reg51: return true;
case MCK_Reg55: return true;
case MCK_Reg54: return true;
case MCK_GR64_NOREX_NOSP: return true;
case MCK_Reg56: return true;
case MCK_Reg44: return true;
case MCK_Reg52: return true;
case MCK_GR64_NOREX: return true;
case MCK_GR64_TC: return true;
case MCK_GR64PLTSafe: return true;
case MCK_GR64_NOSP: return true;
case MCK_Reg45: return true;
case MCK_GR64: return true;
}
case MCK_Reg38:
switch (B) {
default: return false;
case MCK_GR32_BPSP: return true;
case MCK_GR32_TC: return true;
case MCK_GR32_NOREX: return true;
case MCK_Reg23: return true;
case MCK_GR32: return true;
case MCK_Reg20: return true;
case MCK_LOW32_ADDR_ACCESS: return true;
case MCK_LOW32_ADDR_ACCESS_RBP: return true;
}
case MCK_Reg36:
switch (B) {
default: return false;
case MCK_GR32_BPSP: return true;
case MCK_GR32_DIBP: return true;
case MCK_GR32_NOREX_NOSP: return true;
case MCK_GR32_NOREX: return true;
case MCK_Reg23: return true;
case MCK_GR32_NOSP: return true;
case MCK_GR32: return true;
case MCK_Reg20: return true;
case MCK_LOW32_ADDR_ACCESS: return true;
case MCK_LOW32_ADDR_ACCESS_RBP: return true;
}
case MCK_Reg34:
switch (B) {
default: return false;
case MCK_GR32_DIBP: return true;
case MCK_GR32_SIDI: return true;
case MCK_GR32_NOREX_NOSP: return true;
case MCK_GR32_NOREX: return true;
case MCK_Reg23: return true;
case MCK_GR32_NOSP: return true;
case MCK_GR32: return true;
case MCK_Reg20: return true;
case MCK_LOW32_ADDR_ACCESS: return true;
case MCK_LOW32_ADDR_ACCESS_RBP: return true;
}
case MCK_Reg32:
switch (B) {
default: return false;
case MCK_GR32_BSI: return true;
case MCK_GR32_SIDI: return true;
case MCK_GR32_NOREX_NOSP: return true;
case MCK_GR32_NOREX: return true;
case MCK_Reg23: return true;
case MCK_GR32_NOSP: return true;
case MCK_GR32: return true;
case MCK_Reg20: return true;
case MCK_LOW32_ADDR_ACCESS: return true;
case MCK_LOW32_ADDR_ACCESS_RBP: return true;
}
case MCK_AL:
switch (B) {
default: return false;
case MCK_GR8_ABCD_L: return true;
case MCK_GR8_NOREX: return true;
case MCK_GR8: return true;
}
case MCK_AX:
switch (B) {
default: return false;
case MCK_GR16_ABCD: return true;
case MCK_GR16_NOREX: return true;
case MCK_GR16: return true;
}
case MCK_CL:
switch (B) {
default: return false;
case MCK_GR8_ABCD_L: return true;
case MCK_GR8_NOREX: return true;
case MCK_GR8: return true;
}
case MCK_CS:
return B == MCK_SEGMENT_REG;
case MCK_DS:
return B == MCK_SEGMENT_REG;
case MCK_DX:
switch (B) {
default: return false;
case MCK_GR16_ABCD: return true;
case MCK_GR16_NOREX: return true;
case MCK_GR16: return true;
}
case MCK_EAX:
switch (B) {
default: return false;
case MCK_GR32_AD: return true;
case MCK_Reg15: return true;
case MCK_GR32_ABCD: return true;
case MCK_GR32_TC: return true;
case MCK_GR32_NOREX_NOSP: return true;
case MCK_GR32_NOREX: return true;
case MCK_Reg23: return true;
case MCK_GR32_NOSP: return true;
case MCK_GR32: return true;
case MCK_Reg20: return true;
case MCK_LOW32_ADDR_ACCESS: return true;
case MCK_LOW32_ADDR_ACCESS_RBP: return true;
}
case MCK_EBX:
switch (B) {
default: return false;
case MCK_GR32_BSI: return true;
case MCK_GR32_CB: return true;
case MCK_GR32_ABCD: return true;
case MCK_GR32_NOREX_NOSP: return true;
case MCK_GR32_NOREX: return true;
case MCK_Reg23: return true;
case MCK_GR32_NOSP: return true;
case MCK_GR32: return true;
case MCK_Reg20: return true;
case MCK_LOW32_ADDR_ACCESS: return true;
case MCK_LOW32_ADDR_ACCESS_RBP: return true;
}
case MCK_ECX:
switch (B) {
default: return false;
case MCK_GR32_CB: return true;
case MCK_GR32_DC: return true;
case MCK_Reg15: return true;
case MCK_GR32_ABCD: return true;
case MCK_GR32_TC: return true;
case MCK_GR32_NOREX_NOSP: return true;
case MCK_GR32_NOREX: return true;
case MCK_Reg23: return true;
case MCK_GR32_NOSP: return true;
case MCK_GR32: return true;
case MCK_Reg20: return true;
case MCK_LOW32_ADDR_ACCESS: return true;
case MCK_LOW32_ADDR_ACCESS_RBP: return true;
}
case MCK_EDX:
switch (B) {
default: return false;
case MCK_GR32_AD: return true;
case MCK_GR32_DC: return true;
case MCK_Reg15: return true;
case MCK_GR32_ABCD: return true;
case MCK_GR32_TC: return true;
case MCK_GR32_NOREX_NOSP: return true;
case MCK_GR32_NOREX: return true;
case MCK_Reg23: return true;
case MCK_GR32_NOSP: return true;
case MCK_GR32: return true;
case MCK_Reg20: return true;
case MCK_LOW32_ADDR_ACCESS: return true;
case MCK_LOW32_ADDR_ACCESS_RBP: return true;
}
case MCK_ES:
return B == MCK_SEGMENT_REG;
case MCK_FS:
return B == MCK_SEGMENT_REG;
case MCK_GS:
return B == MCK_SEGMENT_REG;
case MCK_RAX:
switch (B) {
default: return false;
case MCK_GR64_AD: return true;
case MCK_Reg41: return true;
case MCK_Reg57: return true;
case MCK_GR64_ABCD: return true;
case MCK_Reg63: return true;
case MCK_Reg62: return true;
case MCK_Reg50: return true;
case MCK_Reg65: return true;
case MCK_Reg51: return true;
case MCK_Reg64: return true;
case MCK_Reg60: return true;
case MCK_Reg55: return true;
case MCK_Reg54: return true;
case MCK_GR64_NOREX_NOSP: return true;
case MCK_Reg61: return true;
case MCK_Reg58: return true;
case MCK_Reg56: return true;
case MCK_Reg44: return true;
case MCK_Reg52: return true;
case MCK_GR64_NOREX: return true;
case MCK_GR64_TCW64: return true;
case MCK_GR64_TC: return true;
case MCK_GR64PLTSafe: return true;
case MCK_GR64_NOSP: return true;
case MCK_Reg45: return true;
case MCK_GR64: return true;
}
case MCK_RBX:
switch (B) {
default: return false;
case MCK_Reg71: return true;
case MCK_Reg69: return true;
case MCK_GR64_ABCD: return true;
case MCK_GR64_NOREX_NOSP: return true;
case MCK_Reg44: return true;
case MCK_GR64_NOREX: return true;
case MCK_GR64PLTSafe: return true;
case MCK_GR64_NOSP: return true;
case MCK_Reg45: return true;
case MCK_GR64: return true;
}
case MCK_RCX:
switch (B) {
default: return false;
case MCK_Reg69: return true;
case MCK_Reg67: return true;
case MCK_Reg41: return true;
case MCK_Reg57: return true;
case MCK_GR64_ABCD: return true;
case MCK_Reg63: return true;
case MCK_Reg62: return true;
case MCK_Reg50: return true;
case MCK_Reg65: return true;
case MCK_Reg51: return true;
case MCK_Reg64: return true;
case MCK_Reg60: return true;
case MCK_Reg55: return true;
case MCK_Reg54: return true;
case MCK_GR64_NOREX_NOSP: return true;
case MCK_Reg61: return true;
case MCK_Reg58: return true;
case MCK_Reg56: return true;
case MCK_Reg44: return true;
case MCK_Reg52: return true;
case MCK_GR64_NOREX: return true;
case MCK_GR64_TCW64: return true;
case MCK_GR64_TC: return true;
case MCK_GR64PLTSafe: return true;
case MCK_GR64_NOSP: return true;
case MCK_Reg45: return true;
case MCK_GR64: return true;
}
case MCK_RDX:
switch (B) {
default: return false;
case MCK_Reg67: return true;
case MCK_GR64_AD: return true;
case MCK_Reg41: return true;
case MCK_Reg57: return true;
case MCK_GR64_ABCD: return true;
case MCK_Reg63: return true;
case MCK_Reg62: return true;
case MCK_Reg50: return true;
case MCK_Reg65: return true;
case MCK_Reg51: return true;
case MCK_Reg64: return true;
case MCK_Reg60: return true;
case MCK_Reg55: return true;
case MCK_Reg54: return true;
case MCK_GR64_NOREX_NOSP: return true;
case MCK_Reg61: return true;
case MCK_Reg58: return true;
case MCK_Reg56: return true;
case MCK_Reg44: return true;
case MCK_Reg52: return true;
case MCK_GR64_NOREX: return true;
case MCK_GR64_TCW64: return true;
case MCK_GR64_TC: return true;
case MCK_GR64PLTSafe: return true;
case MCK_GR64_NOSP: return true;
case MCK_Reg45: return true;
case MCK_GR64: return true;
}
case MCK_SS:
return B == MCK_SEGMENT_REG;
case MCK_ST0:
return B == MCK_RST;
case MCK_XMM0:
switch (B) {
default: return false;
case MCK_FR16: return true;
case MCK_FR16X: return true;
}
case MCK_Reg78:
switch (B) {
default: return false;
case MCK_GR64_NOREX: return true;
case MCK_GR64: return true;
case MCK_LOW32_ADDR_ACCESS_RBP: return true;
}
case MCK_Reg77:
switch (B) {
default: return false;
case MCK_Reg44: return true;
case MCK_GR64_NOREX: return true;
case MCK_Reg45: return true;
case MCK_GR64: return true;
}
case MCK_Reg75:
switch (B) {
default: return false;
case MCK_GR64_NOREX_NOSP: return true;
case MCK_Reg44: return true;
case MCK_GR64_NOREX: return true;
case MCK_GR64PLTSafe: return true;
case MCK_GR64_NOSP: return true;
case MCK_Reg45: return true;
case MCK_GR64: return true;
}
case MCK_Reg73:
switch (B) {
default: return false;
case MCK_Reg50: return true;
case MCK_Reg51: return true;
case MCK_Reg55: return true;
case MCK_Reg54: return true;
case MCK_GR64_NOREX_NOSP: return true;
case MCK_Reg56: return true;
case MCK_Reg44: return true;
case MCK_Reg52: return true;
case MCK_GR64_NOREX: return true;
case MCK_GR64_TC: return true;
case MCK_GR64PLTSafe: return true;
case MCK_GR64_NOSP: return true;
case MCK_Reg45: return true;
case MCK_GR64: return true;
}
case MCK_Reg71:
switch (B) {
default: return false;
case MCK_GR64_NOREX_NOSP: return true;
case MCK_Reg44: return true;
case MCK_GR64_NOREX: return true;
case MCK_GR64PLTSafe: return true;
case MCK_GR64_NOSP: return true;
case MCK_Reg45: return true;
case MCK_GR64: return true;
}
case MCK_Reg69:
switch (B) {
default: return false;
case MCK_GR64_ABCD: return true;
case MCK_GR64_NOREX_NOSP: return true;
case MCK_Reg44: return true;
case MCK_GR64_NOREX: return true;
case MCK_GR64PLTSafe: return true;
case MCK_GR64_NOSP: return true;
case MCK_Reg45: return true;
case MCK_GR64: return true;
}
case MCK_Reg67:
switch (B) {
default: return false;
case MCK_Reg41: return true;
case MCK_Reg57: return true;
case MCK_GR64_ABCD: return true;
case MCK_Reg63: return true;
case MCK_Reg62: return true;
case MCK_Reg50: return true;
case MCK_Reg65: return true;
case MCK_Reg51: return true;
case MCK_Reg64: return true;
case MCK_Reg60: return true;
case MCK_Reg55: return true;
case MCK_Reg54: return true;
case MCK_GR64_NOREX_NOSP: return true;
case MCK_Reg61: return true;
case MCK_Reg58: return true;
case MCK_Reg56: return true;
case MCK_Reg44: return true;
case MCK_Reg52: return true;
case MCK_GR64_NOREX: return true;
case MCK_GR64_TCW64: return true;
case MCK_GR64_TC: return true;
case MCK_GR64PLTSafe: return true;
case MCK_GR64_NOSP: return true;
case MCK_Reg45: return true;
case MCK_GR64: return true;
}
case MCK_GR32_AD:
switch (B) {
default: return false;
case MCK_Reg15: return true;
case MCK_GR32_ABCD: return true;
case MCK_GR32_TC: return true;
case MCK_GR32_NOREX_NOSP: return true;
case MCK_GR32_NOREX: return true;
case MCK_Reg23: return true;
case MCK_GR32_NOSP: return true;
case MCK_GR32: return true;
case MCK_Reg20: return true;
case MCK_LOW32_ADDR_ACCESS: return true;
case MCK_LOW32_ADDR_ACCESS_RBP: return true;
}
case MCK_GR32_BPSP:
switch (B) {
default: return false;
case MCK_GR32_NOREX: return true;
case MCK_Reg23: return true;
case MCK_GR32: return true;
case MCK_Reg20: return true;
case MCK_LOW32_ADDR_ACCESS: return true;
case MCK_LOW32_ADDR_ACCESS_RBP: return true;
}
case MCK_GR32_BSI:
switch (B) {
default: return false;
case MCK_GR32_NOREX_NOSP: return true;
case MCK_GR32_NOREX: return true;
case MCK_Reg23: return true;
case MCK_GR32_NOSP: return true;
case MCK_GR32: return true;
case MCK_Reg20: return true;
case MCK_LOW32_ADDR_ACCESS: return true;
case MCK_LOW32_ADDR_ACCESS_RBP: return true;
}
case MCK_GR32_CB:
switch (B) {
default: return false;
case MCK_GR32_ABCD: return true;
case MCK_GR32_NOREX_NOSP: return true;
case MCK_GR32_NOREX: return true;
case MCK_Reg23: return true;
case MCK_GR32_NOSP: return true;
case MCK_GR32: return true;
case MCK_Reg20: return true;
case MCK_LOW32_ADDR_ACCESS: return true;
case MCK_LOW32_ADDR_ACCESS_RBP: return true;
}
case MCK_GR32_DC:
switch (B) {
default: return false;
case MCK_Reg15: return true;
case MCK_GR32_ABCD: return true;
case MCK_GR32_TC: return true;
case MCK_GR32_NOREX_NOSP: return true;
case MCK_GR32_NOREX: return true;
case MCK_Reg23: return true;
case MCK_GR32_NOSP: return true;
case MCK_GR32: return true;
case MCK_Reg20: return true;
case MCK_LOW32_ADDR_ACCESS: return true;
case MCK_LOW32_ADDR_ACCESS_RBP: return true;
}
case MCK_GR32_DIBP:
switch (B) {
default: return false;
case MCK_GR32_NOREX_NOSP: return true;
case MCK_GR32_NOREX: return true;
case MCK_Reg23: return true;
case MCK_GR32_NOSP: return true;
case MCK_GR32: return true;
case MCK_Reg20: return true;
case MCK_LOW32_ADDR_ACCESS: return true;
case MCK_LOW32_ADDR_ACCESS_RBP: return true;
}
case MCK_GR32_SIDI:
switch (B) {
default: return false;
case MCK_GR32_NOREX_NOSP: return true;
case MCK_GR32_NOREX: return true;
case MCK_Reg23: return true;
case MCK_GR32_NOSP: return true;
case MCK_GR32: return true;
case MCK_Reg20: return true;
case MCK_LOW32_ADDR_ACCESS: return true;
case MCK_LOW32_ADDR_ACCESS_RBP: return true;
}
case MCK_GR64_AD:
switch (B) {
default: return false;
case MCK_Reg41: return true;
case MCK_Reg57: return true;
case MCK_GR64_ABCD: return true;
case MCK_Reg63: return true;
case MCK_Reg62: return true;
case MCK_Reg50: return true;
case MCK_Reg65: return true;
case MCK_Reg51: return true;
case MCK_Reg64: return true;
case MCK_Reg60: return true;
case MCK_Reg55: return true;
case MCK_Reg54: return true;
case MCK_GR64_NOREX_NOSP: return true;
case MCK_Reg61: return true;
case MCK_Reg58: return true;
case MCK_Reg56: return true;
case MCK_Reg44: return true;
case MCK_Reg52: return true;
case MCK_GR64_NOREX: return true;
case MCK_GR64_TCW64: return true;
case MCK_GR64_TC: return true;
case MCK_GR64PLTSafe: return true;
case MCK_GR64_NOSP: return true;
case MCK_Reg45: return true;
case MCK_GR64: return true;
}
case MCK_Reg109:
return B == MCK_VK16PAIR;
case MCK_Reg41:
switch (B) {
default: return false;
case MCK_Reg57: return true;
case MCK_GR64_ABCD: return true;
case MCK_Reg63: return true;
case MCK_Reg62: return true;
case MCK_Reg50: return true;
case MCK_Reg65: return true;
case MCK_Reg51: return true;
case MCK_Reg64: return true;
case MCK_Reg60: return true;
case MCK_Reg55: return true;
case MCK_Reg54: return true;
case MCK_GR64_NOREX_NOSP: return true;
case MCK_Reg61: return true;
case MCK_Reg58: return true;
case MCK_Reg56: return true;
case MCK_Reg44: return true;
case MCK_Reg52: return true;
case MCK_GR64_NOREX: return true;
case MCK_GR64_TCW64: return true;
case MCK_GR64_TC: return true;
case MCK_GR64PLTSafe: return true;
case MCK_GR64_NOSP: return true;
case MCK_Reg45: return true;
case MCK_GR64: return true;
}
case MCK_Reg15:
switch (B) {
default: return false;
case MCK_GR32_ABCD: return true;
case MCK_GR32_TC: return true;
case MCK_GR32_NOREX_NOSP: return true;
case MCK_GR32_NOREX: return true;
case MCK_Reg23: return true;
case MCK_GR32_NOSP: return true;
case MCK_GR32: return true;
case MCK_Reg20: return true;
case MCK_LOW32_ADDR_ACCESS: return true;
case MCK_LOW32_ADDR_ACCESS_RBP: return true;
}
case MCK_Reg57:
switch (B) {
default: return false;
case MCK_Reg62: return true;
case MCK_Reg51: return true;
case MCK_Reg60: return true;
case MCK_Reg54: return true;
case MCK_Reg61: return true;
case MCK_Reg58: return true;
case MCK_Reg44: return true;
case MCK_Reg52: return true;
case MCK_GR64_NOREX: return true;
case MCK_GR64_TCW64: return true;
case MCK_GR64_TC: return true;
case MCK_Reg45: return true;
case MCK_GR64: return true;
}
case MCK_GR16_ABCD:
switch (B) {
default: return false;
case MCK_GR16_NOREX: return true;
case MCK_GR16: return true;
}
case MCK_GR32_ABCD:
switch (B) {
default: return false;
case MCK_GR32_NOREX_NOSP: return true;
case MCK_GR32_NOREX: return true;
case MCK_Reg23: return true;
case MCK_GR32_NOSP: return true;
case MCK_GR32: return true;
case MCK_Reg20: return true;
case MCK_LOW32_ADDR_ACCESS: return true;
case MCK_LOW32_ADDR_ACCESS_RBP: return true;
}
case MCK_GR32_TC:
switch (B) {
default: return false;
case MCK_GR32_NOREX: return true;
case MCK_Reg23: return true;
case MCK_GR32: return true;
case MCK_Reg20: return true;
case MCK_LOW32_ADDR_ACCESS: return true;
case MCK_LOW32_ADDR_ACCESS_RBP: return true;
}
case MCK_GR64_ABCD:
switch (B) {
default: return false;
case MCK_GR64_NOREX_NOSP: return true;
case MCK_Reg44: return true;
case MCK_GR64_NOREX: return true;
case MCK_GR64PLTSafe: return true;
case MCK_GR64_NOSP: return true;
case MCK_Reg45: return true;
case MCK_GR64: return true;
}
case MCK_GR8_ABCD_H:
switch (B) {
default: return false;
case MCK_GR8_NOREX: return true;
case MCK_GR8: return true;
}
case MCK_GR8_ABCD_L:
switch (B) {
default: return false;
case MCK_GR8_NOREX: return true;
case MCK_GR8: return true;
}
case MCK_Reg63:
switch (B) {
default: return false;
case MCK_Reg65: return true;
case MCK_Reg64: return true;
case MCK_Reg60: return true;
case MCK_Reg55: return true;
case MCK_Reg61: return true;
case MCK_Reg58: return true;
case MCK_Reg56: return true;
case MCK_Reg52: return true;
case MCK_GR64_TCW64: return true;
case MCK_GR64_TC: return true;
case MCK_GR64PLTSafe: return true;
case MCK_GR64_NOSP: return true;
case MCK_Reg45: return true;
case MCK_GR64: return true;
}
case MCK_Reg62:
switch (B) {
default: return false;
case MCK_Reg54: return true;
case MCK_Reg61: return true;
case MCK_GR64_NOREX: return true;
case MCK_GR64_TCW64: return true;
case MCK_GR64_TC: return true;
case MCK_GR64: return true;
}
case MCK_Reg50:
switch (B) {
default: return false;
case MCK_Reg51: return true;
case MCK_Reg55: return true;
case MCK_Reg54: return true;
case MCK_GR64_NOREX_NOSP: return true;
case MCK_Reg56: return true;
case MCK_Reg44: return true;
case MCK_Reg52: return true;
case MCK_GR64_NOREX: return true;
case MCK_GR64_TC: return true;
case MCK_GR64PLTSafe: return true;
case MCK_GR64_NOSP: return true;
case MCK_Reg45: return true;
case MCK_GR64: return true;
}
case MCK_Reg65:
switch (B) {
default: return false;
case MCK_Reg64: return true;
case MCK_Reg60: return true;
case MCK_Reg61: return true;
case MCK_Reg58: return true;
case MCK_Reg56: return true;
case MCK_Reg52: return true;
case MCK_GR64_TCW64: return true;
case MCK_GR64_TC: return true;
case MCK_GR64_NOSP: return true;
case MCK_Reg45: return true;
case MCK_GR64: return true;
}
case MCK_Reg51:
switch (B) {
default: return false;
case MCK_Reg54: return true;
case MCK_Reg44: return true;
case MCK_Reg52: return true;
case MCK_GR64_NOREX: return true;
case MCK_GR64_TC: return true;
case MCK_Reg45: return true;
case MCK_GR64: return true;
}
case MCK_Reg64:
switch (B) {
default: return false;
case MCK_Reg58: return true;
case MCK_GR64_TCW64: return true;
case MCK_GR64_NOSP: return true;
case MCK_Reg45: return true;
case MCK_GR64: return true;
}
case MCK_Reg60:
switch (B) {
default: return false;
case MCK_Reg61: return true;
case MCK_Reg58: return true;
case MCK_Reg52: return true;
case MCK_GR64_TCW64: return true;
case MCK_GR64_TC: return true;
case MCK_Reg45: return true;
case MCK_GR64: return true;
}
case MCK_Reg55:
switch (B) {
default: return false;
case MCK_Reg56: return true;
case MCK_Reg52: return true;
case MCK_GR64_TC: return true;
case MCK_GR64PLTSafe: return true;
case MCK_GR64_NOSP: return true;
case MCK_Reg45: return true;
case MCK_GR64: return true;
}
case MCK_Reg54:
switch (B) {
default: return false;
case MCK_GR64_NOREX: return true;
case MCK_GR64_TC: return true;
case MCK_GR64: return true;
}
case MCK_GR32_NOREX_NOSP:
switch (B) {
default: return false;
case MCK_GR32_NOREX: return true;
case MCK_Reg23: return true;
case MCK_GR32_NOSP: return true;
case MCK_GR32: return true;
case MCK_Reg20: return true;
case MCK_LOW32_ADDR_ACCESS: return true;
case MCK_LOW32_ADDR_ACCESS_RBP: return true;
}
case MCK_GR64_NOREX_NOSP:
switch (B) {
default: return false;
case MCK_Reg44: return true;
case MCK_GR64_NOREX: return true;
case MCK_GR64PLTSafe: return true;
case MCK_GR64_NOSP: return true;
case MCK_Reg45: return true;
case MCK_GR64: return true;
}
case MCK_VK16WM:
return B == MCK_VK1;
case MCK_Reg61:
switch (B) {
default: return false;
case MCK_GR64_TCW64: return true;
case MCK_GR64_TC: return true;
case MCK_GR64: return true;
}
case MCK_Reg58:
switch (B) {
default: return false;
case MCK_GR64_TCW64: return true;
case MCK_Reg45: return true;
case MCK_GR64: return true;
}
case MCK_Reg56:
switch (B) {
default: return false;
case MCK_Reg52: return true;
case MCK_GR64_TC: return true;
case MCK_GR64_NOSP: return true;
case MCK_Reg45: return true;
case MCK_GR64: return true;
}
case MCK_Reg44:
switch (B) {
default: return false;
case MCK_GR64_NOREX: return true;
case MCK_Reg45: return true;
case MCK_GR64: return true;
}
case MCK_GR16_NOREX:
return B == MCK_GR16;
case MCK_GR32_NOREX:
switch (B) {
default: return false;
case MCK_Reg23: return true;
case MCK_GR32: return true;
case MCK_Reg20: return true;
case MCK_LOW32_ADDR_ACCESS: return true;
case MCK_LOW32_ADDR_ACCESS_RBP: return true;
}
case MCK_GR8_NOREX:
return B == MCK_GR8;
case MCK_Reg52:
switch (B) {
default: return false;
case MCK_GR64_TC: return true;
case MCK_Reg45: return true;
case MCK_GR64: return true;
}
case MCK_Reg23:
switch (B) {
default: return false;
case MCK_Reg20: return true;
case MCK_LOW32_ADDR_ACCESS_RBP: return true;
}
case MCK_GR64_NOREX:
return B == MCK_GR64;
case MCK_GR64_TCW64:
return B == MCK_GR64;
case MCK_GR64_TC:
return B == MCK_GR64;
case MCK_GR64PLTSafe:
switch (B) {
default: return false;
case MCK_GR64_NOSP: return true;
case MCK_Reg45: return true;
case MCK_GR64: return true;
}
case MCK_GR32_NOSP:
switch (B) {
default: return false;
case MCK_GR32: return true;
case MCK_Reg20: return true;
case MCK_LOW32_ADDR_ACCESS: return true;
case MCK_LOW32_ADDR_ACCESS_RBP: return true;
}
case MCK_GR64_NOSP:
switch (B) {
default: return false;
case MCK_Reg45: return true;
case MCK_GR64: return true;
}
case MCK_Reg45:
return B == MCK_GR64;
case MCK_FR16:
return B == MCK_FR16X;
case MCK_GR32:
switch (B) {
default: return false;
case MCK_Reg20: return true;
case MCK_LOW32_ADDR_ACCESS: return true;
case MCK_LOW32_ADDR_ACCESS_RBP: return true;
}
case MCK_VR256:
return B == MCK_VR256X;
case MCK_VR512_0_15:
return B == MCK_VR512;
case MCK_Reg20:
return B == MCK_LOW32_ADDR_ACCESS_RBP;
case MCK_LOW32_ADDR_ACCESS:
return B == MCK_LOW32_ADDR_ACCESS_RBP;
case MCK_ImmSExti64i8:
switch (B) {
default: return false;
case MCK_ImmSExti16i8: return true;
case MCK_ImmSExti32i8: return true;
case MCK_ImmSExti64i32: return true;
case MCK_Imm: return true;
}
case MCK_ImmSExti16i8:
switch (B) {
default: return false;
case MCK_ImmSExti64i32: return true;
case MCK_Imm: return true;
}
case MCK_ImmSExti32i8:
return B == MCK_Imm;
case MCK_ImmSExti64i32:
return B == MCK_Imm;
case MCK_AbsMem16:
switch (B) {
default: return false;
case MCK_AbsMem: return true;
case MCK_Mem: return true;
}
case MCK_DstIdx16:
switch (B) {
default: return false;
case MCK_Mem16: return true;
case MCK_Mem: return true;
}
case MCK_DstIdx32:
switch (B) {
default: return false;
case MCK_Mem32: return true;
case MCK_Mem: return true;
}
case MCK_DstIdx64:
switch (B) {
default: return false;
case MCK_Mem64: return true;
case MCK_Mem: return true;
}
case MCK_DstIdx8:
switch (B) {
default: return false;
case MCK_Mem8: return true;
case MCK_Mem: return true;
}
case MCK_MemOffs16_16:
switch (B) {
default: return false;
case MCK_Mem16: return true;
case MCK_Mem: return true;
}
case MCK_MemOffs16_32:
switch (B) {
default: return false;
case MCK_Mem32: return true;
case MCK_Mem: return true;
}
case MCK_MemOffs16_8:
switch (B) {
default: return false;
case MCK_Mem8: return true;
case MCK_Mem: return true;
}
case MCK_MemOffs32_16:
switch (B) {
default: return false;
case MCK_Mem16: return true;
case MCK_Mem: return true;
}
case MCK_MemOffs32_32:
switch (B) {
default: return false;
case MCK_Mem32: return true;
case MCK_Mem: return true;
}
case MCK_MemOffs32_64:
switch (B) {
default: return false;
case MCK_Mem64: return true;
case MCK_Mem: return true;
}
case MCK_MemOffs32_8:
switch (B) {
default: return false;
case MCK_Mem8: return true;
case MCK_Mem: return true;
}
case MCK_MemOffs64_16:
switch (B) {
default: return false;
case MCK_Mem16: return true;
case MCK_Mem: return true;
}
case MCK_MemOffs64_32:
switch (B) {
default: return false;
case MCK_Mem32: return true;
case MCK_Mem: return true;
}
case MCK_MemOffs64_64:
switch (B) {
default: return false;
case MCK_Mem64: return true;
case MCK_Mem: return true;
}
case MCK_MemOffs64_8:
switch (B) {
default: return false;
case MCK_Mem8: return true;
case MCK_Mem: return true;
}
case MCK_SrcIdx16:
switch (B) {
default: return false;
case MCK_Mem16: return true;
case MCK_Mem: return true;
}
case MCK_SrcIdx32:
switch (B) {
default: return false;
case MCK_Mem32: return true;
case MCK_Mem: return true;
}
case MCK_SrcIdx64:
switch (B) {
default: return false;
case MCK_Mem64: return true;
case MCK_Mem: return true;
}
case MCK_SrcIdx8:
switch (B) {
default: return false;
case MCK_Mem8: return true;
case MCK_Mem: return true;
}
case MCK_AbsMem:
return B == MCK_Mem;
case MCK_Mem128:
return B == MCK_Mem;
case MCK_Mem128_RC128:
return B == MCK_Mem;
case MCK_Mem128_RC128X:
return B == MCK_Mem;
case MCK_Mem128_RC256:
return B == MCK_Mem;
case MCK_Mem128_RC256X:
return B == MCK_Mem;
case MCK_Mem16:
return B == MCK_Mem;
case MCK_Mem256:
return B == MCK_Mem;
case MCK_Mem256_RC128:
return B == MCK_Mem;
case MCK_Mem256_RC128X:
return B == MCK_Mem;
case MCK_Mem256_RC256:
return B == MCK_Mem;
case MCK_Mem256_RC256X:
return B == MCK_Mem;
case MCK_Mem256_RC512:
return B == MCK_Mem;
case MCK_Mem32:
return B == MCK_Mem;
case MCK_Mem512:
return B == MCK_Mem;
case MCK_Mem512_RC256X:
return B == MCK_Mem;
case MCK_Mem512_RC512:
return B == MCK_Mem;
case MCK_Mem64:
return B == MCK_Mem;
case MCK_Mem64_RC128:
return B == MCK_Mem;
case MCK_Mem64_RC128X:
return B == MCK_Mem;
case MCK_Mem80:
return B == MCK_Mem;
case MCK_Mem8:
return B == MCK_Mem;
case MCK_SibMem:
return B == MCK_Mem;
}
}
static unsigned validateOperandClass(MCParsedAsmOperand &GOp, MatchClassKind Kind) {
X86Operand &Operand = (X86Operand &)GOp;
if (Kind == InvalidMatchClass)
return MCTargetAsmParser::Match_InvalidOperand;
if (Operand.isToken() && Kind <= MCK_LAST_TOKEN)
return isSubclass(matchTokenString(Operand.getToken()), Kind) ?
MCTargetAsmParser::Match_Success :
MCTargetAsmParser::Match_InvalidOperand;
switch (Kind) {
default: break;
// 'AVX512RC' class
case MCK_AVX512RC: {
DiagnosticPredicate DP(Operand.isAVX512RC());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'ImmSExti64i8' class
case MCK_ImmSExti64i8: {
DiagnosticPredicate DP(Operand.isImmSExti64i8());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'ImmSExti16i8' class
case MCK_ImmSExti16i8: {
DiagnosticPredicate DP(Operand.isImmSExti16i8());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'ImmSExti32i8' class
case MCK_ImmSExti32i8: {
DiagnosticPredicate DP(Operand.isImmSExti32i8());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'ImmSExti64i32' class
case MCK_ImmSExti64i32: {
DiagnosticPredicate DP(Operand.isImmSExti64i32());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'Imm' class
case MCK_Imm: {
DiagnosticPredicate DP(Operand.isImm());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'ImmUnsignedi4' class
case MCK_ImmUnsignedi4: {
DiagnosticPredicate DP(Operand.isImmUnsignedi4());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return X86AsmParser::Match_InvalidImmUnsignedi4;
break;
}
// 'ImmUnsignedi8' class
case MCK_ImmUnsignedi8: {
DiagnosticPredicate DP(Operand.isImmUnsignedi8());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'VK16Pair' class
case MCK_VK16Pair: {
DiagnosticPredicate DP(Operand.isVK16Pair());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'VK1Pair' class
case MCK_VK1Pair: {
DiagnosticPredicate DP(Operand.isVK1Pair());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'VK2Pair' class
case MCK_VK2Pair: {
DiagnosticPredicate DP(Operand.isVK2Pair());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'VK4Pair' class
case MCK_VK4Pair: {
DiagnosticPredicate DP(Operand.isVK4Pair());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'VK8Pair' class
case MCK_VK8Pair: {
DiagnosticPredicate DP(Operand.isVK8Pair());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'GR16orGR32orGR64' class
case MCK_GR16orGR32orGR64: {
DiagnosticPredicate DP(Operand.isGR16orGR32orGR64());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'GR32orGR64' class
case MCK_GR32orGR64: {
DiagnosticPredicate DP(Operand.isGR32orGR64());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'AbsMem16' class
case MCK_AbsMem16: {
DiagnosticPredicate DP(Operand.isAbsMem16());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'DstIdx16' class
case MCK_DstIdx16: {
DiagnosticPredicate DP(Operand.isDstIdx16());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'DstIdx32' class
case MCK_DstIdx32: {
DiagnosticPredicate DP(Operand.isDstIdx32());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'DstIdx64' class
case MCK_DstIdx64: {
DiagnosticPredicate DP(Operand.isDstIdx64());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'DstIdx8' class
case MCK_DstIdx8: {
DiagnosticPredicate DP(Operand.isDstIdx8());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'MemOffs16_16' class
case MCK_MemOffs16_16: {
DiagnosticPredicate DP(Operand.isMemOffs16_16());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'MemOffs16_32' class
case MCK_MemOffs16_32: {
DiagnosticPredicate DP(Operand.isMemOffs16_32());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'MemOffs16_8' class
case MCK_MemOffs16_8: {
DiagnosticPredicate DP(Operand.isMemOffs16_8());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'MemOffs32_16' class
case MCK_MemOffs32_16: {
DiagnosticPredicate DP(Operand.isMemOffs32_16());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'MemOffs32_32' class
case MCK_MemOffs32_32: {
DiagnosticPredicate DP(Operand.isMemOffs32_32());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'MemOffs32_64' class
case MCK_MemOffs32_64: {
DiagnosticPredicate DP(Operand.isMemOffs32_64());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'MemOffs32_8' class
case MCK_MemOffs32_8: {
DiagnosticPredicate DP(Operand.isMemOffs32_8());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'MemOffs64_16' class
case MCK_MemOffs64_16: {
DiagnosticPredicate DP(Operand.isMemOffs64_16());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'MemOffs64_32' class
case MCK_MemOffs64_32: {
DiagnosticPredicate DP(Operand.isMemOffs64_32());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'MemOffs64_64' class
case MCK_MemOffs64_64: {
DiagnosticPredicate DP(Operand.isMemOffs64_64());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'MemOffs64_8' class
case MCK_MemOffs64_8: {
DiagnosticPredicate DP(Operand.isMemOffs64_8());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'SrcIdx16' class
case MCK_SrcIdx16: {
DiagnosticPredicate DP(Operand.isSrcIdx16());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'SrcIdx32' class
case MCK_SrcIdx32: {
DiagnosticPredicate DP(Operand.isSrcIdx32());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'SrcIdx64' class
case MCK_SrcIdx64: {
DiagnosticPredicate DP(Operand.isSrcIdx64());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'SrcIdx8' class
case MCK_SrcIdx8: {
DiagnosticPredicate DP(Operand.isSrcIdx8());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'AbsMem' class
case MCK_AbsMem: {
DiagnosticPredicate DP(Operand.isAbsMem());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'Mem128' class
case MCK_Mem128: {
DiagnosticPredicate DP(Operand.isMem128());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'Mem128_RC128' class
case MCK_Mem128_RC128: {
DiagnosticPredicate DP(Operand.isMem128_RC128());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'Mem128_RC128X' class
case MCK_Mem128_RC128X: {
DiagnosticPredicate DP(Operand.isMem128_RC128X());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'Mem128_RC256' class
case MCK_Mem128_RC256: {
DiagnosticPredicate DP(Operand.isMem128_RC256());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'Mem128_RC256X' class
case MCK_Mem128_RC256X: {
DiagnosticPredicate DP(Operand.isMem128_RC256X());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'Mem16' class
case MCK_Mem16: {
DiagnosticPredicate DP(Operand.isMem16());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'Mem256' class
case MCK_Mem256: {
DiagnosticPredicate DP(Operand.isMem256());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'Mem256_RC128' class
case MCK_Mem256_RC128: {
DiagnosticPredicate DP(Operand.isMem256_RC128());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'Mem256_RC128X' class
case MCK_Mem256_RC128X: {
DiagnosticPredicate DP(Operand.isMem256_RC128X());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'Mem256_RC256' class
case MCK_Mem256_RC256: {
DiagnosticPredicate DP(Operand.isMem256_RC256());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'Mem256_RC256X' class
case MCK_Mem256_RC256X: {
DiagnosticPredicate DP(Operand.isMem256_RC256X());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'Mem256_RC512' class
case MCK_Mem256_RC512: {
DiagnosticPredicate DP(Operand.isMem256_RC512());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'Mem32' class
case MCK_Mem32: {
DiagnosticPredicate DP(Operand.isMem32());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'Mem512' class
case MCK_Mem512: {
DiagnosticPredicate DP(Operand.isMem512());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'Mem512_RC256X' class
case MCK_Mem512_RC256X: {
DiagnosticPredicate DP(Operand.isMem512_RC256X());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'Mem512_RC512' class
case MCK_Mem512_RC512: {
DiagnosticPredicate DP(Operand.isMem512_RC512());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'Mem64' class
case MCK_Mem64: {
DiagnosticPredicate DP(Operand.isMem64());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'Mem64_RC128' class
case MCK_Mem64_RC128: {
DiagnosticPredicate DP(Operand.isMem64_RC128());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'Mem64_RC128X' class
case MCK_Mem64_RC128X: {
DiagnosticPredicate DP(Operand.isMem64_RC128X());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'Mem80' class
case MCK_Mem80: {
DiagnosticPredicate DP(Operand.isMem80());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'Mem8' class
case MCK_Mem8: {
DiagnosticPredicate DP(Operand.isMem8());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'SibMem' class
case MCK_SibMem: {
DiagnosticPredicate DP(Operand.isSibMem());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'Mem' class
case MCK_Mem: {
DiagnosticPredicate DP(Operand.isMem());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
} // end switch (Kind)
if (Operand.isReg()) {
MatchClassKind OpKind;
switch (Operand.getReg()) {
default: OpKind = InvalidMatchClass; break;
case X86::AL: OpKind = MCK_AL; break;
case X86::DL: OpKind = MCK_GR8_ABCD_L; break;
case X86::CL: OpKind = MCK_CL; break;
case X86::BL: OpKind = MCK_GR8_ABCD_L; break;
case X86::AH: OpKind = MCK_GR8_ABCD_H; break;
case X86::DH: OpKind = MCK_GR8_ABCD_H; break;
case X86::CH: OpKind = MCK_GR8_ABCD_H; break;
case X86::BH: OpKind = MCK_GR8_ABCD_H; break;
case X86::SIL: OpKind = MCK_GR8; break;
case X86::DIL: OpKind = MCK_GR8; break;
case X86::BPL: OpKind = MCK_GR8; break;
case X86::SPL: OpKind = MCK_GR8; break;
case X86::R8B: OpKind = MCK_GR8; break;
case X86::R9B: OpKind = MCK_GR8; break;
case X86::R10B: OpKind = MCK_GR8; break;
case X86::R11B: OpKind = MCK_GR8; break;
case X86::R12B: OpKind = MCK_GR8; break;
case X86::R13B: OpKind = MCK_GR8; break;
case X86::R14B: OpKind = MCK_GR8; break;
case X86::R15B: OpKind = MCK_GR8; break;
case X86::SIH: OpKind = MCK_GRH8; break;
case X86::DIH: OpKind = MCK_GRH8; break;
case X86::BPH: OpKind = MCK_GRH8; break;
case X86::SPH: OpKind = MCK_GRH8; break;
case X86::R8BH: OpKind = MCK_GRH8; break;
case X86::R9BH: OpKind = MCK_GRH8; break;
case X86::R10BH: OpKind = MCK_GRH8; break;
case X86::R11BH: OpKind = MCK_GRH8; break;
case X86::R12BH: OpKind = MCK_GRH8; break;
case X86::R13BH: OpKind = MCK_GRH8; break;
case X86::R14BH: OpKind = MCK_GRH8; break;
case X86::R15BH: OpKind = MCK_GRH8; break;
case X86::HAX: OpKind = MCK_GRH16; break;
case X86::HDX: OpKind = MCK_GRH16; break;
case X86::HCX: OpKind = MCK_GRH16; break;
case X86::HBX: OpKind = MCK_GRH16; break;
case X86::HSI: OpKind = MCK_GRH16; break;
case X86::HDI: OpKind = MCK_GRH16; break;
case X86::HBP: OpKind = MCK_GRH16; break;
case X86::HSP: OpKind = MCK_GRH16; break;
case X86::HIP: OpKind = MCK_GRH16; break;
case X86::R8WH: OpKind = MCK_GRH16; break;
case X86::R9WH: OpKind = MCK_GRH16; break;
case X86::R10WH: OpKind = MCK_GRH16; break;
case X86::R11WH: OpKind = MCK_GRH16; break;
case X86::R12WH: OpKind = MCK_GRH16; break;
case X86::R13WH: OpKind = MCK_GRH16; break;
case X86::R14WH: OpKind = MCK_GRH16; break;
case X86::R15WH: OpKind = MCK_GRH16; break;
case X86::AX: OpKind = MCK_AX; break;
case X86::DX: OpKind = MCK_DX; break;
case X86::CX: OpKind = MCK_GR16_ABCD; break;
case X86::BX: OpKind = MCK_GR16_ABCD; break;
case X86::SI: OpKind = MCK_GR16_NOREX; break;
case X86::DI: OpKind = MCK_GR16_NOREX; break;
case X86::BP: OpKind = MCK_GR16_NOREX; break;
case X86::SP: OpKind = MCK_GR16_NOREX; break;
case X86::R8W: OpKind = MCK_GR16; break;
case X86::R9W: OpKind = MCK_GR16; break;
case X86::R10W: OpKind = MCK_GR16; break;
case X86::R11W: OpKind = MCK_GR16; break;
case X86::R12W: OpKind = MCK_GR16; break;
case X86::R13W: OpKind = MCK_GR16; break;
case X86::R14W: OpKind = MCK_GR16; break;
case X86::R15W: OpKind = MCK_GR16; break;
case X86::EAX: OpKind = MCK_EAX; break;
case X86::EDX: OpKind = MCK_EDX; break;
case X86::ECX: OpKind = MCK_ECX; break;
case X86::EBX: OpKind = MCK_EBX; break;
case X86::ESI: OpKind = MCK_Reg32; break;
case X86::EDI: OpKind = MCK_Reg34; break;
case X86::EBP: OpKind = MCK_Reg36; break;
case X86::ESP: OpKind = MCK_Reg38; break;
case X86::R8D: OpKind = MCK_GR32_NOSP; break;
case X86::R9D: OpKind = MCK_GR32_NOSP; break;
case X86::R10D: OpKind = MCK_GR32_NOSP; break;
case X86::R11D: OpKind = MCK_GR32_NOSP; break;
case X86::R12D: OpKind = MCK_GR32_NOSP; break;
case X86::R13D: OpKind = MCK_GR32_NOSP; break;
case X86::R14D: OpKind = MCK_GR32_NOSP; break;
case X86::R15D: OpKind = MCK_GR32_NOSP; break;
case X86::RAX: OpKind = MCK_RAX; break;
case X86::RDX: OpKind = MCK_RDX; break;
case X86::RCX: OpKind = MCK_RCX; break;
case X86::RBX: OpKind = MCK_RBX; break;
case X86::RSI: OpKind = MCK_Reg72; break;
case X86::RDI: OpKind = MCK_Reg74; break;
case X86::RBP: OpKind = MCK_Reg76; break;
case X86::RSP: OpKind = MCK_Reg79; break;
case X86::R8: OpKind = MCK_Reg63; break;
case X86::R9: OpKind = MCK_Reg63; break;
case X86::R10: OpKind = MCK_Reg64; break;
case X86::R11: OpKind = MCK_Reg65; break;
case X86::R12: OpKind = MCK_GR64PLTSafe; break;
case X86::R13: OpKind = MCK_GR64PLTSafe; break;
case X86::R14: OpKind = MCK_GR64PLTSafe; break;
case X86::R15: OpKind = MCK_GR64PLTSafe; break;
case X86::RIP: OpKind = MCK_Reg80; break;
case X86::MM0: OpKind = MCK_VR64; break;
case X86::MM1: OpKind = MCK_VR64; break;
case X86::MM2: OpKind = MCK_VR64; break;
case X86::MM3: OpKind = MCK_VR64; break;
case X86::MM4: OpKind = MCK_VR64; break;
case X86::MM5: OpKind = MCK_VR64; break;
case X86::MM6: OpKind = MCK_VR64; break;
case X86::MM7: OpKind = MCK_VR64; break;
case X86::FP0: OpKind = MCK_RFP32; break;
case X86::FP1: OpKind = MCK_RFP32; break;
case X86::FP2: OpKind = MCK_RFP32; break;
case X86::FP3: OpKind = MCK_RFP32; break;
case X86::FP4: OpKind = MCK_RFP32; break;
case X86::FP5: OpKind = MCK_RFP32; break;
case X86::FP6: OpKind = MCK_RFP32; break;
case X86::FP7: OpKind = MCK_RFP80_7; break;
case X86::XMM0: OpKind = MCK_XMM0; break;
case X86::XMM1: OpKind = MCK_FR16; break;
case X86::XMM2: OpKind = MCK_FR16; break;
case X86::XMM3: OpKind = MCK_FR16; break;
case X86::XMM4: OpKind = MCK_FR16; break;
case X86::XMM5: OpKind = MCK_FR16; break;
case X86::XMM6: OpKind = MCK_FR16; break;
case X86::XMM7: OpKind = MCK_FR16; break;
case X86::XMM8: OpKind = MCK_FR16; break;
case X86::XMM9: OpKind = MCK_FR16; break;
case X86::XMM10: OpKind = MCK_FR16; break;
case X86::XMM11: OpKind = MCK_FR16; break;
case X86::XMM12: OpKind = MCK_FR16; break;
case X86::XMM13: OpKind = MCK_FR16; break;
case X86::XMM14: OpKind = MCK_FR16; break;
case X86::XMM15: OpKind = MCK_FR16; break;
case X86::XMM16: OpKind = MCK_FR16X; break;
case X86::XMM17: OpKind = MCK_FR16X; break;
case X86::XMM18: OpKind = MCK_FR16X; break;
case X86::XMM19: OpKind = MCK_FR16X; break;
case X86::XMM20: OpKind = MCK_FR16X; break;
case X86::XMM21: OpKind = MCK_FR16X; break;
case X86::XMM22: OpKind = MCK_FR16X; break;
case X86::XMM23: OpKind = MCK_FR16X; break;
case X86::XMM24: OpKind = MCK_FR16X; break;
case X86::XMM25: OpKind = MCK_FR16X; break;
case X86::XMM26: OpKind = MCK_FR16X; break;
case X86::XMM27: OpKind = MCK_FR16X; break;
case X86::XMM28: OpKind = MCK_FR16X; break;
case X86::XMM29: OpKind = MCK_FR16X; break;
case X86::XMM30: OpKind = MCK_FR16X; break;
case X86::XMM31: OpKind = MCK_FR16X; break;
case X86::YMM0: OpKind = MCK_VR256; break;
case X86::YMM1: OpKind = MCK_VR256; break;
case X86::YMM2: OpKind = MCK_VR256; break;
case X86::YMM3: OpKind = MCK_VR256; break;
case X86::YMM4: OpKind = MCK_VR256; break;
case X86::YMM5: OpKind = MCK_VR256; break;
case X86::YMM6: OpKind = MCK_VR256; break;
case X86::YMM7: OpKind = MCK_VR256; break;
case X86::YMM8: OpKind = MCK_VR256; break;
case X86::YMM9: OpKind = MCK_VR256; break;
case X86::YMM10: OpKind = MCK_VR256; break;
case X86::YMM11: OpKind = MCK_VR256; break;
case X86::YMM12: OpKind = MCK_VR256; break;
case X86::YMM13: OpKind = MCK_VR256; break;
case X86::YMM14: OpKind = MCK_VR256; break;
case X86::YMM15: OpKind = MCK_VR256; break;
case X86::YMM16: OpKind = MCK_VR256X; break;
case X86::YMM17: OpKind = MCK_VR256X; break;
case X86::YMM18: OpKind = MCK_VR256X; break;
case X86::YMM19: OpKind = MCK_VR256X; break;
case X86::YMM20: OpKind = MCK_VR256X; break;
case X86::YMM21: OpKind = MCK_VR256X; break;
case X86::YMM22: OpKind = MCK_VR256X; break;
case X86::YMM23: OpKind = MCK_VR256X; break;
case X86::YMM24: OpKind = MCK_VR256X; break;
case X86::YMM25: OpKind = MCK_VR256X; break;
case X86::YMM26: OpKind = MCK_VR256X; break;
case X86::YMM27: OpKind = MCK_VR256X; break;
case X86::YMM28: OpKind = MCK_VR256X; break;
case X86::YMM29: OpKind = MCK_VR256X; break;
case X86::YMM30: OpKind = MCK_VR256X; break;
case X86::YMM31: OpKind = MCK_VR256X; break;
case X86::ZMM0: OpKind = MCK_VR512_0_15; break;
case X86::ZMM1: OpKind = MCK_VR512_0_15; break;
case X86::ZMM2: OpKind = MCK_VR512_0_15; break;
case X86::ZMM3: OpKind = MCK_VR512_0_15; break;
case X86::ZMM4: OpKind = MCK_VR512_0_15; break;
case X86::ZMM5: OpKind = MCK_VR512_0_15; break;
case X86::ZMM6: OpKind = MCK_VR512_0_15; break;
case X86::ZMM7: OpKind = MCK_VR512_0_15; break;
case X86::ZMM8: OpKind = MCK_VR512_0_15; break;
case X86::ZMM9: OpKind = MCK_VR512_0_15; break;
case X86::ZMM10: OpKind = MCK_VR512_0_15; break;
case X86::ZMM11: OpKind = MCK_VR512_0_15; break;
case X86::ZMM12: OpKind = MCK_VR512_0_15; break;
case X86::ZMM13: OpKind = MCK_VR512_0_15; break;
case X86::ZMM14: OpKind = MCK_VR512_0_15; break;
case X86::ZMM15: OpKind = MCK_VR512_0_15; break;
case X86::ZMM16: OpKind = MCK_VR512; break;
case X86::ZMM17: OpKind = MCK_VR512; break;
case X86::ZMM18: OpKind = MCK_VR512; break;
case X86::ZMM19: OpKind = MCK_VR512; break;
case X86::ZMM20: OpKind = MCK_VR512; break;
case X86::ZMM21: OpKind = MCK_VR512; break;
case X86::ZMM22: OpKind = MCK_VR512; break;
case X86::ZMM23: OpKind = MCK_VR512; break;
case X86::ZMM24: OpKind = MCK_VR512; break;
case X86::ZMM25: OpKind = MCK_VR512; break;
case X86::ZMM26: OpKind = MCK_VR512; break;
case X86::ZMM27: OpKind = MCK_VR512; break;
case X86::ZMM28: OpKind = MCK_VR512; break;
case X86::ZMM29: OpKind = MCK_VR512; break;
case X86::ZMM30: OpKind = MCK_VR512; break;
case X86::ZMM31: OpKind = MCK_VR512; break;
case X86::TMM0: OpKind = MCK_TILE; break;
case X86::TMM1: OpKind = MCK_TILE; break;
case X86::TMM2: OpKind = MCK_TILE; break;
case X86::TMM3: OpKind = MCK_TILE; break;
case X86::TMM4: OpKind = MCK_TILE; break;
case X86::TMM5: OpKind = MCK_TILE; break;
case X86::TMM6: OpKind = MCK_TILE; break;
case X86::TMM7: OpKind = MCK_TILE; break;
case X86::K0: OpKind = MCK_VK1; break;
case X86::K1: OpKind = MCK_VK16WM; break;
case X86::K2: OpKind = MCK_VK16WM; break;
case X86::K3: OpKind = MCK_VK16WM; break;
case X86::K4: OpKind = MCK_VK16WM; break;
case X86::K5: OpKind = MCK_VK16WM; break;
case X86::K6: OpKind = MCK_VK16WM; break;
case X86::K7: OpKind = MCK_VK16WM; break;
case X86::ST0: OpKind = MCK_ST0; break;
case X86::ST1: OpKind = MCK_RST; break;
case X86::ST2: OpKind = MCK_RST; break;
case X86::ST3: OpKind = MCK_RST; break;
case X86::ST4: OpKind = MCK_RST; break;
case X86::ST5: OpKind = MCK_RST; break;
case X86::ST6: OpKind = MCK_RST; break;
case X86::ST7: OpKind = MCK_RST; break;
case X86::FPSW: OpKind = MCK_FPCCR; break;
case X86::EFLAGS: OpKind = MCK_CCR; break;
case X86::DF: OpKind = MCK_DFCCR; break;
case X86::CS: OpKind = MCK_CS; break;
case X86::DS: OpKind = MCK_DS; break;
case X86::SS: OpKind = MCK_SS; break;
case X86::ES: OpKind = MCK_ES; break;
case X86::FS: OpKind = MCK_FS; break;
case X86::GS: OpKind = MCK_GS; break;
case X86::DR0: OpKind = MCK_DEBUG_REG; break;
case X86::DR1: OpKind = MCK_DEBUG_REG; break;
case X86::DR2: OpKind = MCK_DEBUG_REG; break;
case X86::DR3: OpKind = MCK_DEBUG_REG; break;
case X86::DR4: OpKind = MCK_DEBUG_REG; break;
case X86::DR5: OpKind = MCK_DEBUG_REG; break;
case X86::DR6: OpKind = MCK_DEBUG_REG; break;
case X86::DR7: OpKind = MCK_DEBUG_REG; break;
case X86::DR8: OpKind = MCK_DEBUG_REG; break;
case X86::DR9: OpKind = MCK_DEBUG_REG; break;
case X86::DR10: OpKind = MCK_DEBUG_REG; break;
case X86::DR11: OpKind = MCK_DEBUG_REG; break;
case X86::DR12: OpKind = MCK_DEBUG_REG; break;
case X86::DR13: OpKind = MCK_DEBUG_REG; break;
case X86::DR14: OpKind = MCK_DEBUG_REG; break;
case X86::DR15: OpKind = MCK_DEBUG_REG; break;
case X86::CR0: OpKind = MCK_CONTROL_REG; break;
case X86::CR1: OpKind = MCK_CONTROL_REG; break;
case X86::CR2: OpKind = MCK_CONTROL_REG; break;
case X86::CR3: OpKind = MCK_CONTROL_REG; break;
case X86::CR4: OpKind = MCK_CONTROL_REG; break;
case X86::CR5: OpKind = MCK_CONTROL_REG; break;
case X86::CR6: OpKind = MCK_CONTROL_REG; break;
case X86::CR7: OpKind = MCK_CONTROL_REG; break;
case X86::CR8: OpKind = MCK_CONTROL_REG; break;
case X86::CR9: OpKind = MCK_CONTROL_REG; break;
case X86::CR10: OpKind = MCK_CONTROL_REG; break;
case X86::CR11: OpKind = MCK_CONTROL_REG; break;
case X86::CR12: OpKind = MCK_CONTROL_REG; break;
case X86::CR13: OpKind = MCK_CONTROL_REG; break;
case X86::CR14: OpKind = MCK_CONTROL_REG; break;
case X86::CR15: OpKind = MCK_CONTROL_REG; break;
case X86::K0_K1: OpKind = MCK_VK16PAIR; break;
case X86::K2_K3: OpKind = MCK_Reg109; break;
case X86::K4_K5: OpKind = MCK_Reg109; break;
case X86::K6_K7: OpKind = MCK_Reg109; break;
}
return isSubclass(OpKind, Kind) ? (unsigned)MCTargetAsmParser::Match_Success :
getDiagKindFromRegisterClass(Kind);
}
if (Kind > MCK_LAST_TOKEN && Kind <= MCK_LAST_REGISTER)
return getDiagKindFromRegisterClass(Kind);
return MCTargetAsmParser::Match_InvalidOperand;
}
#ifndef NDEBUG
const char *getMatchClassName(MatchClassKind Kind) {
switch (Kind) {
case InvalidMatchClass: return "InvalidMatchClass";
case OptionalMatchClass: return "OptionalMatchClass";
case MCK__STAR_: return "MCK__STAR_";
case MCK__123_: return "MCK__123_";
case MCK__123_1to16_125_: return "MCK__123_1to16_125_";
case MCK__123_1to2_125_: return "MCK__123_1to2_125_";
case MCK__123_1to32_125_: return "MCK__123_1to32_125_";
case MCK__123_1to4_125_: return "MCK__123_1to4_125_";
case MCK__123_1to8_125_: return "MCK__123_1to8_125_";
case MCK__123_sae_125_: return "MCK__123_sae_125_";
case MCK__123_z_125_: return "MCK__123_z_125_";
case MCK__125_: return "MCK__125_";
case MCK_Reg80: return "MCK_Reg80";
case MCK_Reg79: return "MCK_Reg79";
case MCK_Reg76: return "MCK_Reg76";
case MCK_Reg74: return "MCK_Reg74";
case MCK_Reg72: return "MCK_Reg72";
case MCK_Reg38: return "MCK_Reg38";
case MCK_Reg36: return "MCK_Reg36";
case MCK_Reg34: return "MCK_Reg34";
case MCK_Reg32: return "MCK_Reg32";
case MCK_AL: return "MCK_AL";
case MCK_AX: return "MCK_AX";
case MCK_CCR: return "MCK_CCR";
case MCK_CL: return "MCK_CL";
case MCK_CS: return "MCK_CS";
case MCK_DFCCR: return "MCK_DFCCR";
case MCK_DS: return "MCK_DS";
case MCK_DX: return "MCK_DX";
case MCK_EAX: return "MCK_EAX";
case MCK_EBX: return "MCK_EBX";
case MCK_ECX: return "MCK_ECX";
case MCK_EDX: return "MCK_EDX";
case MCK_ES: return "MCK_ES";
case MCK_FPCCR: return "MCK_FPCCR";
case MCK_FS: return "MCK_FS";
case MCK_GS: return "MCK_GS";
case MCK_RAX: return "MCK_RAX";
case MCK_RBX: return "MCK_RBX";
case MCK_RCX: return "MCK_RCX";
case MCK_RDX: return "MCK_RDX";
case MCK_RFP80_7: return "MCK_RFP80_7";
case MCK_SS: return "MCK_SS";
case MCK_ST0: return "MCK_ST0";
case MCK_XMM0: return "MCK_XMM0";
case MCK_Reg78: return "MCK_Reg78";
case MCK_Reg77: return "MCK_Reg77";
case MCK_Reg75: return "MCK_Reg75";
case MCK_Reg73: return "MCK_Reg73";
case MCK_Reg71: return "MCK_Reg71";
case MCK_Reg69: return "MCK_Reg69";
case MCK_Reg67: return "MCK_Reg67";
case MCK_GR32_AD: return "MCK_GR32_AD";
case MCK_GR32_BPSP: return "MCK_GR32_BPSP";
case MCK_GR32_BSI: return "MCK_GR32_BSI";
case MCK_GR32_CB: return "MCK_GR32_CB";
case MCK_GR32_DC: return "MCK_GR32_DC";
case MCK_GR32_DIBP: return "MCK_GR32_DIBP";
case MCK_GR32_SIDI: return "MCK_GR32_SIDI";
case MCK_GR64_AD: return "MCK_GR64_AD";
case MCK_Reg109: return "MCK_Reg109";
case MCK_Reg41: return "MCK_Reg41";
case MCK_Reg15: return "MCK_Reg15";
case MCK_Reg57: return "MCK_Reg57";
case MCK_GR16_ABCD: return "MCK_GR16_ABCD";
case MCK_GR32_ABCD: return "MCK_GR32_ABCD";
case MCK_GR32_TC: return "MCK_GR32_TC";
case MCK_GR64_ABCD: return "MCK_GR64_ABCD";
case MCK_GR8_ABCD_H: return "MCK_GR8_ABCD_H";
case MCK_GR8_ABCD_L: return "MCK_GR8_ABCD_L";
case MCK_VK16PAIR: return "MCK_VK16PAIR";
case MCK_Reg63: return "MCK_Reg63";
case MCK_Reg62: return "MCK_Reg62";
case MCK_Reg50: return "MCK_Reg50";
case MCK_Reg65: return "MCK_Reg65";
case MCK_Reg51: return "MCK_Reg51";
case MCK_SEGMENT_REG: return "MCK_SEGMENT_REG";
case MCK_Reg64: return "MCK_Reg64";
case MCK_Reg60: return "MCK_Reg60";
case MCK_Reg55: return "MCK_Reg55";
case MCK_Reg54: return "MCK_Reg54";
case MCK_GR32_NOREX_NOSP: return "MCK_GR32_NOREX_NOSP";
case MCK_GR64_NOREX_NOSP: return "MCK_GR64_NOREX_NOSP";
case MCK_RFP32: return "MCK_RFP32";
case MCK_VK16WM: return "MCK_VK16WM";
case MCK_Reg61: return "MCK_Reg61";
case MCK_Reg58: return "MCK_Reg58";
case MCK_Reg56: return "MCK_Reg56";
case MCK_Reg44: return "MCK_Reg44";
case MCK_GR16_NOREX: return "MCK_GR16_NOREX";
case MCK_GR32_NOREX: return "MCK_GR32_NOREX";
case MCK_GR8_NOREX: return "MCK_GR8_NOREX";
case MCK_RST: return "MCK_RST";
case MCK_TILE: return "MCK_TILE";
case MCK_VK1: return "MCK_VK1";
case MCK_VR64: return "MCK_VR64";
case MCK_Reg52: return "MCK_Reg52";
case MCK_Reg23: return "MCK_Reg23";
case MCK_GR64_NOREX: return "MCK_GR64_NOREX";
case MCK_GR64_TCW64: return "MCK_GR64_TCW64";
case MCK_GR64_TC: return "MCK_GR64_TC";
case MCK_GRH8: return "MCK_GRH8";
case MCK_GR64PLTSafe: return "MCK_GR64PLTSafe";
case MCK_GR32_NOSP: return "MCK_GR32_NOSP";
case MCK_GR64_NOSP: return "MCK_GR64_NOSP";
case MCK_Reg45: return "MCK_Reg45";
case MCK_CONTROL_REG: return "MCK_CONTROL_REG";
case MCK_DEBUG_REG: return "MCK_DEBUG_REG";
case MCK_FR16: return "MCK_FR16";
case MCK_GR16: return "MCK_GR16";
case MCK_GR32: return "MCK_GR32";
case MCK_VR256: return "MCK_VR256";
case MCK_VR512_0_15: return "MCK_VR512_0_15";
case MCK_Reg20: return "MCK_Reg20";
case MCK_GR64: return "MCK_GR64";
case MCK_GRH16: return "MCK_GRH16";
case MCK_LOW32_ADDR_ACCESS: return "MCK_LOW32_ADDR_ACCESS";
case MCK_LOW32_ADDR_ACCESS_RBP: return "MCK_LOW32_ADDR_ACCESS_RBP";
case MCK_GR8: return "MCK_GR8";
case MCK_FR16X: return "MCK_FR16X";
case MCK_VR256X: return "MCK_VR256X";
case MCK_VR512: return "MCK_VR512";
case MCK_AVX512RC: return "MCK_AVX512RC";
case MCK_ImmSExti64i8: return "MCK_ImmSExti64i8";
case MCK_ImmSExti16i8: return "MCK_ImmSExti16i8";
case MCK_ImmSExti32i8: return "MCK_ImmSExti32i8";
case MCK_ImmSExti64i32: return "MCK_ImmSExti64i32";
case MCK_Imm: return "MCK_Imm";
case MCK_ImmUnsignedi4: return "MCK_ImmUnsignedi4";
case MCK_ImmUnsignedi8: return "MCK_ImmUnsignedi8";
case MCK_VK16Pair: return "MCK_VK16Pair";
case MCK_VK1Pair: return "MCK_VK1Pair";
case MCK_VK2Pair: return "MCK_VK2Pair";
case MCK_VK4Pair: return "MCK_VK4Pair";
case MCK_VK8Pair: return "MCK_VK8Pair";
case MCK_GR16orGR32orGR64: return "MCK_GR16orGR32orGR64";
case MCK_GR32orGR64: return "MCK_GR32orGR64";
case MCK_AbsMem16: return "MCK_AbsMem16";
case MCK_DstIdx16: return "MCK_DstIdx16";
case MCK_DstIdx32: return "MCK_DstIdx32";
case MCK_DstIdx64: return "MCK_DstIdx64";
case MCK_DstIdx8: return "MCK_DstIdx8";
case MCK_MemOffs16_16: return "MCK_MemOffs16_16";
case MCK_MemOffs16_32: return "MCK_MemOffs16_32";
case MCK_MemOffs16_8: return "MCK_MemOffs16_8";
case MCK_MemOffs32_16: return "MCK_MemOffs32_16";
case MCK_MemOffs32_32: return "MCK_MemOffs32_32";
case MCK_MemOffs32_64: return "MCK_MemOffs32_64";
case MCK_MemOffs32_8: return "MCK_MemOffs32_8";
case MCK_MemOffs64_16: return "MCK_MemOffs64_16";
case MCK_MemOffs64_32: return "MCK_MemOffs64_32";
case MCK_MemOffs64_64: return "MCK_MemOffs64_64";
case MCK_MemOffs64_8: return "MCK_MemOffs64_8";
case MCK_SrcIdx16: return "MCK_SrcIdx16";
case MCK_SrcIdx32: return "MCK_SrcIdx32";
case MCK_SrcIdx64: return "MCK_SrcIdx64";
case MCK_SrcIdx8: return "MCK_SrcIdx8";
case MCK_AbsMem: return "MCK_AbsMem";
case MCK_Mem128: return "MCK_Mem128";
case MCK_Mem128_RC128: return "MCK_Mem128_RC128";
case MCK_Mem128_RC128X: return "MCK_Mem128_RC128X";
case MCK_Mem128_RC256: return "MCK_Mem128_RC256";
case MCK_Mem128_RC256X: return "MCK_Mem128_RC256X";
case MCK_Mem16: return "MCK_Mem16";
case MCK_Mem256: return "MCK_Mem256";
case MCK_Mem256_RC128: return "MCK_Mem256_RC128";
case MCK_Mem256_RC128X: return "MCK_Mem256_RC128X";
case MCK_Mem256_RC256: return "MCK_Mem256_RC256";
case MCK_Mem256_RC256X: return "MCK_Mem256_RC256X";
case MCK_Mem256_RC512: return "MCK_Mem256_RC512";
case MCK_Mem32: return "MCK_Mem32";
case MCK_Mem512: return "MCK_Mem512";
case MCK_Mem512_RC256X: return "MCK_Mem512_RC256X";
case MCK_Mem512_RC512: return "MCK_Mem512_RC512";
case MCK_Mem64: return "MCK_Mem64";
case MCK_Mem64_RC128: return "MCK_Mem64_RC128";
case MCK_Mem64_RC128X: return "MCK_Mem64_RC128X";
case MCK_Mem80: return "MCK_Mem80";
case MCK_Mem8: return "MCK_Mem8";
case MCK_SibMem: return "MCK_SibMem";
case MCK_Mem: return "MCK_Mem";
case NumMatchClassKinds: return "NumMatchClassKinds";
}
llvm_unreachable("unhandled MatchClassKind!");
}
#endif // NDEBUG
FeatureBitset X86AsmParser::
ComputeAvailableFeatures(const FeatureBitset &FB) const {
FeatureBitset Features;
if (!FB[X86::Is64Bit])
Features.set(Feature_Not64BitModeBit);
if (FB[X86::Is64Bit])
Features.set(Feature_In64BitModeBit);
if (FB[X86::Is16Bit])
Features.set(Feature_In16BitModeBit);
if (!FB[X86::Is16Bit])
Features.set(Feature_Not16BitModeBit);
if (FB[X86::Is32Bit])
Features.set(Feature_In32BitModeBit);
return Features;
}
static bool checkAsmTiedOperandConstraints(const X86AsmParser&AsmParser,
unsigned Kind,
const OperandVector &Operands,
uint64_t &ErrorInfo) {
assert(Kind < CVT_NUM_SIGNATURES && "Invalid signature!");
const uint8_t *Converter = ConversionTable[Kind];
for (const uint8_t *p = Converter; *p; p += 2) {
switch (*p) {
case CVT_Tied: {
unsigned OpIdx = *(p + 1);
assert(OpIdx < (size_t)(std::end(TiedAsmOperandTable) -
std::begin(TiedAsmOperandTable)) &&
"Tied operand not found");
unsigned OpndNum1 = TiedAsmOperandTable[OpIdx][1];
unsigned OpndNum2 = TiedAsmOperandTable[OpIdx][2];
if (OpndNum1 != OpndNum2) {
auto &SrcOp1 = Operands[OpndNum1];
auto &SrcOp2 = Operands[OpndNum2];
if (!AsmParser.areEqualRegs(*SrcOp1, *SrcOp2)) {
ErrorInfo = OpndNum2;
return false;
}
}
break;
}
default:
break;
}
}
return true;
}
static const char MnemonicTable[] =
"\003aaa\003aad\004aadd\005aaddl\005aaddq\003aam\004aand\005aandl\005aan"
"dq\003aas\003adc\004adcb\004adcl\004adcq\004adcw\004adcx\005adcxl\005ad"
"cxq\003add\004addb\004addl\005addpd\005addps\004addq\006addr16\006addr3"
"2\005addsd\005addss\010addsubpd\010addsubps\004addw\004adox\005adoxl\005"
"adoxq\006aesdec\013aesdec128kl\013aesdec256kl\naesdeclast\017aesdecwide"
"128kl\017aesdecwide256kl\006aesenc\013aesenc128kl\013aesenc256kl\naesen"
"clast\017aesencwide128kl\017aesencwide256kl\006aesimc\017aeskeygenassis"
"t\003and\004andb\004andl\004andn\005andnl\006andnpd\006andnps\005andnq\005"
"andpd\005andps\004andq\004andw\003aor\004aorl\004aorq\004arpl\004axor\005"
"axorl\005axorq\005bextr\006bextrl\006bextrq\007blcfill\010blcfilll\010b"
"lcfillq\004blci\005blcic\006blcicl\006blcicq\005blcil\005blciq\006blcms"
"k\007blcmskl\007blcmskq\004blcs\005blcsl\005blcsq\007blendpd\007blendps"
"\010blendvpd\010blendvps\007blsfill\010blsfilll\010blsfillq\004blsi\005"
"blsic\006blsicl\006blsicq\005blsil\005blsiq\006blsmsk\007blsmskl\007bls"
"mskq\004blsr\005blsrl\005blsrq\005bound\003bsf\004bsfl\004bsfq\004bsfw\003"
"bsr\004bsrl\004bsrq\004bsrw\005bswap\006bswapl\006bswapq\002bt\003btc\004"
"btcl\004btcq\004btcw\003btl\003btq\003btr\004btrl\004btrq\004btrw\003bt"
"s\004btsl\004btsq\004btsw\003btw\004bzhi\005bzhil\005bzhiq\004call\005c"
"alll\005callq\005callw\004cbtw\003cbw\003cdq\004cdqe\004clac\003clc\003"
"cld\010cldemote\007clflush\nclflushopt\004clgi\003cli\003clr\004clrb\004"
"clrl\004clrq\010clrssbsy\004clrw\004cltd\004cltq\004clts\004clui\004clw"
"b\006clzero\003cmc\005cmova\006cmovae\007cmovael\007cmovaeq\007cmovaew\006"
"cmoval\006cmovaq\006cmovaw\005cmovb\006cmovbe\007cmovbel\007cmovbeq\007"
"cmovbew\006cmovbl\006cmovbq\006cmovbw\005cmove\006cmovel\006cmoveq\006c"
"movew\005cmovg\006cmovge\007cmovgel\007cmovgeq\007cmovgew\006cmovgl\006"
"cmovgq\006cmovgw\005cmovl\006cmovle\007cmovlel\007cmovleq\007cmovlew\006"
"cmovll\006cmovlq\006cmovlw\006cmovne\007cmovnel\007cmovneq\007cmovnew\006"
"cmovno\007cmovnol\007cmovnoq\007cmovnow\006cmovnp\007cmovnpl\007cmovnpq"
"\007cmovnpw\006cmovns\007cmovnsl\007cmovnsq\007cmovnsw\005cmovo\006cmov"
"ol\006cmovoq\006cmovow\005cmovp\006cmovpl\006cmovpq\006cmovpw\005cmovs\006"
"cmovsl\006cmovsq\006cmovsw\003cmp\tcmpaexadd\010cmpaxadd\004cmpb\tcmpbe"
"xadd\010cmpbxadd\010cmpexadd\tcmpgexadd\010cmpgxadd\004cmpl\tcmplexadd\010"
"cmplxadd\ncmpnbexadd\tcmpnbxadd\tcmpnexadd\ncmpnlexadd\tcmpnlxadd\tcmpn"
"oxadd\tcmpnpxadd\tcmpnsxadd\tcmpnzxadd\010cmpoxadd\005cmppd\005cmpps\010"
"cmppxadd\004cmpq\004cmps\005cmpsb\005cmpsd\005cmpsl\005cmpsq\005cmpss\005"
"cmpsw\010cmpsxadd\004cmpw\007cmpxchg\ncmpxchg16b\tcmpxchg8b\010cmpxchgb"
"\010cmpxchgl\010cmpxchgq\010cmpxchgw\010cmpzxadd\006comisd\006comiss\005"
"cpuid\003cqo\004cqto\005crc32\006crc32b\006crc32l\006crc32q\006crc32w\002"
"cs\010cvtdq2pd\010cvtdq2ps\010cvtpd2dq\010cvtpd2pi\010cvtpd2ps\010cvtpi"
"2pd\010cvtpi2ps\010cvtps2dq\010cvtps2pd\010cvtps2pi\010cvtsd2si\tcvtsd2"
"sil\tcvtsd2siq\010cvtsd2ss\010cvtsi2sd\tcvtsi2sdl\tcvtsi2sdq\010cvtsi2s"
"s\tcvtsi2ssl\tcvtsi2ssq\010cvtss2sd\010cvtss2si\tcvtss2sil\tcvtss2siq\t"
"cvttpd2dq\tcvttpd2pi\tcvttps2dq\tcvttps2pi\tcvttsd2si\ncvttsd2sil\ncvtt"
"sd2siq\tcvttss2si\ncvttss2sil\ncvttss2siq\003cwd\004cwde\004cwtd\004cwt"
"l\003daa\003das\006data16\003dec\004decb\004decl\004decq\004decw\003div"
"\004divb\004divl\005divpd\005divps\004divq\005divsd\005divss\004divw\004"
"dppd\004dpps\002ds\004emms\005encls\005enclu\005enclv\014encodekey128\014"
"encodekey256\007endbr32\007endbr64\006enqcmd\007enqcmds\005enter\002es\t"
"extractps\005extrq\005f2xm1\004fabs\004fadd\005faddl\005faddp\005fadds\004"
"fbld\005fbstp\004fchs\006fcmovb\007fcmovbe\006fcmove\007fcmovnb\010fcmo"
"vnbe\007fcmovne\007fcmovnu\006fcmovu\004fcom\005fcomi\005fcoml\005fcomp"
"\006fcompi\006fcompl\006fcompp\006fcomps\005fcoms\004fcos\007fdecstp\004"
"fdiv\005fdivl\005fdivp\005fdivr\006fdivrl\006fdivrp\006fdivrs\005fdivs\005"
"femms\005ffree\006ffreep\005fiadd\006fiaddl\006fiadds\005ficom\006ficom"
"l\006ficomp\007ficompl\007ficomps\006ficoms\005fidiv\006fidivl\006fidiv"
"r\007fidivrl\007fidivrs\006fidivs\004fild\005fildl\006fildll\005filds\005"
"fimul\006fimull\006fimuls\007fincstp\004fist\005fistl\005fistp\006fistp"
"l\007fistpll\006fistps\005fists\006fisttp\007fisttpl\010fisttpll\007fis"
"ttps\005fisub\006fisubl\006fisubr\007fisubrl\007fisubrs\006fisubs\003fl"
"d\004fld1\005fldcw\006fldenv\004fldl\006fldl2e\006fldl2t\006fldlg2\006f"
"ldln2\005fldpi\004flds\004fldt\004fldz\004fmul\005fmull\005fmulp\005fmu"
"ls\006fnclex\006fninit\004fnop\006fnsave\006fnstcw\007fnstenv\006fnstsw"
"\006fpatan\005fprem\006fprem1\005fptan\007frndint\006frstor\002fs\006fs"
"cale\004fsin\007fsincos\005fsqrt\003fst\004fstl\004fstp\005fstpl\005fst"
"ps\005fstpt\004fsts\004fsub\005fsubl\005fsubp\005fsubr\006fsubrl\006fsu"
"brp\006fsubrs\005fsubs\004ftst\005fucom\006fucomi\006fucomp\007fucompi\007"
"fucompp\004fxam\004fxch\007fxrstor\tfxrstor64\006fxsave\010fxsave64\007"
"fxtract\005fyl2x\007fyl2xp1\006getsec\020gf2p8affineinvqb\015gf2p8affin"
"eqb\tgf2p8mulb\002gs\006haddpd\006haddps\003hlt\006hreset\006hsubpd\006"
"hsubps\004idiv\005idivb\005idivl\005idivq\005idivw\004imul\005imulb\005"
"imull\005imulq\005imulw\002in\003inb\003inc\004incb\004incl\004incq\007"
"incsspd\007incsspq\004incw\003inl\003ins\004insb\004insd\010insertps\007"
"insertq\004insl\004insw\003int\004int3\004into\004invd\006invept\006inv"
"lpg\007invlpga\007invlpgb\007invpcid\007invvpid\003inw\004iret\005iretd"
"\005iretl\005iretq\005iretw\002ja\003jae\002jb\003jbe\004jcxz\002je\005"
"jecxz\002jg\003jge\002jl\003jle\003jmp\004jmpl\004jmpq\004jmpw\003jne\003"
"jno\003jnp\003jns\002jo\002jp\005jrcxz\002js\005kaddb\005kaddd\005kaddq"
"\005kaddw\005kandb\005kandd\006kandnb\006kandnd\006kandnq\006kandnw\005"
"kandq\005kandw\005kmovb\005kmovd\005kmovq\005kmovw\005knotb\005knotd\005"
"knotq\005knotw\004korb\004kord\004korq\010kortestb\010kortestd\010korte"
"stq\010kortestw\004korw\010kshiftlb\010kshiftld\010kshiftlq\010kshiftlw"
"\010kshiftrb\010kshiftrd\010kshiftrq\010kshiftrw\006ktestb\006ktestd\006"
"ktestq\006ktestw\010kunpckbw\010kunpckdq\010kunpckwd\006kxnorb\006kxnor"
"d\006kxnorq\006kxnorw\005kxorb\005kxord\005kxorq\005kxorw\004lahf\003la"
"r\004larl\004larq\004larw\005lcall\006lcalll\006lcallq\006lcallw\005ldd"
"qu\007ldmxcsr\003lds\004ldsl\004ldsw\tldtilecfg\003lea\004leal\004leaq\005"
"leave\004leaw\003les\004lesl\004lesw\006lfence\003lfs\004lfsl\004lfsq\004"
"lfsw\004lgdt\005lgdtd\005lgdtl\005lgdtq\005lgdtw\003lgs\004lgsl\004lgsq"
"\004lgsw\004lidt\005lidtd\005lidtl\005lidtq\005lidtw\004ljmp\005ljmpl\005"
"ljmpq\005ljmpw\004lldt\005lldtw\006llwpcb\004lmsw\005lmsww\tloadiwkey\004"
"lock\004lods\005lodsb\005lodsd\005lodsl\005lodsq\005lodsw\004loop\005lo"
"ope\006loopne\005lretl\005lretq\005lretw\003lsl\004lsll\004lslq\004lslw"
"\003lss\004lssl\004lssq\004lssw\003ltr\004ltrw\006lwpins\006lwpval\005l"
"zcnt\006lzcntl\006lzcntq\006lzcntw\nmaskmovdqu\010maskmovq\005maxpd\005"
"maxps\005maxsd\005maxss\006mfence\005minpd\005minps\005minsd\005minss\007"
"monitor\010monitorx\007montmul\003mov\005mov.s\006movabs\007movabsb\007"
"movabsl\007movabsq\007movabsw\006movapd\010movapd.s\006movaps\010movaps"
".s\004movb\006movb.s\005movbe\006movbel\006movbeq\006movbew\004movd\007"
"movddup\tmovdir64b\007movdiri\007movdq2q\006movdqa\010movdqa.s\006movdq"
"u\010movdqu.s\007movhlps\006movhpd\006movhps\004movl\006movl.s\007movlh"
"ps\006movlpd\006movlps\010movmskpd\010movmskps\007movntdq\010movntdqa\006"
"movnti\007movntil\007movntiq\007movntpd\007movntps\006movntq\007movntsd"
"\007movntss\004movq\006movq.s\007movq2dq\004movs\005movsb\006movsbl\006"
"movsbq\006movsbw\005movsd\007movsd.s\010movshdup\005movsl\010movsldup\006"
"movslq\005movsq\005movss\007movss.s\005movsw\006movswl\006movswq\005mov"
"sx\006movsxd\006movupd\010movupd.s\006movups\010movups.s\004movw\006mov"
"w.s\006movzbl\006movzbq\006movzbw\006movzwl\006movzwq\005movzx\007mpsad"
"bw\003mul\004mulb\004mull\005mulpd\005mulps\004mulq\005mulsd\005mulss\004"
"mulw\004mulx\005mulxl\005mulxq\005mwait\006mwaitx\003neg\004negb\004neg"
"l\004negq\004negw\003nop\004nopl\004nopq\004nopw\003not\004notb\004notl"
"\004notq\004notw\002or\003orb\003orl\004orpd\004orps\003orq\003orw\003o"
"ut\004outb\004outl\004outs\005outsb\005outsd\005outsl\005outsw\004outw\005"
"pabsb\005pabsd\005pabsw\010packssdw\010packsswb\010packusdw\010packuswb"
"\005paddb\005paddd\005paddq\006paddsb\006paddsw\007paddusb\007paddusw\005"
"paddw\007palignr\004pand\005pandn\005pause\005pavgb\007pavgusb\005pavgw"
"\010pblendvb\007pblendw\014pclmulhqhqdq\014pclmulhqlqdq\014pclmullqhqdq"
"\014pclmullqlqdq\tpclmulqdq\007pcmpeqb\007pcmpeqd\007pcmpeqq\007pcmpeqw"
"\tpcmpestri\tpcmpestrm\007pcmpgtb\007pcmpgtd\007pcmpgtq\007pcmpgtw\tpcm"
"pistri\tpcmpistrm\007pconfig\004pdep\005pdepl\005pdepq\004pext\005pextl"
"\005pextq\006pextrb\006pextrd\006pextrq\006pextrw\005pf2id\005pf2iw\005"
"pfacc\005pfadd\007pfcmpeq\007pfcmpge\007pfcmpgt\005pfmax\005pfmin\005pf"
"mul\006pfnacc\007pfpnacc\005pfrcp\010pfrcpit1\010pfrcpit2\010pfrsqit1\007"
"pfrsqrt\005pfsub\006pfsubr\006phaddd\007phaddsw\006phaddw\nphminposuw\006"
"phsubd\007phsubsw\006phsubw\005pi2fd\005pi2fw\006pinsrb\006pinsrd\006pi"
"nsrq\006pinsrw\tpmaddubsw\007pmaddwd\006pmaxsb\006pmaxsd\006pmaxsw\006p"
"maxub\006pmaxud\006pmaxuw\006pminsb\006pminsd\006pminsw\006pminub\006pm"
"inud\006pminuw\010pmovmskb\010pmovsxbd\010pmovsxbq\010pmovsxbw\010pmovs"
"xdq\010pmovsxwd\010pmovsxwq\010pmovzxbd\010pmovzxbq\010pmovzxbw\010pmov"
"zxdq\010pmovzxwd\010pmovzxwq\006pmuldq\010pmulhrsw\007pmulhrw\007pmulhu"
"w\006pmulhw\006pmulld\006pmullw\007pmuludq\003pop\005popal\005popaw\006"
"popcnt\007popcntl\007popcntq\007popcntw\004popf\005popfd\005popfl\005po"
"pfq\005popfw\004popl\004popq\004popw\003por\010prefetch\013prefetchit0\013"
"prefetchit1\013prefetchnta\nprefetcht0\nprefetcht1\nprefetcht2\tprefetc"
"hw\013prefetchwt1\006psadbw\006pshufb\006pshufd\007pshufhw\007pshuflw\006"
"pshufw\006psignb\006psignd\006psignw\005pslld\006pslldq\005psllq\005psl"
"lw\006psmash\005psrad\005psraw\005psrld\006psrldq\005psrlq\005psrlw\005"
"psubb\005psubd\005psubq\006psubsb\006psubsw\007psubusb\007psubusw\005ps"
"ubw\006pswapd\005ptest\007ptwrite\010ptwritel\010ptwriteq\tpunpckhbw\tp"
"unpckhdq\npunpckhqdq\tpunpckhwd\tpunpcklbw\tpunpckldq\npunpcklqdq\tpunp"
"cklwd\004push\006pushal\006pushaw\005pushf\006pushfd\006pushfl\006pushf"
"q\006pushfw\005pushl\005pushq\005pushw\tpvalidate\004pxor\003rcl\004rcl"
"b\004rcll\004rclq\004rclw\005rcpps\005rcpss\003rcr\004rcrb\004rcrl\004r"
"crq\004rcrw\010rdfsbase\trdfsbasel\trdfsbaseq\010rdgsbase\trdgsbasel\tr"
"dgsbaseq\005rdmsr\trdmsrlist\005rdpid\006rdpkru\005rdpmc\005rdpru\006rd"
"rand\007rdrandl\007rdrandq\007rdrandw\006rdseed\007rdseedl\007rdseedq\007"
"rdseedw\006rdsspd\006rdsspq\005rdtsc\006rdtscp\003rep\005repne\003ret\004"
"retf\005retfq\004retl\004retq\004retw\005rex64\trmpadjust\trmpupdate\003"
"rol\004rolb\004roll\004rolq\004rolw\003ror\004rorb\004rorl\004rorq\004r"
"orw\004rorx\005rorxl\005rorxq\007roundpd\007roundps\007roundsd\007round"
"ss\003rsm\007rsqrtps\007rsqrtss\010rstorssp\004sahf\004salc\003sar\004s"
"arb\004sarl\004sarq\004sarw\004sarx\005sarxl\005sarxq\013saveprevssp\003"
"sbb\004sbbb\004sbbl\004sbbq\004sbbw\004scas\005scasb\005scasd\005scasl\005"
"scasq\005scasw\010seamcall\007seamops\007seamret\010senduipi\tserialize"
"\004seta\005setae\004setb\005setbe\004sete\004setg\005setge\004setl\005"
"setle\005setne\005setno\005setnp\005setns\004seto\004setp\004sets\010se"
"tssbsy\006sfence\004sgdt\005sgdtd\005sgdtl\005sgdtq\005sgdtw\010sha1msg"
"1\010sha1msg2\tsha1nexte\tsha1rnds4\nsha256msg1\nsha256msg2\013sha256rn"
"ds2\003shl\004shlb\004shld\005shldl\005shldq\005shldw\004shll\004shlq\004"
"shlw\004shlx\005shlxl\005shlxq\003shr\004shrb\004shrd\005shrdl\005shrdq"
"\005shrdw\004shrl\004shrq\004shrw\004shrx\005shrxl\005shrxq\006shufpd\006"
"shufps\004sidt\005sidtd\005sidtl\005sidtq\005sidtw\006skinit\004sldt\005"
"sldtl\005sldtq\005sldtw\006slwpcb\004smsw\005smswl\005smswq\005smsww\006"
"sqrtpd\006sqrtps\006sqrtsd\006sqrtss\002ss\004stac\003stc\003std\004stg"
"i\003sti\007stmxcsr\004stos\005stosb\005stosd\005stosl\005stosq\005stos"
"w\003str\004strl\004strq\004strw\tsttilecfg\004stui\003sub\004subb\004s"
"ubl\005subpd\005subps\004subq\005subsd\005subss\004subw\006swapgs\007sy"
"scall\010sysenter\007sysexit\010sysexitl\010sysexitq\006sysret\007sysre"
"tl\007sysretq\006t1mskc\007t1mskcl\007t1mskcq\006tdcall\ttdpbf16ps\007t"
"dpbssd\007tdpbsud\007tdpbusd\007tdpbuud\ttdpfp16ps\004test\005testb\005"
"testl\005testq\006testui\005testw\ttileloadd\013tileloaddt1\013tilerele"
"ase\ntilestored\010tilezero\007tlbsync\006tpause\005tzcnt\006tzcntl\006"
"tzcntq\006tzcntw\005tzmsk\006tzmskl\006tzmskq\007ucomisd\007ucomiss\003"
"ud1\004ud1l\004ud1q\004ud1w\003ud2\005uiret\010umonitor\006umwait\010un"
"pckhpd\010unpckhps\010unpcklpd\010unpcklps\tv4fmaddps\tv4fmaddss\nv4fnm"
"addps\nv4fnmaddss\006vaddpd\006vaddph\006vaddps\006vaddsd\006vaddsh\006"
"vaddss\tvaddsubpd\tvaddsubps\007vaesdec\013vaesdeclast\007vaesenc\013va"
"esenclast\007vaesimc\020vaeskeygenassist\007valignd\007valignq\007vandn"
"pd\007vandnps\006vandpd\006vandps\016vbcstnebf162ps\014vbcstnesh2ps\tvb"
"lendmpd\tvblendmps\010vblendpd\010vblendps\tvblendvpd\tvblendvps\016vbr"
"oadcastf128\017vbroadcastf32x2\017vbroadcastf32x4\017vbroadcastf32x8\017"
"vbroadcastf64x2\017vbroadcastf64x4\016vbroadcasti128\017vbroadcasti32x2"
"\017vbroadcasti32x4\017vbroadcasti32x8\017vbroadcasti64x2\017vbroadcast"
"i64x4\014vbroadcastsd\014vbroadcastss\006vcmppd\006vcmpph\006vcmpps\006"
"vcmpsd\006vcmpsh\006vcmpss\007vcomisd\007vcomish\007vcomiss\013vcompres"
"spd\013vcompressps\tvcvtdq2pd\tvcvtdq2ph\nvcvtdq2phx\nvcvtdq2phy\tvcvtd"
"q2ps\016vcvtne2ps2bf16\016vcvtneebf162ps\014vcvtneeph2ps\016vcvtneobf16"
"2ps\014vcvtneoph2ps\015vcvtneps2bf16\016vcvtneps2bf16x\016vcvtneps2bf16"
"y\tvcvtpd2dq\nvcvtpd2dqx\nvcvtpd2dqy\tvcvtpd2ph\nvcvtpd2phx\nvcvtpd2phy"
"\nvcvtpd2phz\tvcvtpd2ps\nvcvtpd2psx\nvcvtpd2psy\tvcvtpd2qq\nvcvtpd2udq\013"
"vcvtpd2udqx\013vcvtpd2udqy\nvcvtpd2uqq\tvcvtph2dq\tvcvtph2pd\tvcvtph2ps"
"\nvcvtph2psx\tvcvtph2qq\nvcvtph2udq\nvcvtph2uqq\tvcvtph2uw\010vcvtph2w\t"
"vcvtps2dq\tvcvtps2pd\tvcvtps2ph\nvcvtps2phx\013vcvtps2phxx\013vcvtps2ph"
"xy\tvcvtps2qq\nvcvtps2udq\nvcvtps2uqq\tvcvtqq2pd\tvcvtqq2ph\nvcvtqq2phx"
"\nvcvtqq2phy\nvcvtqq2phz\tvcvtqq2ps\nvcvtqq2psx\nvcvtqq2psy\tvcvtsd2sh\t"
"vcvtsd2si\nvcvtsd2sil\nvcvtsd2siq\tvcvtsd2ss\nvcvtsd2usi\013vcvtsd2usil"
"\013vcvtsd2usiq\tvcvtsh2sd\tvcvtsh2si\nvcvtsh2sil\nvcvtsh2siq\tvcvtsh2s"
"s\nvcvtsh2usi\013vcvtsh2usil\013vcvtsh2usiq\tvcvtsi2sd\nvcvtsi2sdl\nvcv"
"tsi2sdq\tvcvtsi2sh\nvcvtsi2shl\nvcvtsi2shq\tvcvtsi2ss\nvcvtsi2ssl\nvcvt"
"si2ssq\tvcvtss2sd\tvcvtss2sh\tvcvtss2si\nvcvtss2sil\nvcvtss2siq\nvcvtss"
"2usi\013vcvtss2usil\013vcvtss2usiq\nvcvttpd2dq\013vcvttpd2dqx\013vcvttp"
"d2dqy\nvcvttpd2qq\013vcvttpd2udq\014vcvttpd2udqx\014vcvttpd2udqy\013vcv"
"ttpd2uqq\nvcvttph2dq\nvcvttph2qq\013vcvttph2udq\013vcvttph2uqq\nvcvttph"
"2uw\tvcvttph2w\nvcvttps2dq\nvcvttps2qq\013vcvttps2udq\013vcvttps2uqq\nv"
"cvttsd2si\013vcvttsd2sil\013vcvttsd2siq\013vcvttsd2usi\014vcvttsd2usil\014"
"vcvttsd2usiq\nvcvttsh2si\013vcvttsh2sil\013vcvttsh2siq\013vcvttsh2usi\014"
"vcvttsh2usil\014vcvttsh2usiq\nvcvttss2si\013vcvttss2sil\013vcvttss2siq\013"
"vcvttss2usi\014vcvttss2usil\014vcvttss2usiq\nvcvtudq2pd\nvcvtudq2ph\013"
"vcvtudq2phx\013vcvtudq2phy\nvcvtudq2ps\nvcvtuqq2pd\nvcvtuqq2ph\013vcvtu"
"qq2phx\013vcvtuqq2phy\013vcvtuqq2phz\nvcvtuqq2ps\013vcvtuqq2psx\013vcvt"
"uqq2psy\nvcvtusi2sd\013vcvtusi2sdl\013vcvtusi2sdq\nvcvtusi2sh\013vcvtus"
"i2shl\013vcvtusi2shq\nvcvtusi2ss\013vcvtusi2ssl\013vcvtusi2ssq\tvcvtuw2"
"ph\010vcvtw2ph\tvdbpsadbw\006vdivpd\006vdivph\006vdivps\006vdivsd\006vd"
"ivsh\006vdivss\tvdpbf16ps\005vdppd\005vdpps\004verr\004verw\007vexp2pd\007"
"vexp2ps\tvexpandpd\tvexpandps\014vextractf128\015vextractf32x4\015vextr"
"actf32x8\015vextractf64x2\015vextractf64x4\014vextracti128\015vextracti"
"32x4\015vextracti32x8\015vextracti64x2\015vextracti64x4\nvextractps\nvf"
"cmaddcph\nvfcmaddcsh\tvfcmulcph\tvfcmulcsh\013vfixupimmpd\013vfixupimmp"
"s\013vfixupimmsd\013vfixupimmss\013vfmadd132pd\013vfmadd132ph\013vfmadd"
"132ps\013vfmadd132sd\013vfmadd132sh\013vfmadd132ss\013vfmadd213pd\013vf"
"madd213ph\013vfmadd213ps\013vfmadd213sd\013vfmadd213sh\013vfmadd213ss\013"
"vfmadd231pd\013vfmadd231ph\013vfmadd231ps\013vfmadd231sd\013vfmadd231sh"
"\013vfmadd231ss\tvfmaddcph\tvfmaddcsh\010vfmaddpd\010vfmaddps\010vfmadd"
"sd\010vfmaddss\016vfmaddsub132pd\016vfmaddsub132ph\016vfmaddsub132ps\016"
"vfmaddsub213pd\016vfmaddsub213ph\016vfmaddsub213ps\016vfmaddsub231pd\016"
"vfmaddsub231ph\016vfmaddsub231ps\013vfmaddsubpd\013vfmaddsubps\013vfmsu"
"b132pd\013vfmsub132ph\013vfmsub132ps\013vfmsub132sd\013vfmsub132sh\013v"
"fmsub132ss\013vfmsub213pd\013vfmsub213ph\013vfmsub213ps\013vfmsub213sd\013"
"vfmsub213sh\013vfmsub213ss\013vfmsub231pd\013vfmsub231ph\013vfmsub231ps"
"\013vfmsub231sd\013vfmsub231sh\013vfmsub231ss\016vfmsubadd132pd\016vfms"
"ubadd132ph\016vfmsubadd132ps\016vfmsubadd213pd\016vfmsubadd213ph\016vfm"
"subadd213ps\016vfmsubadd231pd\016vfmsubadd231ph\016vfmsubadd231ps\013vf"
"msubaddpd\013vfmsubaddps\010vfmsubpd\010vfmsubps\010vfmsubsd\010vfmsubs"
"s\010vfmulcph\010vfmulcsh\014vfnmadd132pd\014vfnmadd132ph\014vfnmadd132"
"ps\014vfnmadd132sd\014vfnmadd132sh\014vfnmadd132ss\014vfnmadd213pd\014v"
"fnmadd213ph\014vfnmadd213ps\014vfnmadd213sd\014vfnmadd213sh\014vfnmadd2"
"13ss\014vfnmadd231pd\014vfnmadd231ph\014vfnmadd231ps\014vfnmadd231sd\014"
"vfnmadd231sh\014vfnmadd231ss\tvfnmaddpd\tvfnmaddps\tvfnmaddsd\tvfnmadds"
"s\014vfnmsub132pd\014vfnmsub132ph\014vfnmsub132ps\014vfnmsub132sd\014vf"
"nmsub132sh\014vfnmsub132ss\014vfnmsub213pd\014vfnmsub213ph\014vfnmsub21"
"3ps\014vfnmsub213sd\014vfnmsub213sh\014vfnmsub213ss\014vfnmsub231pd\014"
"vfnmsub231ph\014vfnmsub231ps\014vfnmsub231sd\014vfnmsub231sh\014vfnmsub"
"231ss\tvfnmsubpd\tvfnmsubps\tvfnmsubsd\tvfnmsubss\nvfpclasspd\013vfpcla"
"sspdx\013vfpclasspdy\013vfpclasspdz\nvfpclassph\013vfpclassphx\013vfpcl"
"assphy\013vfpclassphz\nvfpclassps\013vfpclasspsx\013vfpclasspsy\013vfpc"
"lasspsz\nvfpclasssd\nvfpclasssh\nvfpclassss\007vfrczpd\007vfrczps\007vf"
"rczsd\007vfrczss\nvgatherdpd\nvgatherdps\015vgatherpf0dpd\015vgatherpf0"
"dps\015vgatherpf0qpd\015vgatherpf0qps\015vgatherpf1dpd\015vgatherpf1dps"
"\015vgatherpf1qpd\015vgatherpf1qps\nvgatherqpd\nvgatherqps\tvgetexppd\t"
"vgetexpph\tvgetexpps\tvgetexpsd\tvgetexpsh\tvgetexpss\nvgetmantpd\nvget"
"mantph\nvgetmantps\nvgetmantsd\nvgetmantsh\nvgetmantss\021vgf2p8affinei"
"nvqb\016vgf2p8affineqb\nvgf2p8mulb\007vhaddpd\007vhaddps\007vhsubpd\007"
"vhsubps\013vinsertf128\014vinsertf32x4\014vinsertf32x8\014vinsertf64x2\014"
"vinsertf64x4\013vinserti128\014vinserti32x4\014vinserti32x8\014vinserti"
"64x2\014vinserti64x4\tvinsertps\006vlddqu\010vldmxcsr\013vmaskmovdqu\nv"
"maskmovpd\nvmaskmovps\006vmaxpd\006vmaxph\006vmaxps\006vmaxsd\006vmaxsh"
"\006vmaxss\006vmcall\007vmclear\006vmfunc\006vminpd\006vminph\006vminps"
"\006vminsd\006vminsh\006vminss\010vmlaunch\006vmload\007vmmcall\007vmov"
"apd\tvmovapd.s\007vmovaps\tvmovaps.s\005vmovd\010vmovddup\007vmovdqa\tv"
"movdqa.s\tvmovdqa32\013vmovdqa32.s\tvmovdqa64\013vmovdqa64.s\007vmovdqu"
"\tvmovdqu.s\tvmovdqu16\013vmovdqu16.s\tvmovdqu32\013vmovdqu32.s\tvmovdq"
"u64\013vmovdqu64.s\010vmovdqu8\nvmovdqu8.s\010vmovhlps\007vmovhpd\007vm"
"ovhps\010vmovlhps\007vmovlpd\007vmovlps\tvmovmskpd\tvmovmskps\010vmovnt"
"dq\tvmovntdqa\010vmovntpd\010vmovntps\005vmovq\007vmovq.s\006vmovsd\010"
"vmovsd.s\006vmovsh\010vmovsh.s\tvmovshdup\tvmovsldup\006vmovss\010vmovs"
"s.s\007vmovupd\tvmovupd.s\007vmovups\tvmovups.s\005vmovw\010vmpsadbw\007"
"vmptrld\007vmptrst\006vmread\007vmreadl\007vmreadq\010vmresume\005vmrun"
"\006vmsave\006vmulpd\006vmulph\006vmulps\006vmulsd\006vmulsh\006vmulss\007"
"vmwrite\010vmwritel\010vmwriteq\006vmxoff\005vmxon\005vorpd\005vorps\015"
"vp2intersectd\015vp2intersectq\tvp4dpwssd\nvp4dpwssds\006vpabsb\006vpab"
"sd\006vpabsq\006vpabsw\tvpackssdw\tvpacksswb\tvpackusdw\tvpackuswb\006v"
"paddb\006vpaddd\006vpaddq\007vpaddsb\007vpaddsw\010vpaddusb\010vpaddusw"
"\006vpaddw\010vpalignr\005vpand\006vpandd\006vpandn\007vpandnd\007vpand"
"nq\006vpandq\006vpavgb\006vpavgw\010vpblendd\tvpblendmb\tvpblendmd\tvpb"
"lendmq\tvpblendmw\tvpblendvb\010vpblendw\014vpbroadcastb\014vpbroadcast"
"d\017vpbroadcastmb2q\017vpbroadcastmw2d\014vpbroadcastq\014vpbroadcastw"
"\015vpclmulhqhqdq\015vpclmulhqlqdq\015vpclmullqhqdq\015vpclmullqlqdq\nv"
"pclmulqdq\006vpcmov\006vpcmpb\006vpcmpd\010vpcmpeqb\010vpcmpeqd\010vpcm"
"peqq\010vpcmpeqw\nvpcmpestri\nvpcmpestrm\010vpcmpgtb\010vpcmpgtd\010vpc"
"mpgtq\010vpcmpgtw\nvpcmpistri\nvpcmpistrm\006vpcmpq\007vpcmpub\007vpcmp"
"ud\007vpcmpuq\007vpcmpuw\006vpcmpw\006vpcomb\006vpcomd\013vpcompressb\013"
"vpcompressd\013vpcompressq\013vpcompressw\006vpcomq\007vpcomub\007vpcom"
"ud\007vpcomuq\007vpcomuw\006vpcomw\013vpconflictd\013vpconflictq\010vpd"
"pbssd\tvpdpbssds\010vpdpbsud\tvpdpbsuds\010vpdpbusd\tvpdpbusds\010vpdpb"
"uud\tvpdpbuuds\010vpdpwssd\tvpdpwssds\nvperm2f128\nvperm2i128\006vpermb"
"\006vpermd\010vpermi2b\010vpermi2d\tvpermi2pd\tvpermi2ps\010vpermi2q\010"
"vpermi2w\nvpermil2pd\nvpermil2ps\tvpermilpd\tvpermilps\007vpermpd\007vp"
"ermps\006vpermq\010vpermt2b\010vpermt2d\tvpermt2pd\tvpermt2ps\010vpermt"
"2q\010vpermt2w\006vpermw\tvpexpandb\tvpexpandd\tvpexpandq\tvpexpandw\007"
"vpextrb\007vpextrd\007vpextrq\007vpextrw\nvpgatherdd\nvpgatherdq\nvpgat"
"herqd\nvpgatherqq\010vphaddbd\010vphaddbq\010vphaddbw\007vphaddd\010vph"
"adddq\010vphaddsw\tvphaddubd\tvphaddubq\tvphaddubw\tvphaddudq\tvphadduw"
"d\tvphadduwq\007vphaddw\010vphaddwd\010vphaddwq\013vphminposuw\010vphsu"
"bbw\007vphsubd\010vphsubdq\010vphsubsw\007vphsubw\010vphsubwd\007vpinsr"
"b\007vpinsrd\007vpinsrq\007vpinsrw\010vplzcntd\010vplzcntq\010vpmacsdd\t"
"vpmacsdqh\tvpmacsdql\tvpmacssdd\nvpmacssdqh\nvpmacssdql\tvpmacsswd\tvpm"
"acssww\010vpmacswd\010vpmacsww\nvpmadcsswd\tvpmadcswd\013vpmadd52huq\013"
"vpmadd52luq\nvpmaddubsw\010vpmaddwd\nvpmaskmovd\nvpmaskmovq\007vpmaxsb\007"
"vpmaxsd\007vpmaxsq\007vpmaxsw\007vpmaxub\007vpmaxud\007vpmaxuq\007vpmax"
"uw\007vpminsb\007vpminsd\007vpminsq\007vpminsw\007vpminub\007vpminud\007"
"vpminuq\007vpminuw\010vpmovb2m\010vpmovd2m\007vpmovdb\007vpmovdw\010vpm"
"ovm2b\010vpmovm2d\010vpmovm2q\010vpmovm2w\tvpmovmskb\010vpmovq2m\007vpm"
"ovqb\007vpmovqd\007vpmovqw\010vpmovsdb\010vpmovsdw\010vpmovsqb\010vpmov"
"sqd\010vpmovsqw\010vpmovswb\tvpmovsxbd\tvpmovsxbq\tvpmovsxbw\tvpmovsxdq"
"\tvpmovsxwd\tvpmovsxwq\tvpmovusdb\tvpmovusdw\tvpmovusqb\tvpmovusqd\tvpm"
"ovusqw\tvpmovuswb\010vpmovw2m\007vpmovwb\tvpmovzxbd\tvpmovzxbq\tvpmovzx"
"bw\tvpmovzxdq\tvpmovzxwd\tvpmovzxwq\007vpmuldq\tvpmulhrsw\010vpmulhuw\007"
"vpmulhw\007vpmulld\007vpmullq\007vpmullw\016vpmultishiftqb\010vpmuludq\010"
"vpopcntb\010vpopcntd\010vpopcntq\010vpopcntw\004vpor\005vpord\005vporq\006"
"vpperm\006vprold\006vprolq\007vprolvd\007vprolvq\006vprord\006vprorq\007"
"vprorvd\007vprorvq\006vprotb\006vprotd\006vprotq\006vprotw\007vpsadbw\013"
"vpscatterdd\013vpscatterdq\013vpscatterqd\013vpscatterqq\006vpshab\006v"
"pshad\006vpshaq\006vpshaw\006vpshlb\006vpshld\007vpshldd\007vpshldq\010"
"vpshldvd\010vpshldvq\010vpshldvw\007vpshldw\006vpshlq\006vpshlw\007vpsh"
"rdd\007vpshrdq\010vpshrdvd\010vpshrdvq\010vpshrdvw\007vpshrdw\007vpshuf"
"b\014vpshufbitqmb\007vpshufd\010vpshufhw\010vpshuflw\007vpsignb\007vpsi"
"gnd\007vpsignw\006vpslld\007vpslldq\006vpsllq\007vpsllvd\007vpsllvq\007"
"vpsllvw\006vpsllw\006vpsrad\006vpsraq\007vpsravd\007vpsravq\007vpsravw\006"
"vpsraw\006vpsrld\007vpsrldq\006vpsrlq\007vpsrlvd\007vpsrlvq\007vpsrlvw\006"
"vpsrlw\006vpsubb\006vpsubd\006vpsubq\007vpsubsb\007vpsubsw\010vpsubusb\010"
"vpsubusw\006vpsubw\nvpternlogd\nvpternlogq\006vptest\010vptestmb\010vpt"
"estmd\010vptestmq\010vptestmw\tvptestnmb\tvptestnmd\tvptestnmq\tvptestn"
"mw\nvpunpckhbw\nvpunpckhdq\013vpunpckhqdq\nvpunpckhwd\nvpunpcklbw\nvpun"
"pckldq\013vpunpcklqdq\nvpunpcklwd\005vpxor\006vpxord\006vpxorq\010vrang"
"epd\010vrangeps\010vrangesd\010vrangess\010vrcp14pd\010vrcp14ps\010vrcp"
"14sd\010vrcp14ss\010vrcp28pd\010vrcp28ps\010vrcp28sd\010vrcp28ss\006vrc"
"pph\006vrcpps\006vrcpsh\006vrcpss\tvreducepd\tvreduceph\tvreduceps\tvre"
"ducesd\tvreducesh\tvreducess\013vrndscalepd\013vrndscaleph\013vrndscale"
"ps\013vrndscalesd\013vrndscalesh\013vrndscaless\010vroundpd\010vroundps"
"\010vroundsd\010vroundss\nvrsqrt14pd\nvrsqrt14ps\nvrsqrt14sd\nvrsqrt14s"
"s\nvrsqrt28pd\nvrsqrt28ps\nvrsqrt28sd\nvrsqrt28ss\010vrsqrtph\010vrsqrt"
"ps\010vrsqrtsh\010vrsqrtss\tvscalefpd\tvscalefph\tvscalefps\tvscalefsd\t"
"vscalefsh\tvscalefss\013vscatterdpd\013vscatterdps\016vscatterpf0dpd\016"
"vscatterpf0dps\016vscatterpf0qpd\016vscatterpf0qps\016vscatterpf1dpd\016"
"vscatterpf1dps\016vscatterpf1qpd\016vscatterpf1qps\013vscatterqpd\013vs"
"catterqps\nvshuff32x4\nvshuff64x2\nvshufi32x4\nvshufi64x2\007vshufpd\007"
"vshufps\007vsqrtpd\007vsqrtph\007vsqrtps\007vsqrtsd\007vsqrtsh\007vsqrt"
"ss\010vstmxcsr\006vsubpd\006vsubph\006vsubps\006vsubsd\006vsubsh\006vsu"
"bss\007vtestpd\007vtestps\010vucomisd\010vucomish\010vucomiss\tvunpckhp"
"d\tvunpckhps\tvunpcklpd\tvunpcklps\006vxorpd\006vxorps\010vzeroall\nvze"
"roupper\004wait\006wbinvd\010wbnoinvd\010wrfsbase\twrfsbasel\twrfsbaseq"
"\010wrgsbase\twrgsbasel\twrgsbaseq\005wrmsr\twrmsrlist\007wrmsrns\006wr"
"pkru\005wrssd\005wrssq\006wrussd\006wrussq\006xabort\010xacquire\004xad"
"d\005xaddb\005xaddl\005xaddq\005xaddw\006xbegin\004xchg\005xchgb\005xch"
"gl\005xchgq\005xchgw\txcryptcbc\txcryptcfb\txcryptctr\txcryptecb\txcryp"
"tofb\004xend\006xgetbv\005xlatb\003xor\004xorb\004xorl\005xorpd\005xorp"
"s\004xorq\004xorw\010xrelease\txresldtrk\006xrstor\010xrstor64\007xrsto"
"rs\txrstors64\005xsave\007xsave64\006xsavec\010xsavec64\010xsaveopt\nxs"
"aveopt64\006xsaves\010xsaves64\006xsetbv\005xsha1\007xsha256\006xstore\t"
"xstorerng\txsusldtrk\005xtest";
// Feature bitsets.
enum : uint8_t {
AMFBS_None,
AMFBS_In16BitMode,
AMFBS_In32BitMode,
AMFBS_In64BitMode,
AMFBS_Not16BitMode,
AMFBS_Not64BitMode,
};
static constexpr FeatureBitset FeatureBitsets[] = {
{}, // AMFBS_None
{Feature_In16BitModeBit, },
{Feature_In32BitModeBit, },
{Feature_In64BitModeBit, },
{Feature_Not16BitModeBit, },
{Feature_Not64BitModeBit, },
};
namespace {
struct MatchEntry {
uint16_t Mnemonic;
uint16_t Opcode;
uint16_t ConvertFn;
uint8_t RequiredFeaturesIdx;
uint8_t Classes[9];
StringRef getMnemonic() const {
return StringRef(MnemonicTable + Mnemonic + 1,
MnemonicTable[Mnemonic]);
}
};
// Predicate for searching for an opcode.
struct LessOpcode {
bool operator()(const MatchEntry &LHS, StringRef RHS) {
return LHS.getMnemonic() < RHS;
}
bool operator()(StringRef LHS, const MatchEntry &RHS) {
return LHS < RHS.getMnemonic();
}
bool operator()(const MatchEntry &LHS, const MatchEntry &RHS) {
return LHS.getMnemonic() < RHS.getMnemonic();
}
};
} // end anonymous namespace
static const MatchEntry MatchTable0[] = {
{ 0 /* aaa */, X86::AAA, Convert_NoOperands, AMFBS_Not64BitMode, { }, },
{ 4 /* aad */, X86::AAD8i8, Convert__imm_95_10, AMFBS_Not64BitMode, { }, },
{ 4 /* aad */, X86::AAD8i8, Convert__Imm1_0, AMFBS_Not64BitMode, { MCK_Imm }, },
{ 13 /* aaddl */, X86::AADD32mr, Convert__Mem325_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_Mem32 }, },
{ 19 /* aaddq */, X86::AADD64mr, Convert__Mem645_1__Reg1_0, AMFBS_In64BitMode, { MCK_GR64, MCK_Mem64 }, },
{ 25 /* aam */, X86::AAM8i8, Convert__imm_95_10, AMFBS_Not64BitMode, { }, },
{ 25 /* aam */, X86::AAM8i8, Convert__Imm1_0, AMFBS_Not64BitMode, { MCK_Imm }, },
{ 34 /* aandl */, X86::AAND32mr, Convert__Mem325_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_Mem32 }, },
{ 40 /* aandq */, X86::AAND64mr, Convert__Mem645_1__Reg1_0, AMFBS_In64BitMode, { MCK_GR64, MCK_Mem64 }, },
{ 46 /* aas */, X86::AAS, Convert_NoOperands, AMFBS_Not64BitMode, { }, },
{ 54 /* adcb */, X86::ADC8rr, Convert__Reg1_1__Tie0_2_2__Reg1_0, AMFBS_None, { MCK_GR8, MCK_GR8 }, },
{ 54 /* adcb */, X86::ADC8mr, Convert__Mem85_1__Reg1_0, AMFBS_None, { MCK_GR8, MCK_Mem8 }, },
{ 54 /* adcb */, X86::ADC8i8, Convert__Imm1_0, AMFBS_None, { MCK_Imm, MCK_AL }, },
{ 54 /* adcb */, X86::ADC8ri, Convert__Reg1_1__Tie0_2_2__Imm1_0, AMFBS_None, { MCK_Imm, MCK_GR8 }, },
{ 54 /* adcb */, X86::ADC8mi, Convert__Mem85_1__Imm1_0, AMFBS_None, { MCK_Imm, MCK_Mem8 }, },
{ 54 /* adcb */, X86::ADC8rm, Convert__Reg1_1__Tie0_2_2__Mem85_0, AMFBS_None, { MCK_Mem8, MCK_GR8 }, },
{ 59 /* adcl */, X86::ADC32rr, Convert__Reg1_1__Tie0_2_2__Reg1_0, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 59 /* adcl */, X86::ADC32mr, Convert__Mem325_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_Mem32 }, },
{ 59 /* adcl */, X86::ADC32ri8, Convert__regEAX__Tie0_1_1__ImmSExti32i81_0, AMFBS_None, { MCK_ImmSExti32i8, MCK_EAX }, },
{ 59 /* adcl */, X86::ADC32ri8, Convert__Reg1_1__Tie0_2_2__ImmSExti32i81_0, AMFBS_None, { MCK_ImmSExti32i8, MCK_GR32 }, },
{ 59 /* adcl */, X86::ADC32mi8, Convert__Mem325_1__ImmSExti32i81_0, AMFBS_None, { MCK_ImmSExti32i8, MCK_Mem32 }, },
{ 59 /* adcl */, X86::ADC32i32, Convert__Imm1_0, AMFBS_None, { MCK_Imm, MCK_EAX }, },
{ 59 /* adcl */, X86::ADC32ri, Convert__Reg1_1__Tie0_2_2__Imm1_0, AMFBS_None, { MCK_Imm, MCK_GR32 }, },
{ 59 /* adcl */, X86::ADC32mi, Convert__Mem325_1__Imm1_0, AMFBS_None, { MCK_Imm, MCK_Mem32 }, },
{ 59 /* adcl */, X86::ADC32rm, Convert__Reg1_1__Tie0_2_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_GR32 }, },
{ 64 /* adcq */, X86::ADC64rr, Convert__Reg1_1__Tie0_2_2__Reg1_0, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 64 /* adcq */, X86::ADC64mr, Convert__Mem645_1__Reg1_0, AMFBS_None, { MCK_GR64, MCK_Mem64 }, },
{ 64 /* adcq */, X86::ADC64ri8, Convert__regRAX__Tie0_1_1__ImmSExti64i81_0, AMFBS_None, { MCK_ImmSExti64i8, MCK_RAX }, },
{ 64 /* adcq */, X86::ADC64ri8, Convert__Reg1_1__Tie0_2_2__ImmSExti64i81_0, AMFBS_None, { MCK_ImmSExti64i8, MCK_GR64 }, },
{ 64 /* adcq */, X86::ADC64mi8, Convert__Mem645_1__ImmSExti64i81_0, AMFBS_In64BitMode, { MCK_ImmSExti64i8, MCK_Mem64 }, },
{ 64 /* adcq */, X86::ADC64i32, Convert__ImmSExti64i321_0, AMFBS_None, { MCK_ImmSExti64i32, MCK_RAX }, },
{ 64 /* adcq */, X86::ADC64ri32, Convert__Reg1_1__Tie0_2_2__ImmSExti64i321_0, AMFBS_None, { MCK_ImmSExti64i32, MCK_GR64 }, },
{ 64 /* adcq */, X86::ADC64mi32, Convert__Mem645_1__ImmSExti64i321_0, AMFBS_In64BitMode, { MCK_ImmSExti64i32, MCK_Mem64 }, },
{ 64 /* adcq */, X86::ADC64rm, Convert__Reg1_1__Tie0_2_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_GR64 }, },
{ 69 /* adcw */, X86::ADC16rr, Convert__Reg1_1__Tie0_2_2__Reg1_0, AMFBS_None, { MCK_GR16, MCK_GR16 }, },
{ 69 /* adcw */, X86::ADC16mr, Convert__Mem165_1__Reg1_0, AMFBS_None, { MCK_GR16, MCK_Mem16 }, },
{ 69 /* adcw */, X86::ADC16ri8, Convert__regAX__Tie0_1_1__ImmSExti16i81_0, AMFBS_None, { MCK_ImmSExti16i8, MCK_AX }, },
{ 69 /* adcw */, X86::ADC16ri8, Convert__Reg1_1__Tie0_2_2__ImmSExti16i81_0, AMFBS_None, { MCK_ImmSExti16i8, MCK_GR16 }, },
{ 69 /* adcw */, X86::ADC16mi8, Convert__Mem165_1__ImmSExti16i81_0, AMFBS_None, { MCK_ImmSExti16i8, MCK_Mem16 }, },
{ 69 /* adcw */, X86::ADC16i16, Convert__Imm1_0, AMFBS_None, { MCK_Imm, MCK_AX }, },
{ 69 /* adcw */, X86::ADC16ri, Convert__Reg1_1__Tie0_2_2__Imm1_0, AMFBS_None, { MCK_Imm, MCK_GR16 }, },
{ 69 /* adcw */, X86::ADC16mi, Convert__Mem165_1__Imm1_0, AMFBS_None, { MCK_Imm, MCK_Mem16 }, },
{ 69 /* adcw */, X86::ADC16rm, Convert__Reg1_1__Tie0_2_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_GR16 }, },
{ 79 /* adcxl */, X86::ADCX32rr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 79 /* adcxl */, X86::ADCX32rm, Convert__Reg1_1__Tie0_1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_GR32 }, },
{ 85 /* adcxq */, X86::ADCX64rr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 85 /* adcxq */, X86::ADCX64rm, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_GR64 }, },
{ 95 /* addb */, X86::ADD8rr, Convert__Reg1_1__Tie0_2_2__Reg1_0, AMFBS_None, { MCK_GR8, MCK_GR8 }, },
{ 95 /* addb */, X86::ADD8mr, Convert__Mem85_1__Reg1_0, AMFBS_None, { MCK_GR8, MCK_Mem8 }, },
{ 95 /* addb */, X86::ADD8i8, Convert__Imm1_0, AMFBS_None, { MCK_Imm, MCK_AL }, },
{ 95 /* addb */, X86::ADD8ri, Convert__Reg1_1__Tie0_2_2__Imm1_0, AMFBS_None, { MCK_Imm, MCK_GR8 }, },
{ 95 /* addb */, X86::ADD8mi, Convert__Mem85_1__Imm1_0, AMFBS_None, { MCK_Imm, MCK_Mem8 }, },
{ 95 /* addb */, X86::ADD8rm, Convert__Reg1_1__Tie0_2_2__Mem85_0, AMFBS_None, { MCK_Mem8, MCK_GR8 }, },
{ 100 /* addl */, X86::ADD32rr, Convert__Reg1_1__Tie0_2_2__Reg1_0, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 100 /* addl */, X86::ADD32mr, Convert__Mem325_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_Mem32 }, },
{ 100 /* addl */, X86::ADD32ri8, Convert__regEAX__Tie0_1_1__ImmSExti32i81_0, AMFBS_None, { MCK_ImmSExti32i8, MCK_EAX }, },
{ 100 /* addl */, X86::ADD32ri8, Convert__Reg1_1__Tie0_2_2__ImmSExti32i81_0, AMFBS_None, { MCK_ImmSExti32i8, MCK_GR32 }, },
{ 100 /* addl */, X86::ADD32mi8, Convert__Mem325_1__ImmSExti32i81_0, AMFBS_None, { MCK_ImmSExti32i8, MCK_Mem32 }, },
{ 100 /* addl */, X86::ADD32i32, Convert__Imm1_0, AMFBS_None, { MCK_Imm, MCK_EAX }, },
{ 100 /* addl */, X86::ADD32ri, Convert__Reg1_1__Tie0_2_2__Imm1_0, AMFBS_None, { MCK_Imm, MCK_GR32 }, },
{ 100 /* addl */, X86::ADD32mi, Convert__Mem325_1__Imm1_0, AMFBS_None, { MCK_Imm, MCK_Mem32 }, },
{ 100 /* addl */, X86::ADD32rm, Convert__Reg1_1__Tie0_2_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_GR32 }, },
{ 105 /* addpd */, X86::ADDPDrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 105 /* addpd */, X86::ADDPDrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 111 /* addps */, X86::ADDPSrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 111 /* addps */, X86::ADDPSrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 117 /* addq */, X86::ADD64rr, Convert__Reg1_1__Tie0_2_2__Reg1_0, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 117 /* addq */, X86::ADD64mr, Convert__Mem645_1__Reg1_0, AMFBS_None, { MCK_GR64, MCK_Mem64 }, },
{ 117 /* addq */, X86::ADD64ri8, Convert__regRAX__Tie0_1_1__ImmSExti64i81_0, AMFBS_None, { MCK_ImmSExti64i8, MCK_RAX }, },
{ 117 /* addq */, X86::ADD64ri8, Convert__Reg1_1__Tie0_2_2__ImmSExti64i81_0, AMFBS_None, { MCK_ImmSExti64i8, MCK_GR64 }, },
{ 117 /* addq */, X86::ADD64mi8, Convert__Mem645_1__ImmSExti64i81_0, AMFBS_In64BitMode, { MCK_ImmSExti64i8, MCK_Mem64 }, },
{ 117 /* addq */, X86::ADD64i32, Convert__ImmSExti64i321_0, AMFBS_None, { MCK_ImmSExti64i32, MCK_RAX }, },
{ 117 /* addq */, X86::ADD64ri32, Convert__Reg1_1__Tie0_2_2__ImmSExti64i321_0, AMFBS_None, { MCK_ImmSExti64i32, MCK_GR64 }, },
{ 117 /* addq */, X86::ADD64mi32, Convert__Mem645_1__ImmSExti64i321_0, AMFBS_In64BitMode, { MCK_ImmSExti64i32, MCK_Mem64 }, },
{ 117 /* addq */, X86::ADD64rm, Convert__Reg1_1__Tie0_2_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_GR64 }, },
{ 122 /* addr16 */, X86::ADDR16_PREFIX, Convert_NoOperands, AMFBS_In32BitMode, { }, },
{ 129 /* addr32 */, X86::ADDR32_PREFIX, Convert_NoOperands, AMFBS_In64BitMode, { }, },
{ 136 /* addsd */, X86::ADDSDrr_Int, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 136 /* addsd */, X86::ADDSDrm_Int, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16 }, },
{ 142 /* addss */, X86::ADDSSrr_Int, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 142 /* addss */, X86::ADDSSrm_Int, Convert__Reg1_1__Tie0_1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16 }, },
{ 148 /* addsubpd */, X86::ADDSUBPDrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 148 /* addsubpd */, X86::ADDSUBPDrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 157 /* addsubps */, X86::ADDSUBPSrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 157 /* addsubps */, X86::ADDSUBPSrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 166 /* addw */, X86::ADD16rr, Convert__Reg1_1__Tie0_2_2__Reg1_0, AMFBS_None, { MCK_GR16, MCK_GR16 }, },
{ 166 /* addw */, X86::ADD16mr, Convert__Mem165_1__Reg1_0, AMFBS_None, { MCK_GR16, MCK_Mem16 }, },
{ 166 /* addw */, X86::ADD16ri8, Convert__regAX__Tie0_1_1__ImmSExti16i81_0, AMFBS_None, { MCK_ImmSExti16i8, MCK_AX }, },
{ 166 /* addw */, X86::ADD16ri8, Convert__Reg1_1__Tie0_2_2__ImmSExti16i81_0, AMFBS_None, { MCK_ImmSExti16i8, MCK_GR16 }, },
{ 166 /* addw */, X86::ADD16mi8, Convert__Mem165_1__ImmSExti16i81_0, AMFBS_None, { MCK_ImmSExti16i8, MCK_Mem16 }, },
{ 166 /* addw */, X86::ADD16i16, Convert__Imm1_0, AMFBS_None, { MCK_Imm, MCK_AX }, },
{ 166 /* addw */, X86::ADD16ri, Convert__Reg1_1__Tie0_2_2__Imm1_0, AMFBS_None, { MCK_Imm, MCK_GR16 }, },
{ 166 /* addw */, X86::ADD16mi, Convert__Mem165_1__Imm1_0, AMFBS_None, { MCK_Imm, MCK_Mem16 }, },
{ 166 /* addw */, X86::ADD16rm, Convert__Reg1_1__Tie0_2_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_GR16 }, },
{ 176 /* adoxl */, X86::ADOX32rr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 176 /* adoxl */, X86::ADOX32rm, Convert__Reg1_1__Tie0_1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_GR32 }, },
{ 182 /* adoxq */, X86::ADOX64rr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 182 /* adoxq */, X86::ADOX64rm, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_GR64 }, },
{ 188 /* aesdec */, X86::AESDECrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 188 /* aesdec */, X86::AESDECrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 195 /* aesdec128kl */, X86::AESDEC128KL, Convert__Reg1_1__Tie0_2_2__Mem5_0, AMFBS_None, { MCK_Mem, MCK_FR16 }, },
{ 207 /* aesdec256kl */, X86::AESDEC256KL, Convert__Reg1_1__Tie0_2_2__Mem5_0, AMFBS_None, { MCK_Mem, MCK_FR16 }, },
{ 219 /* aesdeclast */, X86::AESDECLASTrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 219 /* aesdeclast */, X86::AESDECLASTrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 230 /* aesdecwide128kl */, X86::AESDECWIDE128KL, Convert__Mem5_0, AMFBS_None, { MCK_Mem }, },
{ 246 /* aesdecwide256kl */, X86::AESDECWIDE256KL, Convert__Mem5_0, AMFBS_None, { MCK_Mem }, },
{ 262 /* aesenc */, X86::AESENCrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 262 /* aesenc */, X86::AESENCrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 269 /* aesenc128kl */, X86::AESENC128KL, Convert__Reg1_1__Tie0_2_2__Mem5_0, AMFBS_None, { MCK_Mem, MCK_FR16 }, },
{ 281 /* aesenc256kl */, X86::AESENC256KL, Convert__Reg1_1__Tie0_2_2__Mem5_0, AMFBS_None, { MCK_Mem, MCK_FR16 }, },
{ 293 /* aesenclast */, X86::AESENCLASTrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 293 /* aesenclast */, X86::AESENCLASTrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 304 /* aesencwide128kl */, X86::AESENCWIDE128KL, Convert__Mem5_0, AMFBS_None, { MCK_Mem }, },
{ 320 /* aesencwide256kl */, X86::AESENCWIDE256KL, Convert__Mem5_0, AMFBS_None, { MCK_Mem }, },
{ 336 /* aesimc */, X86::AESIMCrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 336 /* aesimc */, X86::AESIMCrm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 343 /* aeskeygenassist */, X86::AESKEYGENASSIST128rr, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_FR16 }, },
{ 343 /* aeskeygenassist */, X86::AESKEYGENASSIST128rm, Convert__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16 }, },
{ 363 /* andb */, X86::AND8rr, Convert__Reg1_1__Tie0_2_2__Reg1_0, AMFBS_None, { MCK_GR8, MCK_GR8 }, },
{ 363 /* andb */, X86::AND8mr, Convert__Mem85_1__Reg1_0, AMFBS_None, { MCK_GR8, MCK_Mem8 }, },
{ 363 /* andb */, X86::AND8i8, Convert__Imm1_0, AMFBS_None, { MCK_Imm, MCK_AL }, },
{ 363 /* andb */, X86::AND8ri, Convert__Reg1_1__Tie0_2_2__Imm1_0, AMFBS_None, { MCK_Imm, MCK_GR8 }, },
{ 363 /* andb */, X86::AND8mi, Convert__Mem85_1__Imm1_0, AMFBS_None, { MCK_Imm, MCK_Mem8 }, },
{ 363 /* andb */, X86::AND8rm, Convert__Reg1_1__Tie0_2_2__Mem85_0, AMFBS_None, { MCK_Mem8, MCK_GR8 }, },
{ 368 /* andl */, X86::AND32rr, Convert__Reg1_1__Tie0_2_2__Reg1_0, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 368 /* andl */, X86::AND32mr, Convert__Mem325_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_Mem32 }, },
{ 368 /* andl */, X86::AND32ri8, Convert__regEAX__Tie0_1_1__ImmSExti32i81_0, AMFBS_None, { MCK_ImmSExti32i8, MCK_EAX }, },
{ 368 /* andl */, X86::AND32ri8, Convert__Reg1_1__Tie0_2_2__ImmSExti32i81_0, AMFBS_None, { MCK_ImmSExti32i8, MCK_GR32 }, },
{ 368 /* andl */, X86::AND32mi8, Convert__Mem325_1__ImmSExti32i81_0, AMFBS_None, { MCK_ImmSExti32i8, MCK_Mem32 }, },
{ 368 /* andl */, X86::AND32i32, Convert__Imm1_0, AMFBS_None, { MCK_Imm, MCK_EAX }, },
{ 368 /* andl */, X86::AND32ri, Convert__Reg1_1__Tie0_2_2__Imm1_0, AMFBS_None, { MCK_Imm, MCK_GR32 }, },
{ 368 /* andl */, X86::AND32mi, Convert__Mem325_1__Imm1_0, AMFBS_None, { MCK_Imm, MCK_Mem32 }, },
{ 368 /* andl */, X86::AND32rm, Convert__Reg1_1__Tie0_2_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_GR32 }, },
{ 378 /* andnl */, X86::ANDN32rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_GR32, MCK_GR32 }, },
{ 378 /* andnl */, X86::ANDN32rm, Convert__Reg1_2__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_GR32, MCK_GR32 }, },
{ 384 /* andnpd */, X86::ANDNPDrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 384 /* andnpd */, X86::ANDNPDrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 391 /* andnps */, X86::ANDNPSrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 391 /* andnps */, X86::ANDNPSrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 398 /* andnq */, X86::ANDN64rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR64, MCK_GR64, MCK_GR64 }, },
{ 398 /* andnq */, X86::ANDN64rm, Convert__Reg1_2__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_GR64, MCK_GR64 }, },
{ 404 /* andpd */, X86::ANDPDrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 404 /* andpd */, X86::ANDPDrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 410 /* andps */, X86::ANDPSrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 410 /* andps */, X86::ANDPSrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 416 /* andq */, X86::AND64rr, Convert__Reg1_1__Tie0_2_2__Reg1_0, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 416 /* andq */, X86::AND64mr, Convert__Mem645_1__Reg1_0, AMFBS_None, { MCK_GR64, MCK_Mem64 }, },
{ 416 /* andq */, X86::AND64ri8, Convert__regRAX__Tie0_1_1__ImmSExti64i81_0, AMFBS_None, { MCK_ImmSExti64i8, MCK_RAX }, },
{ 416 /* andq */, X86::AND64ri8, Convert__Reg1_1__Tie0_2_2__ImmSExti64i81_0, AMFBS_None, { MCK_ImmSExti64i8, MCK_GR64 }, },
{ 416 /* andq */, X86::AND64mi8, Convert__Mem645_1__ImmSExti64i81_0, AMFBS_In64BitMode, { MCK_ImmSExti64i8, MCK_Mem64 }, },
{ 416 /* andq */, X86::AND64i32, Convert__ImmSExti64i321_0, AMFBS_None, { MCK_ImmSExti64i32, MCK_RAX }, },
{ 416 /* andq */, X86::AND64ri32, Convert__Reg1_1__Tie0_2_2__ImmSExti64i321_0, AMFBS_None, { MCK_ImmSExti64i32, MCK_GR64 }, },
{ 416 /* andq */, X86::AND64mi32, Convert__Mem645_1__ImmSExti64i321_0, AMFBS_In64BitMode, { MCK_ImmSExti64i32, MCK_Mem64 }, },
{ 416 /* andq */, X86::AND64rm, Convert__Reg1_1__Tie0_2_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_GR64 }, },
{ 421 /* andw */, X86::AND16rr, Convert__Reg1_1__Tie0_2_2__Reg1_0, AMFBS_None, { MCK_GR16, MCK_GR16 }, },
{ 421 /* andw */, X86::AND16mr, Convert__Mem165_1__Reg1_0, AMFBS_None, { MCK_GR16, MCK_Mem16 }, },
{ 421 /* andw */, X86::AND16ri8, Convert__regAX__Tie0_1_1__ImmSExti16i81_0, AMFBS_None, { MCK_ImmSExti16i8, MCK_AX }, },
{ 421 /* andw */, X86::AND16ri8, Convert__Reg1_1__Tie0_2_2__ImmSExti16i81_0, AMFBS_None, { MCK_ImmSExti16i8, MCK_GR16 }, },
{ 421 /* andw */, X86::AND16mi8, Convert__Mem165_1__ImmSExti16i81_0, AMFBS_None, { MCK_ImmSExti16i8, MCK_Mem16 }, },
{ 421 /* andw */, X86::AND16i16, Convert__Imm1_0, AMFBS_None, { MCK_Imm, MCK_AX }, },
{ 421 /* andw */, X86::AND16ri, Convert__Reg1_1__Tie0_2_2__Imm1_0, AMFBS_None, { MCK_Imm, MCK_GR16 }, },
{ 421 /* andw */, X86::AND16mi, Convert__Mem165_1__Imm1_0, AMFBS_None, { MCK_Imm, MCK_Mem16 }, },
{ 421 /* andw */, X86::AND16rm, Convert__Reg1_1__Tie0_2_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_GR16 }, },
{ 430 /* aorl */, X86::AOR32mr, Convert__Mem325_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_Mem32 }, },
{ 435 /* aorq */, X86::AOR64mr, Convert__Mem645_1__Reg1_0, AMFBS_In64BitMode, { MCK_GR64, MCK_Mem64 }, },
{ 440 /* arpl */, X86::ARPL16rr, Convert__Reg1_1__Reg1_0, AMFBS_Not64BitMode, { MCK_GR16, MCK_GR16 }, },
{ 440 /* arpl */, X86::ARPL16mr, Convert__Mem165_1__Reg1_0, AMFBS_Not64BitMode, { MCK_GR16, MCK_Mem16 }, },
{ 450 /* axorl */, X86::AXOR32mr, Convert__Mem325_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_Mem32 }, },
{ 456 /* axorq */, X86::AXOR64mr, Convert__Mem645_1__Reg1_0, AMFBS_In64BitMode, { MCK_GR64, MCK_Mem64 }, },
{ 468 /* bextrl */, X86::BEXTR32rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_GR32, MCK_GR32 }, },
{ 468 /* bextrl */, X86::BEXTR32rm, Convert__Reg1_2__Mem325_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_Mem32, MCK_GR32 }, },
{ 468 /* bextrl */, X86::BEXTRI32ri, Convert__Reg1_2__Reg1_1__Imm1_0, AMFBS_None, { MCK_Imm, MCK_GR32, MCK_GR32 }, },
{ 468 /* bextrl */, X86::BEXTRI32mi, Convert__Reg1_2__Mem325_1__Imm1_0, AMFBS_None, { MCK_Imm, MCK_Mem32, MCK_GR32 }, },
{ 475 /* bextrq */, X86::BEXTR64rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR64, MCK_GR64, MCK_GR64 }, },
{ 475 /* bextrq */, X86::BEXTR64rm, Convert__Reg1_2__Mem645_1__Reg1_0, AMFBS_None, { MCK_GR64, MCK_Mem64, MCK_GR64 }, },
{ 475 /* bextrq */, X86::BEXTRI64ri, Convert__Reg1_2__Reg1_1__ImmSExti64i321_0, AMFBS_None, { MCK_ImmSExti64i32, MCK_GR64, MCK_GR64 }, },
{ 475 /* bextrq */, X86::BEXTRI64mi, Convert__Reg1_2__Mem645_1__ImmSExti64i321_0, AMFBS_None, { MCK_ImmSExti64i32, MCK_Mem64, MCK_GR64 }, },
{ 490 /* blcfilll */, X86::BLCFILL32rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 490 /* blcfilll */, X86::BLCFILL32rm, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_GR32 }, },
{ 499 /* blcfillq */, X86::BLCFILL64rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 499 /* blcfillq */, X86::BLCFILL64rm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_GR64 }, },
{ 519 /* blcicl */, X86::BLCIC32rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 519 /* blcicl */, X86::BLCIC32rm, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_GR32 }, },
{ 526 /* blcicq */, X86::BLCIC64rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 526 /* blcicq */, X86::BLCIC64rm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_GR64 }, },
{ 533 /* blcil */, X86::BLCI32rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 533 /* blcil */, X86::BLCI32rm, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_GR32 }, },
{ 539 /* blciq */, X86::BLCI64rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 539 /* blciq */, X86::BLCI64rm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_GR64 }, },
{ 552 /* blcmskl */, X86::BLCMSK32rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 552 /* blcmskl */, X86::BLCMSK32rm, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_GR32 }, },
{ 560 /* blcmskq */, X86::BLCMSK64rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 560 /* blcmskq */, X86::BLCMSK64rm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_GR64 }, },
{ 573 /* blcsl */, X86::BLCS32rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 573 /* blcsl */, X86::BLCS32rm, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_GR32 }, },
{ 579 /* blcsq */, X86::BLCS64rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 579 /* blcsq */, X86::BLCS64rm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_GR64 }, },
{ 585 /* blendpd */, X86::BLENDPDrri, Convert__Reg1_2__Tie0_1_1__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_FR16 }, },
{ 585 /* blendpd */, X86::BLENDPDrmi, Convert__Reg1_2__Tie0_1_1__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16 }, },
{ 593 /* blendps */, X86::BLENDPSrri, Convert__Reg1_2__Tie0_1_1__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_FR16 }, },
{ 593 /* blendps */, X86::BLENDPSrmi, Convert__Reg1_2__Tie0_1_1__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16 }, },
{ 601 /* blendvpd */, X86::BLENDVPDrr0, Convert__Reg1_1__Tie0_2_2__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 601 /* blendvpd */, X86::BLENDVPDrm0, Convert__Reg1_1__Tie0_2_2__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 601 /* blendvpd */, X86::BLENDVPDrr0, Convert__Reg1_2__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_XMM0, MCK_FR16, MCK_FR16 }, },
{ 601 /* blendvpd */, X86::BLENDVPDrm0, Convert__Reg1_2__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_XMM0, MCK_Mem128, MCK_FR16 }, },
{ 610 /* blendvps */, X86::BLENDVPSrr0, Convert__Reg1_1__Tie0_2_2__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 610 /* blendvps */, X86::BLENDVPSrm0, Convert__Reg1_1__Tie0_2_2__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 610 /* blendvps */, X86::BLENDVPSrr0, Convert__Reg1_2__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_XMM0, MCK_FR16, MCK_FR16 }, },
{ 610 /* blendvps */, X86::BLENDVPSrm0, Convert__Reg1_2__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_XMM0, MCK_Mem128, MCK_FR16 }, },
{ 627 /* blsfilll */, X86::BLSFILL32rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 627 /* blsfilll */, X86::BLSFILL32rm, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_GR32 }, },
{ 636 /* blsfillq */, X86::BLSFILL64rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 636 /* blsfillq */, X86::BLSFILL64rm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_GR64 }, },
{ 656 /* blsicl */, X86::BLSIC32rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 656 /* blsicl */, X86::BLSIC32rm, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_GR32 }, },
{ 663 /* blsicq */, X86::BLSIC64rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 663 /* blsicq */, X86::BLSIC64rm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_GR64 }, },
{ 670 /* blsil */, X86::BLSI32rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 670 /* blsil */, X86::BLSI32rm, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_GR32 }, },
{ 676 /* blsiq */, X86::BLSI64rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 676 /* blsiq */, X86::BLSI64rm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_GR64 }, },
{ 689 /* blsmskl */, X86::BLSMSK32rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 689 /* blsmskl */, X86::BLSMSK32rm, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_GR32 }, },
{ 697 /* blsmskq */, X86::BLSMSK64rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 697 /* blsmskq */, X86::BLSMSK64rm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_GR64 }, },
{ 710 /* blsrl */, X86::BLSR32rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 710 /* blsrl */, X86::BLSR32rm, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_GR32 }, },
{ 716 /* blsrq */, X86::BLSR64rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 716 /* blsrq */, X86::BLSR64rm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_GR64 }, },
{ 722 /* bound */, X86::BOUNDS16rm, Convert__Reg1_0__Mem165_1, AMFBS_Not64BitMode, { MCK_GR16, MCK_Mem16 }, },
{ 722 /* bound */, X86::BOUNDS32rm, Convert__Reg1_0__Mem325_1, AMFBS_Not64BitMode, { MCK_GR32, MCK_Mem32 }, },
{ 732 /* bsfl */, X86::BSF32rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 732 /* bsfl */, X86::BSF32rm, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_GR32 }, },
{ 737 /* bsfq */, X86::BSF64rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 737 /* bsfq */, X86::BSF64rm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_GR64 }, },
{ 742 /* bsfw */, X86::BSF16rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR16, MCK_GR16 }, },
{ 742 /* bsfw */, X86::BSF16rm, Convert__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_GR16 }, },
{ 751 /* bsrl */, X86::BSR32rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 751 /* bsrl */, X86::BSR32rm, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_GR32 }, },
{ 756 /* bsrq */, X86::BSR64rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 756 /* bsrq */, X86::BSR64rm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_GR64 }, },
{ 761 /* bsrw */, X86::BSR16rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR16, MCK_GR16 }, },
{ 761 /* bsrw */, X86::BSR16rm, Convert__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_GR16 }, },
{ 772 /* bswapl */, X86::BSWAP32r, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR32 }, },
{ 779 /* bswapq */, X86::BSWAP64r, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR64 }, },
{ 786 /* bt */, X86::BT32mi8, Convert__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32 }, },
{ 789 /* btc */, X86::BTC32mi8, Convert__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32 }, },
{ 793 /* btcl */, X86::BTC32rr, Convert__Reg1_1__Tie0_2_2__Reg1_0, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 793 /* btcl */, X86::BTC32mr, Convert__Mem325_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_Mem32 }, },
{ 793 /* btcl */, X86::BTC32ri8, Convert__Reg1_1__Tie0_2_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_GR32 }, },
{ 793 /* btcl */, X86::BTC32mi8, Convert__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32 }, },
{ 798 /* btcq */, X86::BTC64rr, Convert__Reg1_1__Tie0_2_2__Reg1_0, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 798 /* btcq */, X86::BTC64mr, Convert__Mem645_1__Reg1_0, AMFBS_None, { MCK_GR64, MCK_Mem64 }, },
{ 798 /* btcq */, X86::BTC64ri8, Convert__Reg1_1__Tie0_2_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_GR64 }, },
{ 798 /* btcq */, X86::BTC64mi8, Convert__Mem645_1__ImmUnsignedi81_0, AMFBS_In64BitMode, { MCK_ImmUnsignedi8, MCK_Mem64 }, },
{ 803 /* btcw */, X86::BTC16rr, Convert__Reg1_1__Tie0_2_2__Reg1_0, AMFBS_None, { MCK_GR16, MCK_GR16 }, },
{ 803 /* btcw */, X86::BTC16mr, Convert__Mem165_1__Reg1_0, AMFBS_None, { MCK_GR16, MCK_Mem16 }, },
{ 803 /* btcw */, X86::BTC16ri8, Convert__Reg1_1__Tie0_2_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_GR16 }, },
{ 803 /* btcw */, X86::BTC16mi8, Convert__Mem165_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem16 }, },
{ 808 /* btl */, X86::BT32rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 808 /* btl */, X86::BT32mr, Convert__Mem325_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_Mem32 }, },
{ 808 /* btl */, X86::BT32ri8, Convert__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_GR32 }, },
{ 808 /* btl */, X86::BT32mi8, Convert__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32 }, },
{ 812 /* btq */, X86::BT64rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 812 /* btq */, X86::BT64mr, Convert__Mem645_1__Reg1_0, AMFBS_None, { MCK_GR64, MCK_Mem64 }, },
{ 812 /* btq */, X86::BT64ri8, Convert__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_GR64 }, },
{ 812 /* btq */, X86::BT64mi8, Convert__Mem645_1__ImmUnsignedi81_0, AMFBS_In64BitMode, { MCK_ImmUnsignedi8, MCK_Mem64 }, },
{ 816 /* btr */, X86::BTR32mi8, Convert__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32 }, },
{ 820 /* btrl */, X86::BTR32rr, Convert__Reg1_1__Tie0_2_2__Reg1_0, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 820 /* btrl */, X86::BTR32mr, Convert__Mem325_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_Mem32 }, },
{ 820 /* btrl */, X86::BTR32ri8, Convert__Reg1_1__Tie0_2_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_GR32 }, },
{ 820 /* btrl */, X86::BTR32mi8, Convert__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32 }, },
{ 825 /* btrq */, X86::BTR64rr, Convert__Reg1_1__Tie0_2_2__Reg1_0, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 825 /* btrq */, X86::BTR64mr, Convert__Mem645_1__Reg1_0, AMFBS_None, { MCK_GR64, MCK_Mem64 }, },
{ 825 /* btrq */, X86::BTR64ri8, Convert__Reg1_1__Tie0_2_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_GR64 }, },
{ 825 /* btrq */, X86::BTR64mi8, Convert__Mem645_1__ImmUnsignedi81_0, AMFBS_In64BitMode, { MCK_ImmUnsignedi8, MCK_Mem64 }, },
{ 830 /* btrw */, X86::BTR16rr, Convert__Reg1_1__Tie0_2_2__Reg1_0, AMFBS_None, { MCK_GR16, MCK_GR16 }, },
{ 830 /* btrw */, X86::BTR16mr, Convert__Mem165_1__Reg1_0, AMFBS_None, { MCK_GR16, MCK_Mem16 }, },
{ 830 /* btrw */, X86::BTR16ri8, Convert__Reg1_1__Tie0_2_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_GR16 }, },
{ 830 /* btrw */, X86::BTR16mi8, Convert__Mem165_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem16 }, },
{ 835 /* bts */, X86::BTS32mi8, Convert__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32 }, },
{ 839 /* btsl */, X86::BTS32rr, Convert__Reg1_1__Tie0_2_2__Reg1_0, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 839 /* btsl */, X86::BTS32mr, Convert__Mem325_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_Mem32 }, },
{ 839 /* btsl */, X86::BTS32ri8, Convert__Reg1_1__Tie0_2_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_GR32 }, },
{ 839 /* btsl */, X86::BTS32mi8, Convert__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32 }, },
{ 844 /* btsq */, X86::BTS64rr, Convert__Reg1_1__Tie0_2_2__Reg1_0, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 844 /* btsq */, X86::BTS64mr, Convert__Mem645_1__Reg1_0, AMFBS_None, { MCK_GR64, MCK_Mem64 }, },
{ 844 /* btsq */, X86::BTS64ri8, Convert__Reg1_1__Tie0_2_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_GR64 }, },
{ 844 /* btsq */, X86::BTS64mi8, Convert__Mem645_1__ImmUnsignedi81_0, AMFBS_In64BitMode, { MCK_ImmUnsignedi8, MCK_Mem64 }, },
{ 849 /* btsw */, X86::BTS16rr, Convert__Reg1_1__Tie0_2_2__Reg1_0, AMFBS_None, { MCK_GR16, MCK_GR16 }, },
{ 849 /* btsw */, X86::BTS16mr, Convert__Mem165_1__Reg1_0, AMFBS_None, { MCK_GR16, MCK_Mem16 }, },
{ 849 /* btsw */, X86::BTS16ri8, Convert__Reg1_1__Tie0_2_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_GR16 }, },
{ 849 /* btsw */, X86::BTS16mi8, Convert__Mem165_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem16 }, },
{ 854 /* btw */, X86::BT16rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR16, MCK_GR16 }, },
{ 854 /* btw */, X86::BT16mr, Convert__Mem165_1__Reg1_0, AMFBS_None, { MCK_GR16, MCK_Mem16 }, },
{ 854 /* btw */, X86::BT16ri8, Convert__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_GR16 }, },
{ 854 /* btw */, X86::BT16mi8, Convert__Mem165_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem16 }, },
{ 863 /* bzhil */, X86::BZHI32rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_GR32, MCK_GR32 }, },
{ 863 /* bzhil */, X86::BZHI32rm, Convert__Reg1_2__Mem325_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_Mem32, MCK_GR32 }, },
{ 869 /* bzhiq */, X86::BZHI64rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR64, MCK_GR64, MCK_GR64 }, },
{ 869 /* bzhiq */, X86::BZHI64rm, Convert__Reg1_2__Mem645_1__Reg1_0, AMFBS_None, { MCK_GR64, MCK_Mem64, MCK_GR64 }, },
{ 875 /* call */, X86::FARCALL16i, Convert__Imm1_1__Imm1_0, AMFBS_In16BitMode, { MCK_Imm, MCK_Imm }, },
{ 875 /* call */, X86::FARCALL32i, Convert__Imm1_1__Imm1_0, AMFBS_In32BitMode, { MCK_Imm, MCK_Imm }, },
{ 880 /* calll */, X86::CALLpcrel32, Convert__AbsMem1_0, AMFBS_Not64BitMode, { MCK_AbsMem }, },
{ 880 /* calll */, X86::CALL32r, Convert__Reg1_1, AMFBS_Not64BitMode, { MCK__STAR_, MCK_GR32 }, },
{ 880 /* calll */, X86::CALL32m, Convert__Mem325_1, AMFBS_Not64BitMode, { MCK__STAR_, MCK_Mem32 }, },
{ 880 /* calll */, X86::FARCALL32i, Convert__Imm1_1__Imm1_0, AMFBS_Not64BitMode, { MCK_Imm, MCK_Imm }, },
{ 886 /* callq */, X86::CALL64pcrel32, Convert__AbsMem1_0, AMFBS_In64BitMode, { MCK_AbsMem }, },
{ 886 /* callq */, X86::CALL64r, Convert__Reg1_1, AMFBS_In64BitMode, { MCK__STAR_, MCK_GR64 }, },
{ 886 /* callq */, X86::CALL64m, Convert__Mem645_1, AMFBS_In64BitMode, { MCK__STAR_, MCK_Mem64 }, },
{ 892 /* callw */, X86::CALLpcrel16, Convert__AbsMem1_0, AMFBS_None, { MCK_AbsMem }, },
{ 892 /* callw */, X86::CALL16r, Convert__Reg1_1, AMFBS_Not64BitMode, { MCK__STAR_, MCK_GR16 }, },
{ 892 /* callw */, X86::CALL16m, Convert__Mem165_1, AMFBS_Not64BitMode, { MCK__STAR_, MCK_Mem16 }, },
{ 892 /* callw */, X86::FARCALL16i, Convert__Imm1_1__Imm1_0, AMFBS_Not64BitMode, { MCK_Imm, MCK_Imm }, },
{ 898 /* cbtw */, X86::CBW, Convert_NoOperands, AMFBS_None, { }, },
{ 916 /* clac */, X86::CLAC, Convert_NoOperands, AMFBS_None, { }, },
{ 921 /* clc */, X86::CLC, Convert_NoOperands, AMFBS_None, { }, },
{ 925 /* cld */, X86::CLD, Convert_NoOperands, AMFBS_None, { }, },
{ 929 /* cldemote */, X86::CLDEMOTE, Convert__Mem85_0, AMFBS_None, { MCK_Mem8 }, },
{ 938 /* clflush */, X86::CLFLUSH, Convert__Mem85_0, AMFBS_None, { MCK_Mem8 }, },
{ 946 /* clflushopt */, X86::CLFLUSHOPT, Convert__Mem85_0, AMFBS_None, { MCK_Mem8 }, },
{ 957 /* clgi */, X86::CLGI, Convert_NoOperands, AMFBS_None, { }, },
{ 962 /* cli */, X86::CLI, Convert_NoOperands, AMFBS_None, { }, },
{ 970 /* clrb */, X86::XOR8rr, Convert__Reg1_0__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_GR8 }, },
{ 975 /* clrl */, X86::XOR32rr, Convert__Reg1_0__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_GR32 }, },
{ 980 /* clrq */, X86::XOR64rr, Convert__Reg1_0__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_GR64 }, },
{ 985 /* clrssbsy */, X86::CLRSSBSY, Convert__Mem325_0, AMFBS_None, { MCK_Mem32 }, },
{ 994 /* clrw */, X86::XOR16rr, Convert__Reg1_0__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_GR16 }, },
{ 999 /* cltd */, X86::CDQ, Convert_NoOperands, AMFBS_None, { }, },
{ 1004 /* cltq */, X86::CDQE, Convert_NoOperands, AMFBS_In64BitMode, { }, },
{ 1009 /* clts */, X86::CLTS, Convert_NoOperands, AMFBS_None, { }, },
{ 1014 /* clui */, X86::CLUI, Convert_NoOperands, AMFBS_In64BitMode, { }, },
{ 1019 /* clwb */, X86::CLWB, Convert__Mem85_0, AMFBS_None, { MCK_Mem8 }, },
{ 1024 /* clzero */, X86::CLZERO32r, Convert_NoOperands, AMFBS_Not64BitMode, { }, },
{ 1024 /* clzero */, X86::CLZERO64r, Convert_NoOperands, AMFBS_In64BitMode, { }, },
{ 1024 /* clzero */, X86::CLZERO32r, Convert_NoOperands, AMFBS_Not64BitMode, { MCK_EAX }, },
{ 1024 /* clzero */, X86::CLZERO64r, Convert_NoOperands, AMFBS_In64BitMode, { MCK_RAX }, },
{ 1031 /* cmc */, X86::CMC, Convert_NoOperands, AMFBS_None, { }, },
{ 1048 /* cmovael */, X86::CMOV32rr, Convert__Reg1_1__Tie0_2_2__Reg1_0__imm_95_3, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 1048 /* cmovael */, X86::CMOV32rm, Convert__Reg1_1__Tie0_2_2__Mem325_0__imm_95_3, AMFBS_None, { MCK_Mem32, MCK_GR32 }, },
{ 1056 /* cmovaeq */, X86::CMOV64rr, Convert__Reg1_1__Tie0_2_2__Reg1_0__imm_95_3, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 1056 /* cmovaeq */, X86::CMOV64rm, Convert__Reg1_1__Tie0_2_2__Mem645_0__imm_95_3, AMFBS_None, { MCK_Mem64, MCK_GR64 }, },
{ 1064 /* cmovaew */, X86::CMOV16rr, Convert__Reg1_1__Tie0_2_2__Reg1_0__imm_95_3, AMFBS_None, { MCK_GR16, MCK_GR16 }, },
{ 1064 /* cmovaew */, X86::CMOV16rm, Convert__Reg1_1__Tie0_2_2__Mem165_0__imm_95_3, AMFBS_None, { MCK_Mem16, MCK_GR16 }, },
{ 1072 /* cmoval */, X86::CMOV32rr, Convert__Reg1_1__Tie0_2_2__Reg1_0__imm_95_7, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 1072 /* cmoval */, X86::CMOV32rm, Convert__Reg1_1__Tie0_2_2__Mem325_0__imm_95_7, AMFBS_None, { MCK_Mem32, MCK_GR32 }, },
{ 1079 /* cmovaq */, X86::CMOV64rr, Convert__Reg1_1__Tie0_2_2__Reg1_0__imm_95_7, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 1079 /* cmovaq */, X86::CMOV64rm, Convert__Reg1_1__Tie0_2_2__Mem645_0__imm_95_7, AMFBS_None, { MCK_Mem64, MCK_GR64 }, },
{ 1086 /* cmovaw */, X86::CMOV16rr, Convert__Reg1_1__Tie0_2_2__Reg1_0__imm_95_7, AMFBS_None, { MCK_GR16, MCK_GR16 }, },
{ 1086 /* cmovaw */, X86::CMOV16rm, Convert__Reg1_1__Tie0_2_2__Mem165_0__imm_95_7, AMFBS_None, { MCK_Mem16, MCK_GR16 }, },
{ 1106 /* cmovbel */, X86::CMOV32rr, Convert__Reg1_1__Tie0_2_2__Reg1_0__imm_95_6, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 1106 /* cmovbel */, X86::CMOV32rm, Convert__Reg1_1__Tie0_2_2__Mem325_0__imm_95_6, AMFBS_None, { MCK_Mem32, MCK_GR32 }, },
{ 1114 /* cmovbeq */, X86::CMOV64rr, Convert__Reg1_1__Tie0_2_2__Reg1_0__imm_95_6, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 1114 /* cmovbeq */, X86::CMOV64rm, Convert__Reg1_1__Tie0_2_2__Mem645_0__imm_95_6, AMFBS_None, { MCK_Mem64, MCK_GR64 }, },
{ 1122 /* cmovbew */, X86::CMOV16rr, Convert__Reg1_1__Tie0_2_2__Reg1_0__imm_95_6, AMFBS_None, { MCK_GR16, MCK_GR16 }, },
{ 1122 /* cmovbew */, X86::CMOV16rm, Convert__Reg1_1__Tie0_2_2__Mem165_0__imm_95_6, AMFBS_None, { MCK_Mem16, MCK_GR16 }, },
{ 1130 /* cmovbl */, X86::CMOV32rr, Convert__Reg1_1__Tie0_2_2__Reg1_0__imm_95_2, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 1130 /* cmovbl */, X86::CMOV32rm, Convert__Reg1_1__Tie0_2_2__Mem325_0__imm_95_2, AMFBS_None, { MCK_Mem32, MCK_GR32 }, },
{ 1137 /* cmovbq */, X86::CMOV64rr, Convert__Reg1_1__Tie0_2_2__Reg1_0__imm_95_2, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 1137 /* cmovbq */, X86::CMOV64rm, Convert__Reg1_1__Tie0_2_2__Mem645_0__imm_95_2, AMFBS_None, { MCK_Mem64, MCK_GR64 }, },
{ 1144 /* cmovbw */, X86::CMOV16rr, Convert__Reg1_1__Tie0_2_2__Reg1_0__imm_95_2, AMFBS_None, { MCK_GR16, MCK_GR16 }, },
{ 1144 /* cmovbw */, X86::CMOV16rm, Convert__Reg1_1__Tie0_2_2__Mem165_0__imm_95_2, AMFBS_None, { MCK_Mem16, MCK_GR16 }, },
{ 1157 /* cmovel */, X86::CMOV32rr, Convert__Reg1_1__Tie0_2_2__Reg1_0__imm_95_4, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 1157 /* cmovel */, X86::CMOV32rm, Convert__Reg1_1__Tie0_2_2__Mem325_0__imm_95_4, AMFBS_None, { MCK_Mem32, MCK_GR32 }, },
{ 1164 /* cmoveq */, X86::CMOV64rr, Convert__Reg1_1__Tie0_2_2__Reg1_0__imm_95_4, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 1164 /* cmoveq */, X86::CMOV64rm, Convert__Reg1_1__Tie0_2_2__Mem645_0__imm_95_4, AMFBS_None, { MCK_Mem64, MCK_GR64 }, },
{ 1171 /* cmovew */, X86::CMOV16rr, Convert__Reg1_1__Tie0_2_2__Reg1_0__imm_95_4, AMFBS_None, { MCK_GR16, MCK_GR16 }, },
{ 1171 /* cmovew */, X86::CMOV16rm, Convert__Reg1_1__Tie0_2_2__Mem165_0__imm_95_4, AMFBS_None, { MCK_Mem16, MCK_GR16 }, },
{ 1191 /* cmovgel */, X86::CMOV32rr, Convert__Reg1_1__Tie0_2_2__Reg1_0__imm_95_13, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 1191 /* cmovgel */, X86::CMOV32rm, Convert__Reg1_1__Tie0_2_2__Mem325_0__imm_95_13, AMFBS_None, { MCK_Mem32, MCK_GR32 }, },
{ 1199 /* cmovgeq */, X86::CMOV64rr, Convert__Reg1_1__Tie0_2_2__Reg1_0__imm_95_13, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 1199 /* cmovgeq */, X86::CMOV64rm, Convert__Reg1_1__Tie0_2_2__Mem645_0__imm_95_13, AMFBS_None, { MCK_Mem64, MCK_GR64 }, },
{ 1207 /* cmovgew */, X86::CMOV16rr, Convert__Reg1_1__Tie0_2_2__Reg1_0__imm_95_13, AMFBS_None, { MCK_GR16, MCK_GR16 }, },
{ 1207 /* cmovgew */, X86::CMOV16rm, Convert__Reg1_1__Tie0_2_2__Mem165_0__imm_95_13, AMFBS_None, { MCK_Mem16, MCK_GR16 }, },
{ 1215 /* cmovgl */, X86::CMOV32rr, Convert__Reg1_1__Tie0_2_2__Reg1_0__imm_95_15, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 1215 /* cmovgl */, X86::CMOV32rm, Convert__Reg1_1__Tie0_2_2__Mem325_0__imm_95_15, AMFBS_None, { MCK_Mem32, MCK_GR32 }, },
{ 1222 /* cmovgq */, X86::CMOV64rr, Convert__Reg1_1__Tie0_2_2__Reg1_0__imm_95_15, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 1222 /* cmovgq */, X86::CMOV64rm, Convert__Reg1_1__Tie0_2_2__Mem645_0__imm_95_15, AMFBS_None, { MCK_Mem64, MCK_GR64 }, },
{ 1229 /* cmovgw */, X86::CMOV16rr, Convert__Reg1_1__Tie0_2_2__Reg1_0__imm_95_15, AMFBS_None, { MCK_GR16, MCK_GR16 }, },
{ 1229 /* cmovgw */, X86::CMOV16rm, Convert__Reg1_1__Tie0_2_2__Mem165_0__imm_95_15, AMFBS_None, { MCK_Mem16, MCK_GR16 }, },
{ 1249 /* cmovlel */, X86::CMOV32rr, Convert__Reg1_1__Tie0_2_2__Reg1_0__imm_95_14, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 1249 /* cmovlel */, X86::CMOV32rm, Convert__Reg1_1__Tie0_2_2__Mem325_0__imm_95_14, AMFBS_None, { MCK_Mem32, MCK_GR32 }, },
{ 1257 /* cmovleq */, X86::CMOV64rr, Convert__Reg1_1__Tie0_2_2__Reg1_0__imm_95_14, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 1257 /* cmovleq */, X86::CMOV64rm, Convert__Reg1_1__Tie0_2_2__Mem645_0__imm_95_14, AMFBS_None, { MCK_Mem64, MCK_GR64 }, },
{ 1265 /* cmovlew */, X86::CMOV16rr, Convert__Reg1_1__Tie0_2_2__Reg1_0__imm_95_14, AMFBS_None, { MCK_GR16, MCK_GR16 }, },
{ 1265 /* cmovlew */, X86::CMOV16rm, Convert__Reg1_1__Tie0_2_2__Mem165_0__imm_95_14, AMFBS_None, { MCK_Mem16, MCK_GR16 }, },
{ 1273 /* cmovll */, X86::CMOV32rr, Convert__Reg1_1__Tie0_2_2__Reg1_0__imm_95_12, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 1273 /* cmovll */, X86::CMOV32rm, Convert__Reg1_1__Tie0_2_2__Mem325_0__imm_95_12, AMFBS_None, { MCK_Mem32, MCK_GR32 }, },
{ 1280 /* cmovlq */, X86::CMOV64rr, Convert__Reg1_1__Tie0_2_2__Reg1_0__imm_95_12, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 1280 /* cmovlq */, X86::CMOV64rm, Convert__Reg1_1__Tie0_2_2__Mem645_0__imm_95_12, AMFBS_None, { MCK_Mem64, MCK_GR64 }, },
{ 1287 /* cmovlw */, X86::CMOV16rr, Convert__Reg1_1__Tie0_2_2__Reg1_0__imm_95_12, AMFBS_None, { MCK_GR16, MCK_GR16 }, },
{ 1287 /* cmovlw */, X86::CMOV16rm, Convert__Reg1_1__Tie0_2_2__Mem165_0__imm_95_12, AMFBS_None, { MCK_Mem16, MCK_GR16 }, },
{ 1301 /* cmovnel */, X86::CMOV32rr, Convert__Reg1_1__Tie0_2_2__Reg1_0__imm_95_5, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 1301 /* cmovnel */, X86::CMOV32rm, Convert__Reg1_1__Tie0_2_2__Mem325_0__imm_95_5, AMFBS_None, { MCK_Mem32, MCK_GR32 }, },
{ 1309 /* cmovneq */, X86::CMOV64rr, Convert__Reg1_1__Tie0_2_2__Reg1_0__imm_95_5, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 1309 /* cmovneq */, X86::CMOV64rm, Convert__Reg1_1__Tie0_2_2__Mem645_0__imm_95_5, AMFBS_None, { MCK_Mem64, MCK_GR64 }, },
{ 1317 /* cmovnew */, X86::CMOV16rr, Convert__Reg1_1__Tie0_2_2__Reg1_0__imm_95_5, AMFBS_None, { MCK_GR16, MCK_GR16 }, },
{ 1317 /* cmovnew */, X86::CMOV16rm, Convert__Reg1_1__Tie0_2_2__Mem165_0__imm_95_5, AMFBS_None, { MCK_Mem16, MCK_GR16 }, },
{ 1332 /* cmovnol */, X86::CMOV32rr, Convert__Reg1_1__Tie0_2_2__Reg1_0__imm_95_1, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 1332 /* cmovnol */, X86::CMOV32rm, Convert__Reg1_1__Tie0_2_2__Mem325_0__imm_95_1, AMFBS_None, { MCK_Mem32, MCK_GR32 }, },
{ 1340 /* cmovnoq */, X86::CMOV64rr, Convert__Reg1_1__Tie0_2_2__Reg1_0__imm_95_1, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 1340 /* cmovnoq */, X86::CMOV64rm, Convert__Reg1_1__Tie0_2_2__Mem645_0__imm_95_1, AMFBS_None, { MCK_Mem64, MCK_GR64 }, },
{ 1348 /* cmovnow */, X86::CMOV16rr, Convert__Reg1_1__Tie0_2_2__Reg1_0__imm_95_1, AMFBS_None, { MCK_GR16, MCK_GR16 }, },
{ 1348 /* cmovnow */, X86::CMOV16rm, Convert__Reg1_1__Tie0_2_2__Mem165_0__imm_95_1, AMFBS_None, { MCK_Mem16, MCK_GR16 }, },
{ 1363 /* cmovnpl */, X86::CMOV32rr, Convert__Reg1_1__Tie0_2_2__Reg1_0__imm_95_11, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 1363 /* cmovnpl */, X86::CMOV32rm, Convert__Reg1_1__Tie0_2_2__Mem325_0__imm_95_11, AMFBS_None, { MCK_Mem32, MCK_GR32 }, },
{ 1371 /* cmovnpq */, X86::CMOV64rr, Convert__Reg1_1__Tie0_2_2__Reg1_0__imm_95_11, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 1371 /* cmovnpq */, X86::CMOV64rm, Convert__Reg1_1__Tie0_2_2__Mem645_0__imm_95_11, AMFBS_None, { MCK_Mem64, MCK_GR64 }, },
{ 1379 /* cmovnpw */, X86::CMOV16rr, Convert__Reg1_1__Tie0_2_2__Reg1_0__imm_95_11, AMFBS_None, { MCK_GR16, MCK_GR16 }, },
{ 1379 /* cmovnpw */, X86::CMOV16rm, Convert__Reg1_1__Tie0_2_2__Mem165_0__imm_95_11, AMFBS_None, { MCK_Mem16, MCK_GR16 }, },
{ 1394 /* cmovnsl */, X86::CMOV32rr, Convert__Reg1_1__Tie0_2_2__Reg1_0__imm_95_9, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 1394 /* cmovnsl */, X86::CMOV32rm, Convert__Reg1_1__Tie0_2_2__Mem325_0__imm_95_9, AMFBS_None, { MCK_Mem32, MCK_GR32 }, },
{ 1402 /* cmovnsq */, X86::CMOV64rr, Convert__Reg1_1__Tie0_2_2__Reg1_0__imm_95_9, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 1402 /* cmovnsq */, X86::CMOV64rm, Convert__Reg1_1__Tie0_2_2__Mem645_0__imm_95_9, AMFBS_None, { MCK_Mem64, MCK_GR64 }, },
{ 1410 /* cmovnsw */, X86::CMOV16rr, Convert__Reg1_1__Tie0_2_2__Reg1_0__imm_95_9, AMFBS_None, { MCK_GR16, MCK_GR16 }, },
{ 1410 /* cmovnsw */, X86::CMOV16rm, Convert__Reg1_1__Tie0_2_2__Mem165_0__imm_95_9, AMFBS_None, { MCK_Mem16, MCK_GR16 }, },
{ 1424 /* cmovol */, X86::CMOV32rr, Convert__Reg1_1__Tie0_2_2__Reg1_0__imm_95_0, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 1424 /* cmovol */, X86::CMOV32rm, Convert__Reg1_1__Tie0_2_2__Mem325_0__imm_95_0, AMFBS_None, { MCK_Mem32, MCK_GR32 }, },
{ 1431 /* cmovoq */, X86::CMOV64rr, Convert__Reg1_1__Tie0_2_2__Reg1_0__imm_95_0, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 1431 /* cmovoq */, X86::CMOV64rm, Convert__Reg1_1__Tie0_2_2__Mem645_0__imm_95_0, AMFBS_None, { MCK_Mem64, MCK_GR64 }, },
{ 1438 /* cmovow */, X86::CMOV16rr, Convert__Reg1_1__Tie0_2_2__Reg1_0__imm_95_0, AMFBS_None, { MCK_GR16, MCK_GR16 }, },
{ 1438 /* cmovow */, X86::CMOV16rm, Convert__Reg1_1__Tie0_2_2__Mem165_0__imm_95_0, AMFBS_None, { MCK_Mem16, MCK_GR16 }, },
{ 1451 /* cmovpl */, X86::CMOV32rr, Convert__Reg1_1__Tie0_2_2__Reg1_0__imm_95_10, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 1451 /* cmovpl */, X86::CMOV32rm, Convert__Reg1_1__Tie0_2_2__Mem325_0__imm_95_10, AMFBS_None, { MCK_Mem32, MCK_GR32 }, },
{ 1458 /* cmovpq */, X86::CMOV64rr, Convert__Reg1_1__Tie0_2_2__Reg1_0__imm_95_10, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 1458 /* cmovpq */, X86::CMOV64rm, Convert__Reg1_1__Tie0_2_2__Mem645_0__imm_95_10, AMFBS_None, { MCK_Mem64, MCK_GR64 }, },
{ 1465 /* cmovpw */, X86::CMOV16rr, Convert__Reg1_1__Tie0_2_2__Reg1_0__imm_95_10, AMFBS_None, { MCK_GR16, MCK_GR16 }, },
{ 1465 /* cmovpw */, X86::CMOV16rm, Convert__Reg1_1__Tie0_2_2__Mem165_0__imm_95_10, AMFBS_None, { MCK_Mem16, MCK_GR16 }, },
{ 1478 /* cmovsl */, X86::CMOV32rr, Convert__Reg1_1__Tie0_2_2__Reg1_0__imm_95_8, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 1478 /* cmovsl */, X86::CMOV32rm, Convert__Reg1_1__Tie0_2_2__Mem325_0__imm_95_8, AMFBS_None, { MCK_Mem32, MCK_GR32 }, },
{ 1485 /* cmovsq */, X86::CMOV64rr, Convert__Reg1_1__Tie0_2_2__Reg1_0__imm_95_8, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 1485 /* cmovsq */, X86::CMOV64rm, Convert__Reg1_1__Tie0_2_2__Mem645_0__imm_95_8, AMFBS_None, { MCK_Mem64, MCK_GR64 }, },
{ 1492 /* cmovsw */, X86::CMOV16rr, Convert__Reg1_1__Tie0_2_2__Reg1_0__imm_95_8, AMFBS_None, { MCK_GR16, MCK_GR16 }, },
{ 1492 /* cmovsw */, X86::CMOV16rm, Convert__Reg1_1__Tie0_2_2__Mem165_0__imm_95_8, AMFBS_None, { MCK_Mem16, MCK_GR16 }, },
{ 1503 /* cmpaexadd */, X86::CMPCCXADDmr32, Convert__Reg1_1__Tie0_2_2__Mem325_2__Reg1_0__imm_95_3, AMFBS_None, { MCK_GR32, MCK_GR32, MCK_Mem32 }, },
{ 1503 /* cmpaexadd */, X86::CMPCCXADDmr64, Convert__Reg1_1__Tie0_2_2__Mem645_2__Reg1_0__imm_95_3, AMFBS_None, { MCK_GR64, MCK_GR64, MCK_Mem64 }, },
{ 1513 /* cmpaxadd */, X86::CMPCCXADDmr32, Convert__Reg1_1__Tie0_2_2__Mem325_2__Reg1_0__imm_95_7, AMFBS_None, { MCK_GR32, MCK_GR32, MCK_Mem32 }, },
{ 1513 /* cmpaxadd */, X86::CMPCCXADDmr64, Convert__Reg1_1__Tie0_2_2__Mem645_2__Reg1_0__imm_95_7, AMFBS_None, { MCK_GR64, MCK_GR64, MCK_Mem64 }, },
{ 1522 /* cmpb */, X86::CMP8rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR8, MCK_GR8 }, },
{ 1522 /* cmpb */, X86::CMP8mr, Convert__Mem85_1__Reg1_0, AMFBS_None, { MCK_GR8, MCK_Mem8 }, },
{ 1522 /* cmpb */, X86::CMP8i8, Convert__Imm1_0, AMFBS_None, { MCK_Imm, MCK_AL }, },
{ 1522 /* cmpb */, X86::CMP8ri, Convert__Reg1_1__Imm1_0, AMFBS_None, { MCK_Imm, MCK_GR8 }, },
{ 1522 /* cmpb */, X86::CMP8mi, Convert__Mem85_1__Imm1_0, AMFBS_None, { MCK_Imm, MCK_Mem8 }, },
{ 1522 /* cmpb */, X86::CMP8rm, Convert__Reg1_1__Mem85_0, AMFBS_None, { MCK_Mem8, MCK_GR8 }, },
{ 1527 /* cmpbexadd */, X86::CMPCCXADDmr32, Convert__Reg1_1__Tie0_2_2__Mem325_2__Reg1_0__imm_95_6, AMFBS_None, { MCK_GR32, MCK_GR32, MCK_Mem32 }, },
{ 1527 /* cmpbexadd */, X86::CMPCCXADDmr64, Convert__Reg1_1__Tie0_2_2__Mem645_2__Reg1_0__imm_95_6, AMFBS_None, { MCK_GR64, MCK_GR64, MCK_Mem64 }, },
{ 1537 /* cmpbxadd */, X86::CMPCCXADDmr32, Convert__Reg1_1__Tie0_2_2__Mem325_2__Reg1_0__imm_95_2, AMFBS_None, { MCK_GR32, MCK_GR32, MCK_Mem32 }, },
{ 1537 /* cmpbxadd */, X86::CMPCCXADDmr64, Convert__Reg1_1__Tie0_2_2__Mem645_2__Reg1_0__imm_95_2, AMFBS_None, { MCK_GR64, MCK_GR64, MCK_Mem64 }, },
{ 1546 /* cmpexadd */, X86::CMPCCXADDmr32, Convert__Reg1_1__Tie0_2_2__Mem325_2__Reg1_0__imm_95_4, AMFBS_None, { MCK_GR32, MCK_GR32, MCK_Mem32 }, },
{ 1546 /* cmpexadd */, X86::CMPCCXADDmr64, Convert__Reg1_1__Tie0_2_2__Mem645_2__Reg1_0__imm_95_4, AMFBS_None, { MCK_GR64, MCK_GR64, MCK_Mem64 }, },
{ 1555 /* cmpgexadd */, X86::CMPCCXADDmr32, Convert__Reg1_1__Tie0_2_2__Mem325_2__Reg1_0__imm_95_13, AMFBS_None, { MCK_GR32, MCK_GR32, MCK_Mem32 }, },
{ 1555 /* cmpgexadd */, X86::CMPCCXADDmr64, Convert__Reg1_1__Tie0_2_2__Mem645_2__Reg1_0__imm_95_13, AMFBS_None, { MCK_GR64, MCK_GR64, MCK_Mem64 }, },
{ 1565 /* cmpgxadd */, X86::CMPCCXADDmr32, Convert__Reg1_1__Tie0_2_2__Mem325_2__Reg1_0__imm_95_15, AMFBS_None, { MCK_GR32, MCK_GR32, MCK_Mem32 }, },
{ 1565 /* cmpgxadd */, X86::CMPCCXADDmr64, Convert__Reg1_1__Tie0_2_2__Mem645_2__Reg1_0__imm_95_15, AMFBS_None, { MCK_GR64, MCK_GR64, MCK_Mem64 }, },
{ 1574 /* cmpl */, X86::CMP32rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 1574 /* cmpl */, X86::CMP32mr, Convert__Mem325_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_Mem32 }, },
{ 1574 /* cmpl */, X86::CMP32ri8, Convert__regEAX__ImmSExti32i81_0, AMFBS_None, { MCK_ImmSExti32i8, MCK_EAX }, },
{ 1574 /* cmpl */, X86::CMP32ri8, Convert__Reg1_1__ImmSExti32i81_0, AMFBS_None, { MCK_ImmSExti32i8, MCK_GR32 }, },
{ 1574 /* cmpl */, X86::CMP32mi8, Convert__Mem325_1__ImmSExti32i81_0, AMFBS_None, { MCK_ImmSExti32i8, MCK_Mem32 }, },
{ 1574 /* cmpl */, X86::CMP32i32, Convert__Imm1_0, AMFBS_None, { MCK_Imm, MCK_EAX }, },
{ 1574 /* cmpl */, X86::CMP32ri, Convert__Reg1_1__Imm1_0, AMFBS_None, { MCK_Imm, MCK_GR32 }, },
{ 1574 /* cmpl */, X86::CMP32mi, Convert__Mem325_1__Imm1_0, AMFBS_None, { MCK_Imm, MCK_Mem32 }, },
{ 1574 /* cmpl */, X86::CMP32rm, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_GR32 }, },
{ 1579 /* cmplexadd */, X86::CMPCCXADDmr32, Convert__Reg1_1__Tie0_2_2__Mem325_2__Reg1_0__imm_95_14, AMFBS_None, { MCK_GR32, MCK_GR32, MCK_Mem32 }, },
{ 1579 /* cmplexadd */, X86::CMPCCXADDmr64, Convert__Reg1_1__Tie0_2_2__Mem645_2__Reg1_0__imm_95_14, AMFBS_None, { MCK_GR64, MCK_GR64, MCK_Mem64 }, },
{ 1589 /* cmplxadd */, X86::CMPCCXADDmr32, Convert__Reg1_1__Tie0_2_2__Mem325_2__Reg1_0__imm_95_12, AMFBS_None, { MCK_GR32, MCK_GR32, MCK_Mem32 }, },
{ 1589 /* cmplxadd */, X86::CMPCCXADDmr64, Convert__Reg1_1__Tie0_2_2__Mem645_2__Reg1_0__imm_95_12, AMFBS_None, { MCK_GR64, MCK_GR64, MCK_Mem64 }, },
{ 1598 /* cmpnbexadd */, X86::CMPCCXADDmr32, Convert__Reg1_1__Tie0_2_2__Mem325_2__Reg1_0__imm_95_7, AMFBS_None, { MCK_GR32, MCK_GR32, MCK_Mem32 }, },
{ 1598 /* cmpnbexadd */, X86::CMPCCXADDmr64, Convert__Reg1_1__Tie0_2_2__Mem645_2__Reg1_0__imm_95_7, AMFBS_None, { MCK_GR64, MCK_GR64, MCK_Mem64 }, },
{ 1609 /* cmpnbxadd */, X86::CMPCCXADDmr32, Convert__Reg1_1__Tie0_2_2__Mem325_2__Reg1_0__imm_95_3, AMFBS_None, { MCK_GR32, MCK_GR32, MCK_Mem32 }, },
{ 1609 /* cmpnbxadd */, X86::CMPCCXADDmr64, Convert__Reg1_1__Tie0_2_2__Mem645_2__Reg1_0__imm_95_3, AMFBS_None, { MCK_GR64, MCK_GR64, MCK_Mem64 }, },
{ 1619 /* cmpnexadd */, X86::CMPCCXADDmr32, Convert__Reg1_1__Tie0_2_2__Mem325_2__Reg1_0__imm_95_5, AMFBS_None, { MCK_GR32, MCK_GR32, MCK_Mem32 }, },
{ 1619 /* cmpnexadd */, X86::CMPCCXADDmr64, Convert__Reg1_1__Tie0_2_2__Mem645_2__Reg1_0__imm_95_5, AMFBS_None, { MCK_GR64, MCK_GR64, MCK_Mem64 }, },
{ 1629 /* cmpnlexadd */, X86::CMPCCXADDmr32, Convert__Reg1_1__Tie0_2_2__Mem325_2__Reg1_0__imm_95_15, AMFBS_None, { MCK_GR32, MCK_GR32, MCK_Mem32 }, },
{ 1629 /* cmpnlexadd */, X86::CMPCCXADDmr64, Convert__Reg1_1__Tie0_2_2__Mem645_2__Reg1_0__imm_95_15, AMFBS_None, { MCK_GR64, MCK_GR64, MCK_Mem64 }, },
{ 1640 /* cmpnlxadd */, X86::CMPCCXADDmr32, Convert__Reg1_1__Tie0_2_2__Mem325_2__Reg1_0__imm_95_13, AMFBS_None, { MCK_GR32, MCK_GR32, MCK_Mem32 }, },
{ 1640 /* cmpnlxadd */, X86::CMPCCXADDmr64, Convert__Reg1_1__Tie0_2_2__Mem645_2__Reg1_0__imm_95_13, AMFBS_None, { MCK_GR64, MCK_GR64, MCK_Mem64 }, },
{ 1650 /* cmpnoxadd */, X86::CMPCCXADDmr32, Convert__Reg1_1__Tie0_2_2__Mem325_2__Reg1_0__imm_95_1, AMFBS_None, { MCK_GR32, MCK_GR32, MCK_Mem32 }, },
{ 1650 /* cmpnoxadd */, X86::CMPCCXADDmr64, Convert__Reg1_1__Tie0_2_2__Mem645_2__Reg1_0__imm_95_1, AMFBS_None, { MCK_GR64, MCK_GR64, MCK_Mem64 }, },
{ 1660 /* cmpnpxadd */, X86::CMPCCXADDmr32, Convert__Reg1_1__Tie0_2_2__Mem325_2__Reg1_0__imm_95_11, AMFBS_None, { MCK_GR32, MCK_GR32, MCK_Mem32 }, },
{ 1660 /* cmpnpxadd */, X86::CMPCCXADDmr64, Convert__Reg1_1__Tie0_2_2__Mem645_2__Reg1_0__imm_95_11, AMFBS_None, { MCK_GR64, MCK_GR64, MCK_Mem64 }, },
{ 1670 /* cmpnsxadd */, X86::CMPCCXADDmr32, Convert__Reg1_1__Tie0_2_2__Mem325_2__Reg1_0__imm_95_9, AMFBS_None, { MCK_GR32, MCK_GR32, MCK_Mem32 }, },
{ 1670 /* cmpnsxadd */, X86::CMPCCXADDmr64, Convert__Reg1_1__Tie0_2_2__Mem645_2__Reg1_0__imm_95_9, AMFBS_None, { MCK_GR64, MCK_GR64, MCK_Mem64 }, },
{ 1680 /* cmpnzxadd */, X86::CMPCCXADDmr32, Convert__Reg1_1__Tie0_2_2__Mem325_2__Reg1_0__imm_95_5, AMFBS_None, { MCK_GR32, MCK_GR32, MCK_Mem32 }, },
{ 1680 /* cmpnzxadd */, X86::CMPCCXADDmr64, Convert__Reg1_1__Tie0_2_2__Mem645_2__Reg1_0__imm_95_5, AMFBS_None, { MCK_GR64, MCK_GR64, MCK_Mem64 }, },
{ 1690 /* cmpoxadd */, X86::CMPCCXADDmr32, Convert__Reg1_1__Tie0_2_2__Mem325_2__Reg1_0__imm_95_0, AMFBS_None, { MCK_GR32, MCK_GR32, MCK_Mem32 }, },
{ 1690 /* cmpoxadd */, X86::CMPCCXADDmr64, Convert__Reg1_1__Tie0_2_2__Mem645_2__Reg1_0__imm_95_0, AMFBS_None, { MCK_GR64, MCK_GR64, MCK_Mem64 }, },
{ 1699 /* cmppd */, X86::CMPPDrri, Convert__Reg1_2__Tie0_1_1__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_FR16 }, },
{ 1699 /* cmppd */, X86::CMPPDrmi, Convert__Reg1_2__Tie0_1_1__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16 }, },
{ 1705 /* cmpps */, X86::CMPPSrri, Convert__Reg1_2__Tie0_1_1__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_FR16 }, },
{ 1705 /* cmpps */, X86::CMPPSrmi, Convert__Reg1_2__Tie0_1_1__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16 }, },
{ 1711 /* cmppxadd */, X86::CMPCCXADDmr32, Convert__Reg1_1__Tie0_2_2__Mem325_2__Reg1_0__imm_95_10, AMFBS_None, { MCK_GR32, MCK_GR32, MCK_Mem32 }, },
{ 1711 /* cmppxadd */, X86::CMPCCXADDmr64, Convert__Reg1_1__Tie0_2_2__Mem645_2__Reg1_0__imm_95_10, AMFBS_None, { MCK_GR64, MCK_GR64, MCK_Mem64 }, },
{ 1720 /* cmpq */, X86::CMP64rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 1720 /* cmpq */, X86::CMP64mr, Convert__Mem645_1__Reg1_0, AMFBS_None, { MCK_GR64, MCK_Mem64 }, },
{ 1720 /* cmpq */, X86::CMP64ri8, Convert__regRAX__ImmSExti64i81_0, AMFBS_None, { MCK_ImmSExti64i8, MCK_RAX }, },
{ 1720 /* cmpq */, X86::CMP64ri8, Convert__Reg1_1__ImmSExti64i81_0, AMFBS_None, { MCK_ImmSExti64i8, MCK_GR64 }, },
{ 1720 /* cmpq */, X86::CMP64mi8, Convert__Mem645_1__ImmSExti64i81_0, AMFBS_In64BitMode, { MCK_ImmSExti64i8, MCK_Mem64 }, },
{ 1720 /* cmpq */, X86::CMP64i32, Convert__ImmSExti64i321_0, AMFBS_None, { MCK_ImmSExti64i32, MCK_RAX }, },
{ 1720 /* cmpq */, X86::CMP64ri32, Convert__Reg1_1__ImmSExti64i321_0, AMFBS_None, { MCK_ImmSExti64i32, MCK_GR64 }, },
{ 1720 /* cmpq */, X86::CMP64mi32, Convert__Mem645_1__ImmSExti64i321_0, AMFBS_In64BitMode, { MCK_ImmSExti64i32, MCK_Mem64 }, },
{ 1720 /* cmpq */, X86::CMP64rm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_GR64 }, },
{ 1730 /* cmpsb */, X86::CMPSB, Convert__DstIdx81_0__SrcIdx82_1, AMFBS_None, { MCK_DstIdx8, MCK_SrcIdx8 }, },
{ 1736 /* cmpsd */, X86::CMPSDrr_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_FR16 }, },
{ 1736 /* cmpsd */, X86::CMPSDrm_Int, Convert__Reg1_2__Tie0_1_1__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK_FR16 }, },
{ 1742 /* cmpsl */, X86::CMPSL, Convert__DstIdx321_0__SrcIdx322_1, AMFBS_None, { MCK_DstIdx32, MCK_SrcIdx32 }, },
{ 1748 /* cmpsq */, X86::CMPSQ, Convert__DstIdx641_0__SrcIdx642_1, AMFBS_In64BitMode, { MCK_DstIdx64, MCK_SrcIdx64 }, },
{ 1754 /* cmpss */, X86::CMPSSrr_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_FR16 }, },
{ 1754 /* cmpss */, X86::CMPSSrm_Int, Convert__Reg1_2__Tie0_1_1__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK_FR16 }, },
{ 1760 /* cmpsw */, X86::CMPSW, Convert__DstIdx161_0__SrcIdx162_1, AMFBS_None, { MCK_DstIdx16, MCK_SrcIdx16 }, },
{ 1766 /* cmpsxadd */, X86::CMPCCXADDmr32, Convert__Reg1_1__Tie0_2_2__Mem325_2__Reg1_0__imm_95_8, AMFBS_None, { MCK_GR32, MCK_GR32, MCK_Mem32 }, },
{ 1766 /* cmpsxadd */, X86::CMPCCXADDmr64, Convert__Reg1_1__Tie0_2_2__Mem645_2__Reg1_0__imm_95_8, AMFBS_None, { MCK_GR64, MCK_GR64, MCK_Mem64 }, },
{ 1775 /* cmpw */, X86::CMP16rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR16, MCK_GR16 }, },
{ 1775 /* cmpw */, X86::CMP16mr, Convert__Mem165_1__Reg1_0, AMFBS_None, { MCK_GR16, MCK_Mem16 }, },
{ 1775 /* cmpw */, X86::CMP16ri8, Convert__regAX__ImmSExti16i81_0, AMFBS_None, { MCK_ImmSExti16i8, MCK_AX }, },
{ 1775 /* cmpw */, X86::CMP16ri8, Convert__Reg1_1__ImmSExti16i81_0, AMFBS_None, { MCK_ImmSExti16i8, MCK_GR16 }, },
{ 1775 /* cmpw */, X86::CMP16mi8, Convert__Mem165_1__ImmSExti16i81_0, AMFBS_None, { MCK_ImmSExti16i8, MCK_Mem16 }, },
{ 1775 /* cmpw */, X86::CMP16i16, Convert__Imm1_0, AMFBS_None, { MCK_Imm, MCK_AX }, },
{ 1775 /* cmpw */, X86::CMP16ri, Convert__Reg1_1__Imm1_0, AMFBS_None, { MCK_Imm, MCK_GR16 }, },
{ 1775 /* cmpw */, X86::CMP16mi, Convert__Mem165_1__Imm1_0, AMFBS_None, { MCK_Imm, MCK_Mem16 }, },
{ 1775 /* cmpw */, X86::CMP16rm, Convert__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_GR16 }, },
{ 1788 /* cmpxchg16b */, X86::CMPXCHG16B, Convert__Mem1285_0, AMFBS_In64BitMode, { MCK_Mem128 }, },
{ 1799 /* cmpxchg8b */, X86::CMPXCHG8B, Convert__Mem645_0, AMFBS_None, { MCK_Mem64 }, },
{ 1809 /* cmpxchgb */, X86::CMPXCHG8rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR8, MCK_GR8 }, },
{ 1809 /* cmpxchgb */, X86::CMPXCHG8rm, Convert__Mem85_1__Reg1_0, AMFBS_None, { MCK_GR8, MCK_Mem8 }, },
{ 1818 /* cmpxchgl */, X86::CMPXCHG32rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 1818 /* cmpxchgl */, X86::CMPXCHG32rm, Convert__Mem325_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_Mem32 }, },
{ 1827 /* cmpxchgq */, X86::CMPXCHG64rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 1827 /* cmpxchgq */, X86::CMPXCHG64rm, Convert__Mem645_1__Reg1_0, AMFBS_None, { MCK_GR64, MCK_Mem64 }, },
{ 1836 /* cmpxchgw */, X86::CMPXCHG16rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR16, MCK_GR16 }, },
{ 1836 /* cmpxchgw */, X86::CMPXCHG16rm, Convert__Mem165_1__Reg1_0, AMFBS_None, { MCK_GR16, MCK_Mem16 }, },
{ 1845 /* cmpzxadd */, X86::CMPCCXADDmr32, Convert__Reg1_1__Tie0_2_2__Mem325_2__Reg1_0__imm_95_4, AMFBS_None, { MCK_GR32, MCK_GR32, MCK_Mem32 }, },
{ 1845 /* cmpzxadd */, X86::CMPCCXADDmr64, Convert__Reg1_1__Tie0_2_2__Mem645_2__Reg1_0__imm_95_4, AMFBS_None, { MCK_GR64, MCK_GR64, MCK_Mem64 }, },
{ 1854 /* comisd */, X86::COMISDrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 1854 /* comisd */, X86::COMISDrm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16 }, },
{ 1861 /* comiss */, X86::COMISSrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 1861 /* comiss */, X86::COMISSrm, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16 }, },
{ 1868 /* cpuid */, X86::CPUID, Convert_NoOperands, AMFBS_None, { }, },
{ 1878 /* cqto */, X86::CQO, Convert_NoOperands, AMFBS_In64BitMode, { }, },
{ 1889 /* crc32b */, X86::CRC32r32r8, Convert__Reg1_1__Tie0_2_2__Reg1_0, AMFBS_None, { MCK_GR8, MCK_GR32 }, },
{ 1889 /* crc32b */, X86::CRC32r64r8, Convert__Reg1_1__Tie0_2_2__Reg1_0, AMFBS_None, { MCK_GR8, MCK_GR64 }, },
{ 1889 /* crc32b */, X86::CRC32r32m8, Convert__Reg1_1__Tie0_2_2__Mem85_0, AMFBS_None, { MCK_Mem8, MCK_GR32 }, },
{ 1889 /* crc32b */, X86::CRC32r64m8, Convert__Reg1_1__Tie0_2_2__Mem85_0, AMFBS_None, { MCK_Mem8, MCK_GR64 }, },
{ 1896 /* crc32l */, X86::CRC32r32r32, Convert__Reg1_1__Tie0_2_2__Reg1_0, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 1896 /* crc32l */, X86::CRC32r32m32, Convert__Reg1_1__Tie0_2_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_GR32 }, },
{ 1903 /* crc32q */, X86::CRC32r64r64, Convert__Reg1_1__Tie0_2_2__Reg1_0, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 1903 /* crc32q */, X86::CRC32r64m64, Convert__Reg1_1__Tie0_2_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_GR64 }, },
{ 1910 /* crc32w */, X86::CRC32r32r16, Convert__Reg1_1__Tie0_2_2__Reg1_0, AMFBS_None, { MCK_GR16, MCK_GR32 }, },
{ 1910 /* crc32w */, X86::CRC32r32m16, Convert__Reg1_1__Tie0_2_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_GR32 }, },
{ 1917 /* cs */, X86::CS_PREFIX, Convert_NoOperands, AMFBS_None, { }, },
{ 1920 /* cvtdq2pd */, X86::CVTDQ2PDrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 1920 /* cvtdq2pd */, X86::CVTDQ2PDrm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16 }, },
{ 1929 /* cvtdq2ps */, X86::CVTDQ2PSrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 1929 /* cvtdq2ps */, X86::CVTDQ2PSrm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 1938 /* cvtpd2dq */, X86::CVTPD2DQrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 1938 /* cvtpd2dq */, X86::CVTPD2DQrm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 1947 /* cvtpd2pi */, X86::MMX_CVTPD2PIrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_VR64 }, },
{ 1947 /* cvtpd2pi */, X86::MMX_CVTPD2PIrm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR64 }, },
{ 1956 /* cvtpd2ps */, X86::CVTPD2PSrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 1956 /* cvtpd2ps */, X86::CVTPD2PSrm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 1965 /* cvtpi2pd */, X86::MMX_CVTPI2PDrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_FR16 }, },
{ 1965 /* cvtpi2pd */, X86::MMX_CVTPI2PDrm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16 }, },
{ 1974 /* cvtpi2ps */, X86::MMX_CVTPI2PSrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_FR16 }, },
{ 1974 /* cvtpi2ps */, X86::MMX_CVTPI2PSrm, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16 }, },
{ 1983 /* cvtps2dq */, X86::CVTPS2DQrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 1983 /* cvtps2dq */, X86::CVTPS2DQrm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 1992 /* cvtps2pd */, X86::CVTPS2PDrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 1992 /* cvtps2pd */, X86::CVTPS2PDrm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16 }, },
{ 2001 /* cvtps2pi */, X86::MMX_CVTPS2PIrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_VR64 }, },
{ 2001 /* cvtps2pi */, X86::MMX_CVTPS2PIrm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR64 }, },
{ 2010 /* cvtsd2si */, X86::CVTSD2SIrr_Int, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_GR32 }, },
{ 2010 /* cvtsd2si */, X86::CVTSD2SI64rr_Int, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_GR64 }, },
{ 2010 /* cvtsd2si */, X86::CVTSD2SIrm_Int, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_GR32 }, },
{ 2010 /* cvtsd2si */, X86::CVTSD2SI64rm_Int, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_GR64 }, },
{ 2019 /* cvtsd2sil */, X86::CVTSD2SIrr_Int, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_GR32 }, },
{ 2019 /* cvtsd2sil */, X86::CVTSD2SIrm_Int, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_GR32 }, },
{ 2029 /* cvtsd2siq */, X86::CVTSD2SI64rr_Int, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_GR64 }, },
{ 2029 /* cvtsd2siq */, X86::CVTSD2SI64rm_Int, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_GR64 }, },
{ 2039 /* cvtsd2ss */, X86::CVTSD2SSrr_Int, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 2039 /* cvtsd2ss */, X86::CVTSD2SSrm_Int, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16 }, },
{ 2048 /* cvtsi2sd */, X86::CVTSI2SDrr_Int, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_FR16 }, },
{ 2048 /* cvtsi2sd */, X86::CVTSI642SDrr_Int, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_GR64, MCK_FR16 }, },
{ 2048 /* cvtsi2sd */, X86::CVTSI2SDrm_Int, Convert__Reg1_1__Tie0_2_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16 }, },
{ 2057 /* cvtsi2sdl */, X86::CVTSI2SDrr_Int, Convert__Reg1_1__Tie0_2_2__Reg1_0, AMFBS_None, { MCK_GR32, MCK_FR16 }, },
{ 2057 /* cvtsi2sdl */, X86::CVTSI2SDrm_Int, Convert__Reg1_1__Tie0_1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16 }, },
{ 2067 /* cvtsi2sdq */, X86::CVTSI642SDrr_Int, Convert__Reg1_1__Tie0_2_2__Reg1_0, AMFBS_None, { MCK_GR64, MCK_FR16 }, },
{ 2067 /* cvtsi2sdq */, X86::CVTSI642SDrm_Int, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16 }, },
{ 2077 /* cvtsi2ss */, X86::CVTSI2SSrr_Int, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_FR16 }, },
{ 2077 /* cvtsi2ss */, X86::CVTSI642SSrr_Int, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_GR64, MCK_FR16 }, },
{ 2077 /* cvtsi2ss */, X86::CVTSI2SSrm_Int, Convert__Reg1_1__Tie0_2_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16 }, },
{ 2086 /* cvtsi2ssl */, X86::CVTSI2SSrr_Int, Convert__Reg1_1__Tie0_2_2__Reg1_0, AMFBS_None, { MCK_GR32, MCK_FR16 }, },
{ 2086 /* cvtsi2ssl */, X86::CVTSI2SSrm_Int, Convert__Reg1_1__Tie0_1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16 }, },
{ 2096 /* cvtsi2ssq */, X86::CVTSI642SSrr_Int, Convert__Reg1_1__Tie0_2_2__Reg1_0, AMFBS_None, { MCK_GR64, MCK_FR16 }, },
{ 2096 /* cvtsi2ssq */, X86::CVTSI642SSrm_Int, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16 }, },
{ 2106 /* cvtss2sd */, X86::CVTSS2SDrr_Int, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 2106 /* cvtss2sd */, X86::CVTSS2SDrm_Int, Convert__Reg1_1__Tie0_1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16 }, },
{ 2115 /* cvtss2si */, X86::CVTSS2SIrr_Int, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_GR32 }, },
{ 2115 /* cvtss2si */, X86::CVTSS2SI64rr_Int, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_GR64 }, },
{ 2115 /* cvtss2si */, X86::CVTSS2SIrm_Int, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_GR32 }, },
{ 2115 /* cvtss2si */, X86::CVTSS2SI64rm_Int, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_GR64 }, },
{ 2124 /* cvtss2sil */, X86::CVTSS2SIrr_Int, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_GR32 }, },
{ 2124 /* cvtss2sil */, X86::CVTSS2SIrm_Int, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_GR32 }, },
{ 2134 /* cvtss2siq */, X86::CVTSS2SI64rr_Int, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_GR64 }, },
{ 2134 /* cvtss2siq */, X86::CVTSS2SI64rm_Int, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_GR64 }, },
{ 2144 /* cvttpd2dq */, X86::CVTTPD2DQrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 2144 /* cvttpd2dq */, X86::CVTTPD2DQrm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 2154 /* cvttpd2pi */, X86::MMX_CVTTPD2PIrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_VR64 }, },
{ 2154 /* cvttpd2pi */, X86::MMX_CVTTPD2PIrm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR64 }, },
{ 2164 /* cvttps2dq */, X86::CVTTPS2DQrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 2164 /* cvttps2dq */, X86::CVTTPS2DQrm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 2174 /* cvttps2pi */, X86::MMX_CVTTPS2PIrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_VR64 }, },
{ 2174 /* cvttps2pi */, X86::MMX_CVTTPS2PIrm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR64 }, },
{ 2184 /* cvttsd2si */, X86::CVTTSD2SIrr_Int, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_GR32 }, },
{ 2184 /* cvttsd2si */, X86::CVTTSD2SI64rr_Int, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_GR64 }, },
{ 2184 /* cvttsd2si */, X86::CVTTSD2SIrm_Int, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_GR32 }, },
{ 2184 /* cvttsd2si */, X86::CVTTSD2SI64rm_Int, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_GR64 }, },
{ 2194 /* cvttsd2sil */, X86::CVTTSD2SIrr_Int, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_GR32 }, },
{ 2194 /* cvttsd2sil */, X86::CVTTSD2SIrm_Int, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_GR32 }, },
{ 2205 /* cvttsd2siq */, X86::CVTTSD2SI64rr_Int, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_GR64 }, },
{ 2205 /* cvttsd2siq */, X86::CVTTSD2SI64rm_Int, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_GR64 }, },
{ 2216 /* cvttss2si */, X86::CVTTSS2SIrr_Int, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_GR32 }, },
{ 2216 /* cvttss2si */, X86::CVTTSS2SI64rr_Int, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_GR64 }, },
{ 2216 /* cvttss2si */, X86::CVTTSS2SIrm_Int, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_GR32 }, },
{ 2216 /* cvttss2si */, X86::CVTTSS2SI64rm_Int, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_GR64 }, },
{ 2226 /* cvttss2sil */, X86::CVTTSS2SIrr_Int, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_GR32 }, },
{ 2226 /* cvttss2sil */, X86::CVTTSS2SIrm_Int, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_GR32 }, },
{ 2237 /* cvttss2siq */, X86::CVTTSS2SI64rr_Int, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_GR64 }, },
{ 2237 /* cvttss2siq */, X86::CVTTSS2SI64rm_Int, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_GR64 }, },
{ 2257 /* cwtd */, X86::CWD, Convert_NoOperands, AMFBS_None, { }, },
{ 2262 /* cwtl */, X86::CWDE, Convert_NoOperands, AMFBS_None, { }, },
{ 2267 /* daa */, X86::DAA, Convert_NoOperands, AMFBS_Not64BitMode, { }, },
{ 2271 /* das */, X86::DAS, Convert_NoOperands, AMFBS_Not64BitMode, { }, },
{ 2275 /* data16 */, X86::DATA16_PREFIX, Convert_NoOperands, AMFBS_None, { }, },
{ 2286 /* decb */, X86::DEC8r, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR8 }, },
{ 2286 /* decb */, X86::DEC8m, Convert__Mem85_0, AMFBS_None, { MCK_Mem8 }, },
{ 2291 /* decl */, X86::DEC32r_alt, Convert__Reg1_0__Tie0_1_1, AMFBS_Not64BitMode, { MCK_GR32 }, },
{ 2291 /* decl */, X86::DEC32r, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR32 }, },
{ 2291 /* decl */, X86::DEC32m, Convert__Mem325_0, AMFBS_None, { MCK_Mem32 }, },
{ 2296 /* decq */, X86::DEC64r, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR64 }, },
{ 2296 /* decq */, X86::DEC64m, Convert__Mem645_0, AMFBS_In64BitMode, { MCK_Mem64 }, },
{ 2301 /* decw */, X86::DEC16r_alt, Convert__Reg1_0__Tie0_1_1, AMFBS_Not64BitMode, { MCK_GR16 }, },
{ 2301 /* decw */, X86::DEC16r, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR16 }, },
{ 2301 /* decw */, X86::DEC16m, Convert__Mem165_0, AMFBS_None, { MCK_Mem16 }, },
{ 2310 /* divb */, X86::DIV8r, Convert__Reg1_0, AMFBS_None, { MCK_GR8 }, },
{ 2310 /* divb */, X86::DIV8m, Convert__Mem85_0, AMFBS_None, { MCK_Mem8 }, },
{ 2310 /* divb */, X86::DIV8r, Convert__Reg1_0, AMFBS_None, { MCK_GR8, MCK_AL }, },
{ 2310 /* divb */, X86::DIV8m, Convert__Mem85_0, AMFBS_None, { MCK_Mem8, MCK_AL }, },
{ 2315 /* divl */, X86::DIV32r, Convert__Reg1_0, AMFBS_None, { MCK_GR32 }, },
{ 2315 /* divl */, X86::DIV32m, Convert__Mem325_0, AMFBS_None, { MCK_Mem32 }, },
{ 2315 /* divl */, X86::DIV32r, Convert__Reg1_0, AMFBS_None, { MCK_GR32, MCK_EAX }, },
{ 2315 /* divl */, X86::DIV32m, Convert__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_EAX }, },
{ 2320 /* divpd */, X86::DIVPDrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 2320 /* divpd */, X86::DIVPDrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 2326 /* divps */, X86::DIVPSrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 2326 /* divps */, X86::DIVPSrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 2332 /* divq */, X86::DIV64r, Convert__Reg1_0, AMFBS_None, { MCK_GR64 }, },
{ 2332 /* divq */, X86::DIV64m, Convert__Mem645_0, AMFBS_In64BitMode, { MCK_Mem64 }, },
{ 2332 /* divq */, X86::DIV64r, Convert__Reg1_0, AMFBS_None, { MCK_GR64, MCK_RAX }, },
{ 2332 /* divq */, X86::DIV64m, Convert__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_RAX }, },
{ 2337 /* divsd */, X86::DIVSDrr_Int, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 2337 /* divsd */, X86::DIVSDrm_Int, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16 }, },
{ 2343 /* divss */, X86::DIVSSrr_Int, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 2343 /* divss */, X86::DIVSSrm_Int, Convert__Reg1_1__Tie0_1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16 }, },
{ 2349 /* divw */, X86::DIV16r, Convert__Reg1_0, AMFBS_None, { MCK_GR16 }, },
{ 2349 /* divw */, X86::DIV16m, Convert__Mem165_0, AMFBS_None, { MCK_Mem16 }, },
{ 2349 /* divw */, X86::DIV16r, Convert__Reg1_0, AMFBS_None, { MCK_GR16, MCK_AX }, },
{ 2349 /* divw */, X86::DIV16m, Convert__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_AX }, },
{ 2354 /* dppd */, X86::DPPDrri, Convert__Reg1_2__Tie0_1_1__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_FR16 }, },
{ 2354 /* dppd */, X86::DPPDrmi, Convert__Reg1_2__Tie0_1_1__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16 }, },
{ 2359 /* dpps */, X86::DPPSrri, Convert__Reg1_2__Tie0_1_1__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_FR16 }, },
{ 2359 /* dpps */, X86::DPPSrmi, Convert__Reg1_2__Tie0_1_1__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16 }, },
{ 2364 /* ds */, X86::DS_PREFIX, Convert_NoOperands, AMFBS_None, { }, },
{ 2367 /* emms */, X86::MMX_EMMS, Convert_NoOperands, AMFBS_None, { }, },
{ 2372 /* encls */, X86::ENCLS, Convert_NoOperands, AMFBS_None, { }, },
{ 2378 /* enclu */, X86::ENCLU, Convert_NoOperands, AMFBS_None, { }, },
{ 2384 /* enclv */, X86::ENCLV, Convert_NoOperands, AMFBS_None, { }, },
{ 2390 /* encodekey128 */, X86::ENCODEKEY128, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 2403 /* encodekey256 */, X86::ENCODEKEY256, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 2416 /* endbr32 */, X86::ENDBR32, Convert_NoOperands, AMFBS_None, { }, },
{ 2424 /* endbr64 */, X86::ENDBR64, Convert_NoOperands, AMFBS_None, { }, },
{ 2432 /* enqcmd */, X86::ENQCMD16, Convert__Reg1_1__Mem5125_0, AMFBS_Not64BitMode, { MCK_Mem512, MCK_GR16 }, },
{ 2432 /* enqcmd */, X86::ENQCMD32, Convert__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_GR32 }, },
{ 2432 /* enqcmd */, X86::ENQCMD64, Convert__Reg1_1__Mem5125_0, AMFBS_In64BitMode, { MCK_Mem512, MCK_GR64 }, },
{ 2439 /* enqcmds */, X86::ENQCMDS16, Convert__Reg1_1__Mem5125_0, AMFBS_Not64BitMode, { MCK_Mem512, MCK_GR16 }, },
{ 2439 /* enqcmds */, X86::ENQCMDS32, Convert__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_GR32 }, },
{ 2439 /* enqcmds */, X86::ENQCMDS64, Convert__Reg1_1__Mem5125_0, AMFBS_In64BitMode, { MCK_Mem512, MCK_GR64 }, },
{ 2447 /* enter */, X86::ENTER, Convert__Imm1_0__Imm1_1, AMFBS_None, { MCK_Imm, MCK_Imm }, },
{ 2453 /* es */, X86::ES_PREFIX, Convert_NoOperands, AMFBS_None, { }, },
{ 2456 /* extractps */, X86::EXTRACTPSrr, Convert__GR32orGR641_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_GR32orGR64 }, },
{ 2456 /* extractps */, X86::EXTRACTPSmr, Convert__Mem325_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_Mem32 }, },
{ 2466 /* extrq */, X86::EXTRQ, Convert__Reg1_1__Tie0_2_2__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 2466 /* extrq */, X86::EXTRQI, Convert__Reg1_2__Tie0_3_3__ImmUnsignedi81_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_ImmUnsignedi8, MCK_FR16 }, },
{ 2472 /* f2xm1 */, X86::F2XM1, Convert_NoOperands, AMFBS_None, { }, },
{ 2478 /* fabs */, X86::ABS_F, Convert_NoOperands, AMFBS_None, { }, },
{ 2483 /* fadd */, X86::ADD_FPrST0, Convert__regST1, AMFBS_None, { }, },
{ 2483 /* fadd */, X86::ADD_FST0r, Convert__Reg1_0, AMFBS_None, { MCK_RST }, },
{ 2483 /* fadd */, X86::ADD_FST0r, Convert__regST0, AMFBS_None, { MCK_ST0, MCK_ST0 }, },
{ 2483 /* fadd */, X86::ADD_FrST0, Convert__Reg1_1, AMFBS_None, { MCK_ST0, MCK_RST }, },
{ 2483 /* fadd */, X86::ADD_FST0r, Convert__Reg1_0, AMFBS_None, { MCK_RST, MCK_ST0 }, },
{ 2488 /* faddl */, X86::ADD_F64m, Convert__Mem645_0, AMFBS_None, { MCK_Mem64 }, },
{ 2494 /* faddp */, X86::ADD_FPrST0, Convert__regST1, AMFBS_None, { }, },
{ 2494 /* faddp */, X86::ADD_FPrST0, Convert__Reg1_0, AMFBS_None, { MCK_RST }, },
{ 2494 /* faddp */, X86::ADD_FPrST0, Convert__regST0, AMFBS_None, { MCK_ST0, MCK_ST0 }, },
{ 2494 /* faddp */, X86::ADD_FPrST0, Convert__Reg1_1, AMFBS_None, { MCK_ST0, MCK_RST }, },
{ 2494 /* faddp */, X86::ADD_FPrST0, Convert__Reg1_0, AMFBS_None, { MCK_RST, MCK_ST0 }, },
{ 2500 /* fadds */, X86::ADD_F32m, Convert__Mem325_0, AMFBS_None, { MCK_Mem32 }, },
{ 2506 /* fbld */, X86::FBLDm, Convert__Mem805_0, AMFBS_None, { MCK_Mem80 }, },
{ 2511 /* fbstp */, X86::FBSTPm, Convert__Mem805_0, AMFBS_None, { MCK_Mem80 }, },
{ 2517 /* fchs */, X86::CHS_F, Convert_NoOperands, AMFBS_None, { }, },
{ 2522 /* fcmovb */, X86::CMOVB_F, Convert__Reg1_0, AMFBS_None, { MCK_RST, MCK_ST0 }, },
{ 2529 /* fcmovbe */, X86::CMOVBE_F, Convert__Reg1_0, AMFBS_None, { MCK_RST, MCK_ST0 }, },
{ 2537 /* fcmove */, X86::CMOVE_F, Convert__Reg1_0, AMFBS_None, { MCK_RST, MCK_ST0 }, },
{ 2544 /* fcmovnb */, X86::CMOVNB_F, Convert__Reg1_0, AMFBS_None, { MCK_RST, MCK_ST0 }, },
{ 2552 /* fcmovnbe */, X86::CMOVNBE_F, Convert__Reg1_0, AMFBS_None, { MCK_RST, MCK_ST0 }, },
{ 2561 /* fcmovne */, X86::CMOVNE_F, Convert__Reg1_0, AMFBS_None, { MCK_RST, MCK_ST0 }, },
{ 2569 /* fcmovnu */, X86::CMOVNP_F, Convert__Reg1_0, AMFBS_None, { MCK_RST, MCK_ST0 }, },
{ 2577 /* fcmovu */, X86::CMOVP_F, Convert__Reg1_0, AMFBS_None, { MCK_RST, MCK_ST0 }, },
{ 2584 /* fcom */, X86::COM_FST0r, Convert__regST1, AMFBS_None, { }, },
{ 2584 /* fcom */, X86::COM_FST0r, Convert__Reg1_0, AMFBS_None, { MCK_RST }, },
{ 2589 /* fcomi */, X86::COM_FIr, Convert__regST1, AMFBS_None, { }, },
{ 2589 /* fcomi */, X86::COM_FIr, Convert__Reg1_0, AMFBS_None, { MCK_RST }, },
{ 2589 /* fcomi */, X86::COM_FIr, Convert__regST0, AMFBS_None, { MCK_ST0, MCK_ST0 }, },
{ 2589 /* fcomi */, X86::COM_FIr, Convert__Reg1_0, AMFBS_None, { MCK_RST, MCK_ST0 }, },
{ 2595 /* fcoml */, X86::FCOM64m, Convert__Mem645_0, AMFBS_None, { MCK_Mem64 }, },
{ 2601 /* fcomp */, X86::COMP_FST0r, Convert__regST1, AMFBS_None, { }, },
{ 2601 /* fcomp */, X86::COMP_FST0r, Convert__Reg1_0, AMFBS_None, { MCK_RST }, },
{ 2607 /* fcompi */, X86::COM_FIPr, Convert__regST1, AMFBS_None, { }, },
{ 2607 /* fcompi */, X86::COM_FIPr, Convert__Reg1_0, AMFBS_None, { MCK_RST }, },
{ 2607 /* fcompi */, X86::COM_FIPr, Convert__regST0, AMFBS_None, { MCK_ST0, MCK_ST0 }, },
{ 2607 /* fcompi */, X86::COM_FIPr, Convert__Reg1_0, AMFBS_None, { MCK_RST, MCK_ST0 }, },
{ 2614 /* fcompl */, X86::FCOMP64m, Convert__Mem645_0, AMFBS_None, { MCK_Mem64 }, },
{ 2621 /* fcompp */, X86::FCOMPP, Convert_NoOperands, AMFBS_None, { }, },
{ 2628 /* fcomps */, X86::FCOMP32m, Convert__Mem325_0, AMFBS_None, { MCK_Mem32 }, },
{ 2635 /* fcoms */, X86::FCOM32m, Convert__Mem325_0, AMFBS_None, { MCK_Mem32 }, },
{ 2641 /* fcos */, X86::FCOS, Convert_NoOperands, AMFBS_None, { }, },
{ 2646 /* fdecstp */, X86::FDECSTP, Convert_NoOperands, AMFBS_None, { }, },
{ 2654 /* fdiv */, X86::DIV_FST0r, Convert__Reg1_0, AMFBS_None, { MCK_RST }, },
{ 2654 /* fdiv */, X86::DIV_FST0r, Convert__regST0, AMFBS_None, { MCK_ST0, MCK_ST0 }, },
{ 2654 /* fdiv */, X86::DIVR_FrST0, Convert__Reg1_1, AMFBS_None, { MCK_ST0, MCK_RST }, },
{ 2654 /* fdiv */, X86::DIV_FST0r, Convert__Reg1_0, AMFBS_None, { MCK_RST, MCK_ST0 }, },
{ 2659 /* fdivl */, X86::DIV_F64m, Convert__Mem645_0, AMFBS_None, { MCK_Mem64 }, },
{ 2665 /* fdivp */, X86::DIVR_FPrST0, Convert__regST1, AMFBS_None, { }, },
{ 2665 /* fdivp */, X86::DIVR_FPrST0, Convert__Reg1_0, AMFBS_None, { MCK_RST }, },
{ 2665 /* fdivp */, X86::DIVR_FPrST0, Convert__regST0, AMFBS_None, { MCK_ST0, MCK_ST0 }, },
{ 2665 /* fdivp */, X86::DIVR_FPrST0, Convert__Reg1_1, AMFBS_None, { MCK_ST0, MCK_RST }, },
{ 2665 /* fdivp */, X86::DIVR_FPrST0, Convert__Reg1_0, AMFBS_None, { MCK_RST, MCK_ST0 }, },
{ 2671 /* fdivr */, X86::DIVR_FST0r, Convert__Reg1_0, AMFBS_None, { MCK_RST }, },
{ 2671 /* fdivr */, X86::DIVR_FST0r, Convert__regST0, AMFBS_None, { MCK_ST0, MCK_ST0 }, },
{ 2671 /* fdivr */, X86::DIV_FrST0, Convert__Reg1_1, AMFBS_None, { MCK_ST0, MCK_RST }, },
{ 2671 /* fdivr */, X86::DIVR_FST0r, Convert__Reg1_0, AMFBS_None, { MCK_RST, MCK_ST0 }, },
{ 2677 /* fdivrl */, X86::DIVR_F64m, Convert__Mem645_0, AMFBS_None, { MCK_Mem64 }, },
{ 2684 /* fdivrp */, X86::DIV_FPrST0, Convert__regST1, AMFBS_None, { }, },
{ 2684 /* fdivrp */, X86::DIV_FPrST0, Convert__Reg1_0, AMFBS_None, { MCK_RST }, },
{ 2684 /* fdivrp */, X86::DIV_FPrST0, Convert__regST0, AMFBS_None, { MCK_ST0, MCK_ST0 }, },
{ 2684 /* fdivrp */, X86::DIV_FPrST0, Convert__Reg1_1, AMFBS_None, { MCK_ST0, MCK_RST }, },
{ 2684 /* fdivrp */, X86::DIV_FPrST0, Convert__Reg1_0, AMFBS_None, { MCK_RST, MCK_ST0 }, },
{ 2691 /* fdivrs */, X86::DIVR_F32m, Convert__Mem325_0, AMFBS_None, { MCK_Mem32 }, },
{ 2698 /* fdivs */, X86::DIV_F32m, Convert__Mem325_0, AMFBS_None, { MCK_Mem32 }, },
{ 2704 /* femms */, X86::FEMMS, Convert_NoOperands, AMFBS_None, { }, },
{ 2710 /* ffree */, X86::FFREE, Convert__Reg1_0, AMFBS_None, { MCK_RST }, },
{ 2716 /* ffreep */, X86::FFREEP, Convert__Reg1_0, AMFBS_None, { MCK_RST }, },
{ 2729 /* fiaddl */, X86::ADD_FI32m, Convert__Mem325_0, AMFBS_None, { MCK_Mem32 }, },
{ 2736 /* fiadds */, X86::ADD_FI16m, Convert__Mem165_0, AMFBS_None, { MCK_Mem16 }, },
{ 2749 /* ficoml */, X86::FICOM32m, Convert__Mem325_0, AMFBS_None, { MCK_Mem32 }, },
{ 2763 /* ficompl */, X86::FICOMP32m, Convert__Mem325_0, AMFBS_None, { MCK_Mem32 }, },
{ 2771 /* ficomps */, X86::FICOMP16m, Convert__Mem165_0, AMFBS_None, { MCK_Mem16 }, },
{ 2779 /* ficoms */, X86::FICOM16m, Convert__Mem165_0, AMFBS_None, { MCK_Mem16 }, },
{ 2792 /* fidivl */, X86::DIV_FI32m, Convert__Mem325_0, AMFBS_None, { MCK_Mem32 }, },
{ 2806 /* fidivrl */, X86::DIVR_FI32m, Convert__Mem325_0, AMFBS_None, { MCK_Mem32 }, },
{ 2814 /* fidivrs */, X86::DIVR_FI16m, Convert__Mem165_0, AMFBS_None, { MCK_Mem16 }, },
{ 2822 /* fidivs */, X86::DIV_FI16m, Convert__Mem165_0, AMFBS_None, { MCK_Mem16 }, },
{ 2834 /* fildl */, X86::ILD_F32m, Convert__Mem325_0, AMFBS_None, { MCK_Mem32 }, },
{ 2840 /* fildll */, X86::ILD_F64m, Convert__Mem645_0, AMFBS_None, { MCK_Mem64 }, },
{ 2847 /* filds */, X86::ILD_F16m, Convert__Mem165_0, AMFBS_None, { MCK_Mem16 }, },
{ 2859 /* fimull */, X86::MUL_FI32m, Convert__Mem325_0, AMFBS_None, { MCK_Mem32 }, },
{ 2866 /* fimuls */, X86::MUL_FI16m, Convert__Mem165_0, AMFBS_None, { MCK_Mem16 }, },
{ 2873 /* fincstp */, X86::FINCSTP, Convert_NoOperands, AMFBS_None, { }, },
{ 2886 /* fistl */, X86::IST_F32m, Convert__Mem325_0, AMFBS_None, { MCK_Mem32 }, },
{ 2898 /* fistpl */, X86::IST_FP32m, Convert__Mem325_0, AMFBS_None, { MCK_Mem32 }, },
{ 2905 /* fistpll */, X86::IST_FP64m, Convert__Mem645_0, AMFBS_None, { MCK_Mem64 }, },
{ 2913 /* fistps */, X86::IST_FP16m, Convert__Mem165_0, AMFBS_None, { MCK_Mem16 }, },
{ 2920 /* fists */, X86::IST_F16m, Convert__Mem165_0, AMFBS_None, { MCK_Mem16 }, },
{ 2933 /* fisttpl */, X86::ISTT_FP32m, Convert__Mem325_0, AMFBS_None, { MCK_Mem32 }, },
{ 2941 /* fisttpll */, X86::ISTT_FP64m, Convert__Mem645_0, AMFBS_None, { MCK_Mem64 }, },
{ 2950 /* fisttps */, X86::ISTT_FP16m, Convert__Mem165_0, AMFBS_None, { MCK_Mem16 }, },
{ 2964 /* fisubl */, X86::SUB_FI32m, Convert__Mem325_0, AMFBS_None, { MCK_Mem32 }, },
{ 2978 /* fisubrl */, X86::SUBR_FI32m, Convert__Mem325_0, AMFBS_None, { MCK_Mem32 }, },
{ 2986 /* fisubrs */, X86::SUBR_FI16m, Convert__Mem165_0, AMFBS_None, { MCK_Mem16 }, },
{ 2994 /* fisubs */, X86::SUB_FI16m, Convert__Mem165_0, AMFBS_None, { MCK_Mem16 }, },
{ 3001 /* fld */, X86::LD_Frr, Convert__Reg1_0, AMFBS_None, { MCK_RST }, },
{ 3005 /* fld1 */, X86::LD_F1, Convert_NoOperands, AMFBS_None, { }, },
{ 3010 /* fldcw */, X86::FLDCW16m, Convert__Mem165_0, AMFBS_None, { MCK_Mem16 }, },
{ 3016 /* fldenv */, X86::FLDENVm, Convert__Mem5_0, AMFBS_None, { MCK_Mem }, },
{ 3023 /* fldl */, X86::LD_F64m, Convert__Mem645_0, AMFBS_None, { MCK_Mem64 }, },
{ 3028 /* fldl2e */, X86::FLDL2E, Convert_NoOperands, AMFBS_None, { }, },
{ 3035 /* fldl2t */, X86::FLDL2T, Convert_NoOperands, AMFBS_None, { }, },
{ 3042 /* fldlg2 */, X86::FLDLG2, Convert_NoOperands, AMFBS_None, { }, },
{ 3049 /* fldln2 */, X86::FLDLN2, Convert_NoOperands, AMFBS_None, { }, },
{ 3056 /* fldpi */, X86::FLDPI, Convert_NoOperands, AMFBS_None, { }, },
{ 3062 /* flds */, X86::LD_F32m, Convert__Mem325_0, AMFBS_None, { MCK_Mem32 }, },
{ 3067 /* fldt */, X86::LD_F80m, Convert__Mem805_0, AMFBS_None, { MCK_Mem80 }, },
{ 3072 /* fldz */, X86::LD_F0, Convert_NoOperands, AMFBS_None, { }, },
{ 3077 /* fmul */, X86::MUL_FPrST0, Convert__regST1, AMFBS_None, { }, },
{ 3077 /* fmul */, X86::MUL_FST0r, Convert__Reg1_0, AMFBS_None, { MCK_RST }, },
{ 3077 /* fmul */, X86::MUL_FST0r, Convert__regST0, AMFBS_None, { MCK_ST0, MCK_ST0 }, },
{ 3077 /* fmul */, X86::MUL_FrST0, Convert__Reg1_1, AMFBS_None, { MCK_ST0, MCK_RST }, },
{ 3077 /* fmul */, X86::MUL_FST0r, Convert__Reg1_0, AMFBS_None, { MCK_RST, MCK_ST0 }, },
{ 3082 /* fmull */, X86::MUL_F64m, Convert__Mem645_0, AMFBS_None, { MCK_Mem64 }, },
{ 3088 /* fmulp */, X86::MUL_FPrST0, Convert__regST1, AMFBS_None, { }, },
{ 3088 /* fmulp */, X86::MUL_FPrST0, Convert__Reg1_0, AMFBS_None, { MCK_RST }, },
{ 3088 /* fmulp */, X86::MUL_FPrST0, Convert__regST0, AMFBS_None, { MCK_ST0, MCK_ST0 }, },
{ 3088 /* fmulp */, X86::MUL_FPrST0, Convert__Reg1_1, AMFBS_None, { MCK_ST0, MCK_RST }, },
{ 3088 /* fmulp */, X86::MUL_FPrST0, Convert__Reg1_0, AMFBS_None, { MCK_RST, MCK_ST0 }, },
{ 3094 /* fmuls */, X86::MUL_F32m, Convert__Mem325_0, AMFBS_None, { MCK_Mem32 }, },
{ 3100 /* fnclex */, X86::FNCLEX, Convert_NoOperands, AMFBS_None, { }, },
{ 3107 /* fninit */, X86::FNINIT, Convert_NoOperands, AMFBS_None, { }, },
{ 3114 /* fnop */, X86::FNOP, Convert_NoOperands, AMFBS_None, { }, },
{ 3119 /* fnsave */, X86::FSAVEm, Convert__Mem5_0, AMFBS_None, { MCK_Mem }, },
{ 3126 /* fnstcw */, X86::FNSTCW16m, Convert__Mem165_0, AMFBS_None, { MCK_Mem16 }, },
{ 3133 /* fnstenv */, X86::FSTENVm, Convert__Mem5_0, AMFBS_None, { MCK_Mem }, },
{ 3141 /* fnstsw */, X86::FNSTSW16r, Convert_NoOperands, AMFBS_None, { }, },
{ 3141 /* fnstsw */, X86::FNSTSW16r, Convert_NoOperands, AMFBS_None, { MCK_AX }, },
{ 3141 /* fnstsw */, X86::FNSTSWm, Convert__Mem165_0, AMFBS_None, { MCK_Mem16 }, },
{ 3148 /* fpatan */, X86::FPATAN, Convert_NoOperands, AMFBS_None, { }, },
{ 3155 /* fprem */, X86::FPREM, Convert_NoOperands, AMFBS_None, { }, },
{ 3161 /* fprem1 */, X86::FPREM1, Convert_NoOperands, AMFBS_None, { }, },
{ 3168 /* fptan */, X86::FPTAN, Convert_NoOperands, AMFBS_None, { }, },
{ 3174 /* frndint */, X86::FRNDINT, Convert_NoOperands, AMFBS_None, { }, },
{ 3182 /* frstor */, X86::FRSTORm, Convert__Mem5_0, AMFBS_None, { MCK_Mem }, },
{ 3189 /* fs */, X86::FS_PREFIX, Convert_NoOperands, AMFBS_None, { }, },
{ 3192 /* fscale */, X86::FSCALE, Convert_NoOperands, AMFBS_None, { }, },
{ 3199 /* fsin */, X86::FSIN, Convert_NoOperands, AMFBS_None, { }, },
{ 3204 /* fsincos */, X86::FSINCOS, Convert_NoOperands, AMFBS_None, { }, },
{ 3212 /* fsqrt */, X86::SQRT_F, Convert_NoOperands, AMFBS_None, { }, },
{ 3218 /* fst */, X86::ST_Frr, Convert__Reg1_0, AMFBS_None, { MCK_RST }, },
{ 3222 /* fstl */, X86::ST_F64m, Convert__Mem645_0, AMFBS_None, { MCK_Mem64 }, },
{ 3227 /* fstp */, X86::ST_FPrr, Convert__Reg1_0, AMFBS_None, { MCK_RST }, },
{ 3232 /* fstpl */, X86::ST_FP64m, Convert__Mem645_0, AMFBS_None, { MCK_Mem64 }, },
{ 3238 /* fstps */, X86::ST_FP32m, Convert__Mem325_0, AMFBS_None, { MCK_Mem32 }, },
{ 3244 /* fstpt */, X86::ST_FP80m, Convert__Mem805_0, AMFBS_None, { MCK_Mem80 }, },
{ 3250 /* fsts */, X86::ST_F32m, Convert__Mem325_0, AMFBS_None, { MCK_Mem32 }, },
{ 3255 /* fsub */, X86::SUB_FST0r, Convert__Reg1_0, AMFBS_None, { MCK_RST }, },
{ 3255 /* fsub */, X86::SUB_FST0r, Convert__regST0, AMFBS_None, { MCK_ST0, MCK_ST0 }, },
{ 3255 /* fsub */, X86::SUBR_FrST0, Convert__Reg1_1, AMFBS_None, { MCK_ST0, MCK_RST }, },
{ 3255 /* fsub */, X86::SUB_FST0r, Convert__Reg1_0, AMFBS_None, { MCK_RST, MCK_ST0 }, },
{ 3260 /* fsubl */, X86::SUB_F64m, Convert__Mem645_0, AMFBS_None, { MCK_Mem64 }, },
{ 3266 /* fsubp */, X86::SUBR_FPrST0, Convert__regST1, AMFBS_None, { }, },
{ 3266 /* fsubp */, X86::SUBR_FPrST0, Convert__Reg1_0, AMFBS_None, { MCK_RST }, },
{ 3266 /* fsubp */, X86::SUBR_FPrST0, Convert__regST0, AMFBS_None, { MCK_ST0, MCK_ST0 }, },
{ 3266 /* fsubp */, X86::SUBR_FPrST0, Convert__Reg1_1, AMFBS_None, { MCK_ST0, MCK_RST }, },
{ 3266 /* fsubp */, X86::SUBR_FPrST0, Convert__Reg1_0, AMFBS_None, { MCK_RST, MCK_ST0 }, },
{ 3272 /* fsubr */, X86::SUBR_FST0r, Convert__Reg1_0, AMFBS_None, { MCK_RST }, },
{ 3272 /* fsubr */, X86::SUBR_FST0r, Convert__regST0, AMFBS_None, { MCK_ST0, MCK_ST0 }, },
{ 3272 /* fsubr */, X86::SUB_FrST0, Convert__Reg1_1, AMFBS_None, { MCK_ST0, MCK_RST }, },
{ 3272 /* fsubr */, X86::SUBR_FST0r, Convert__Reg1_0, AMFBS_None, { MCK_RST, MCK_ST0 }, },
{ 3278 /* fsubrl */, X86::SUBR_F64m, Convert__Mem645_0, AMFBS_None, { MCK_Mem64 }, },
{ 3285 /* fsubrp */, X86::SUB_FPrST0, Convert__regST1, AMFBS_None, { }, },
{ 3285 /* fsubrp */, X86::SUB_FPrST0, Convert__Reg1_0, AMFBS_None, { MCK_RST }, },
{ 3285 /* fsubrp */, X86::SUB_FPrST0, Convert__regST0, AMFBS_None, { MCK_ST0, MCK_ST0 }, },
{ 3285 /* fsubrp */, X86::SUB_FPrST0, Convert__Reg1_1, AMFBS_None, { MCK_ST0, MCK_RST }, },
{ 3285 /* fsubrp */, X86::SUB_FPrST0, Convert__Reg1_0, AMFBS_None, { MCK_RST, MCK_ST0 }, },
{ 3292 /* fsubrs */, X86::SUBR_F32m, Convert__Mem325_0, AMFBS_None, { MCK_Mem32 }, },
{ 3299 /* fsubs */, X86::SUB_F32m, Convert__Mem325_0, AMFBS_None, { MCK_Mem32 }, },
{ 3305 /* ftst */, X86::TST_F, Convert_NoOperands, AMFBS_None, { }, },
{ 3310 /* fucom */, X86::UCOM_Fr, Convert__regST1, AMFBS_None, { }, },
{ 3310 /* fucom */, X86::UCOM_Fr, Convert__Reg1_0, AMFBS_None, { MCK_RST }, },
{ 3316 /* fucomi */, X86::UCOM_FIr, Convert__regST1, AMFBS_None, { }, },
{ 3316 /* fucomi */, X86::UCOM_FIr, Convert__Reg1_0, AMFBS_None, { MCK_RST }, },
{ 3316 /* fucomi */, X86::UCOM_FIr, Convert__regST0, AMFBS_None, { MCK_ST0, MCK_ST0 }, },
{ 3316 /* fucomi */, X86::UCOM_FIr, Convert__Reg1_0, AMFBS_None, { MCK_RST, MCK_ST0 }, },
{ 3323 /* fucomp */, X86::UCOM_FPr, Convert__regST1, AMFBS_None, { }, },
{ 3323 /* fucomp */, X86::UCOM_FPr, Convert__Reg1_0, AMFBS_None, { MCK_RST }, },
{ 3330 /* fucompi */, X86::UCOM_FIPr, Convert__regST1, AMFBS_None, { }, },
{ 3330 /* fucompi */, X86::UCOM_FIPr, Convert__Reg1_0, AMFBS_None, { MCK_RST }, },
{ 3330 /* fucompi */, X86::UCOM_FIPr, Convert__regST0, AMFBS_None, { MCK_ST0, MCK_ST0 }, },
{ 3330 /* fucompi */, X86::UCOM_FIPr, Convert__Reg1_0, AMFBS_None, { MCK_RST, MCK_ST0 }, },
{ 3338 /* fucompp */, X86::UCOM_FPPr, Convert_NoOperands, AMFBS_None, { }, },
{ 3346 /* fxam */, X86::XAM_F, Convert_NoOperands, AMFBS_None, { }, },
{ 3351 /* fxch */, X86::XCH_F, Convert__regST1, AMFBS_None, { }, },
{ 3351 /* fxch */, X86::XCH_F, Convert__Reg1_0, AMFBS_None, { MCK_RST }, },
{ 3356 /* fxrstor */, X86::FXRSTOR, Convert__Mem5_0, AMFBS_None, { MCK_Mem }, },
{ 3364 /* fxrstor64 */, X86::FXRSTOR64, Convert__Mem5_0, AMFBS_In64BitMode, { MCK_Mem }, },
{ 3374 /* fxsave */, X86::FXSAVE, Convert__Mem5_0, AMFBS_None, { MCK_Mem }, },
{ 3381 /* fxsave64 */, X86::FXSAVE64, Convert__Mem5_0, AMFBS_In64BitMode, { MCK_Mem }, },
{ 3390 /* fxtract */, X86::FXTRACT, Convert_NoOperands, AMFBS_None, { }, },
{ 3398 /* fyl2x */, X86::FYL2X, Convert_NoOperands, AMFBS_None, { }, },
{ 3404 /* fyl2xp1 */, X86::FYL2XP1, Convert_NoOperands, AMFBS_None, { }, },
{ 3412 /* getsec */, X86::GETSEC, Convert_NoOperands, AMFBS_None, { }, },
{ 3419 /* gf2p8affineinvqb */, X86::GF2P8AFFINEINVQBrri, Convert__Reg1_2__Tie0_1_1__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_FR16 }, },
{ 3419 /* gf2p8affineinvqb */, X86::GF2P8AFFINEINVQBrmi, Convert__Reg1_2__Tie0_1_1__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16 }, },
{ 3436 /* gf2p8affineqb */, X86::GF2P8AFFINEQBrri, Convert__Reg1_2__Tie0_1_1__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_FR16 }, },
{ 3436 /* gf2p8affineqb */, X86::GF2P8AFFINEQBrmi, Convert__Reg1_2__Tie0_1_1__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16 }, },
{ 3450 /* gf2p8mulb */, X86::GF2P8MULBrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 3450 /* gf2p8mulb */, X86::GF2P8MULBrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 3460 /* gs */, X86::GS_PREFIX, Convert_NoOperands, AMFBS_None, { }, },
{ 3463 /* haddpd */, X86::HADDPDrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 3463 /* haddpd */, X86::HADDPDrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 3470 /* haddps */, X86::HADDPSrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 3470 /* haddps */, X86::HADDPSrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 3477 /* hlt */, X86::HLT, Convert_NoOperands, AMFBS_None, { }, },
{ 3481 /* hreset */, X86::HRESET, Convert__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8 }, },
{ 3488 /* hsubpd */, X86::HSUBPDrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 3488 /* hsubpd */, X86::HSUBPDrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 3495 /* hsubps */, X86::HSUBPSrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 3495 /* hsubps */, X86::HSUBPSrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 3507 /* idivb */, X86::IDIV8r, Convert__Reg1_0, AMFBS_None, { MCK_GR8 }, },
{ 3507 /* idivb */, X86::IDIV8m, Convert__Mem85_0, AMFBS_None, { MCK_Mem8 }, },
{ 3507 /* idivb */, X86::IDIV8r, Convert__Reg1_0, AMFBS_None, { MCK_GR8, MCK_AL }, },
{ 3507 /* idivb */, X86::IDIV8m, Convert__Mem85_0, AMFBS_None, { MCK_Mem8, MCK_AL }, },
{ 3513 /* idivl */, X86::IDIV32r, Convert__Reg1_0, AMFBS_None, { MCK_GR32 }, },
{ 3513 /* idivl */, X86::IDIV32m, Convert__Mem325_0, AMFBS_None, { MCK_Mem32 }, },
{ 3513 /* idivl */, X86::IDIV32r, Convert__Reg1_0, AMFBS_None, { MCK_GR32, MCK_EAX }, },
{ 3513 /* idivl */, X86::IDIV32m, Convert__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_EAX }, },
{ 3519 /* idivq */, X86::IDIV64r, Convert__Reg1_0, AMFBS_None, { MCK_GR64 }, },
{ 3519 /* idivq */, X86::IDIV64m, Convert__Mem645_0, AMFBS_In64BitMode, { MCK_Mem64 }, },
{ 3519 /* idivq */, X86::IDIV64r, Convert__Reg1_0, AMFBS_None, { MCK_GR64, MCK_RAX }, },
{ 3519 /* idivq */, X86::IDIV64m, Convert__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_RAX }, },
{ 3525 /* idivw */, X86::IDIV16r, Convert__Reg1_0, AMFBS_None, { MCK_GR16 }, },
{ 3525 /* idivw */, X86::IDIV16m, Convert__Mem165_0, AMFBS_None, { MCK_Mem16 }, },
{ 3525 /* idivw */, X86::IDIV16r, Convert__Reg1_0, AMFBS_None, { MCK_GR16, MCK_AX }, },
{ 3525 /* idivw */, X86::IDIV16m, Convert__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_AX }, },
{ 3536 /* imulb */, X86::IMUL8r, Convert__Reg1_0, AMFBS_None, { MCK_GR8 }, },
{ 3536 /* imulb */, X86::IMUL8m, Convert__Mem85_0, AMFBS_None, { MCK_Mem8 }, },
{ 3542 /* imull */, X86::IMUL32r, Convert__Reg1_0, AMFBS_None, { MCK_GR32 }, },
{ 3542 /* imull */, X86::IMUL32m, Convert__Mem325_0, AMFBS_None, { MCK_Mem32 }, },
{ 3542 /* imull */, X86::IMUL32rr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 3542 /* imull */, X86::IMUL32rri8, Convert__Reg1_1__Reg1_1__ImmSExti32i81_0, AMFBS_None, { MCK_ImmSExti32i8, MCK_GR32 }, },
{ 3542 /* imull */, X86::IMUL32rri, Convert__Reg1_1__Reg1_1__Imm1_0, AMFBS_None, { MCK_Imm, MCK_GR32 }, },
{ 3542 /* imull */, X86::IMUL32rm, Convert__Reg1_1__Tie0_1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_GR32 }, },
{ 3542 /* imull */, X86::IMUL32rri8, Convert__Reg1_2__Reg1_1__ImmSExti32i81_0, AMFBS_None, { MCK_ImmSExti32i8, MCK_GR32, MCK_GR32 }, },
{ 3542 /* imull */, X86::IMUL32rmi8, Convert__Reg1_2__Mem325_1__ImmSExti32i81_0, AMFBS_None, { MCK_ImmSExti32i8, MCK_Mem32, MCK_GR32 }, },
{ 3542 /* imull */, X86::IMUL32rri, Convert__Reg1_2__Reg1_1__Imm1_0, AMFBS_None, { MCK_Imm, MCK_GR32, MCK_GR32 }, },
{ 3542 /* imull */, X86::IMUL32rmi, Convert__Reg1_2__Mem325_1__Imm1_0, AMFBS_None, { MCK_Imm, MCK_Mem32, MCK_GR32 }, },
{ 3548 /* imulq */, X86::IMUL64r, Convert__Reg1_0, AMFBS_None, { MCK_GR64 }, },
{ 3548 /* imulq */, X86::IMUL64m, Convert__Mem645_0, AMFBS_In64BitMode, { MCK_Mem64 }, },
{ 3548 /* imulq */, X86::IMUL64rr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 3548 /* imulq */, X86::IMUL64rri8, Convert__Reg1_1__Reg1_1__ImmSExti64i81_0, AMFBS_None, { MCK_ImmSExti64i8, MCK_GR64 }, },
{ 3548 /* imulq */, X86::IMUL64rri32, Convert__Reg1_1__Reg1_1__ImmSExti64i321_0, AMFBS_None, { MCK_ImmSExti64i32, MCK_GR64 }, },
{ 3548 /* imulq */, X86::IMUL64rm, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_GR64 }, },
{ 3548 /* imulq */, X86::IMUL64rri8, Convert__Reg1_2__Reg1_1__ImmSExti64i81_0, AMFBS_None, { MCK_ImmSExti64i8, MCK_GR64, MCK_GR64 }, },
{ 3548 /* imulq */, X86::IMUL64rmi8, Convert__Reg1_2__Mem645_1__ImmSExti64i81_0, AMFBS_None, { MCK_ImmSExti64i8, MCK_Mem64, MCK_GR64 }, },
{ 3548 /* imulq */, X86::IMUL64rri32, Convert__Reg1_2__Reg1_1__ImmSExti64i321_0, AMFBS_None, { MCK_ImmSExti64i32, MCK_GR64, MCK_GR64 }, },
{ 3548 /* imulq */, X86::IMUL64rmi32, Convert__Reg1_2__Mem645_1__ImmSExti64i321_0, AMFBS_None, { MCK_ImmSExti64i32, MCK_Mem64, MCK_GR64 }, },
{ 3554 /* imulw */, X86::IMUL16r, Convert__Reg1_0, AMFBS_None, { MCK_GR16 }, },
{ 3554 /* imulw */, X86::IMUL16m, Convert__Mem165_0, AMFBS_None, { MCK_Mem16 }, },
{ 3554 /* imulw */, X86::IMUL16rr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_GR16, MCK_GR16 }, },
{ 3554 /* imulw */, X86::IMUL16rri8, Convert__Reg1_1__Reg1_1__ImmSExti16i81_0, AMFBS_None, { MCK_ImmSExti16i8, MCK_GR16 }, },
{ 3554 /* imulw */, X86::IMUL16rri, Convert__Reg1_1__Reg1_1__Imm1_0, AMFBS_None, { MCK_Imm, MCK_GR16 }, },
{ 3554 /* imulw */, X86::IMUL16rm, Convert__Reg1_1__Tie0_1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_GR16 }, },
{ 3554 /* imulw */, X86::IMUL16rri8, Convert__Reg1_2__Reg1_1__ImmSExti16i81_0, AMFBS_None, { MCK_ImmSExti16i8, MCK_GR16, MCK_GR16 }, },
{ 3554 /* imulw */, X86::IMUL16rmi8, Convert__Reg1_2__Mem165_1__ImmSExti16i81_0, AMFBS_None, { MCK_ImmSExti16i8, MCK_Mem16, MCK_GR16 }, },
{ 3554 /* imulw */, X86::IMUL16rri, Convert__Reg1_2__Reg1_1__Imm1_0, AMFBS_None, { MCK_Imm, MCK_GR16, MCK_GR16 }, },
{ 3554 /* imulw */, X86::IMUL16rmi, Convert__Reg1_2__Mem165_1__Imm1_0, AMFBS_None, { MCK_Imm, MCK_Mem16, MCK_GR16 }, },
{ 3563 /* inb */, X86::IN8rr, Convert_NoOperands, AMFBS_None, { MCK_DX }, },
{ 3563 /* inb */, X86::IN8ri, Convert__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8 }, },
{ 3563 /* inb */, X86::IN8rr, Convert_NoOperands, AMFBS_None, { MCK_DX, MCK_AL }, },
{ 3563 /* inb */, X86::IN8ri, Convert__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_AL }, },
{ 3571 /* incb */, X86::INC8r, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR8 }, },
{ 3571 /* incb */, X86::INC8m, Convert__Mem85_0, AMFBS_None, { MCK_Mem8 }, },
{ 3576 /* incl */, X86::INC32r_alt, Convert__Reg1_0__Tie0_1_1, AMFBS_Not64BitMode, { MCK_GR32 }, },
{ 3576 /* incl */, X86::INC32r, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR32 }, },
{ 3576 /* incl */, X86::INC32m, Convert__Mem325_0, AMFBS_None, { MCK_Mem32 }, },
{ 3581 /* incq */, X86::INC64r, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR64 }, },
{ 3581 /* incq */, X86::INC64m, Convert__Mem645_0, AMFBS_In64BitMode, { MCK_Mem64 }, },
{ 3586 /* incsspd */, X86::INCSSPD, Convert__Reg1_0, AMFBS_None, { MCK_GR32 }, },
{ 3594 /* incsspq */, X86::INCSSPQ, Convert__Reg1_0, AMFBS_None, { MCK_GR64 }, },
{ 3602 /* incw */, X86::INC16r_alt, Convert__Reg1_0__Tie0_1_1, AMFBS_Not64BitMode, { MCK_GR16 }, },
{ 3602 /* incw */, X86::INC16r, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR16 }, },
{ 3602 /* incw */, X86::INC16m, Convert__Mem165_0, AMFBS_None, { MCK_Mem16 }, },
{ 3607 /* inl */, X86::IN32rr, Convert_NoOperands, AMFBS_None, { MCK_DX }, },
{ 3607 /* inl */, X86::IN32ri, Convert__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8 }, },
{ 3607 /* inl */, X86::IN32rr, Convert_NoOperands, AMFBS_None, { MCK_DX, MCK_EAX }, },
{ 3607 /* inl */, X86::IN32ri, Convert__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_EAX }, },
{ 3615 /* insb */, X86::INSB, Convert__DstIdx81_1, AMFBS_None, { MCK_DX, MCK_DstIdx8 }, },
{ 3625 /* insertps */, X86::INSERTPSrr, Convert__Reg1_2__Tie0_1_1__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_FR16 }, },
{ 3625 /* insertps */, X86::INSERTPSrm, Convert__Reg1_2__Tie0_1_1__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK_FR16 }, },
{ 3634 /* insertq */, X86::INSERTQ, Convert__Reg1_1__Tie0_2_2__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 3634 /* insertq */, X86::INSERTQI, Convert__Reg1_3__Tie0_4_4__Reg1_2__ImmUnsignedi81_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_ImmUnsignedi8, MCK_FR16, MCK_FR16 }, },
{ 3642 /* insl */, X86::INSL, Convert__DstIdx321_1, AMFBS_None, { MCK_DX, MCK_DstIdx32 }, },
{ 3647 /* insw */, X86::INSW, Convert__DstIdx161_1, AMFBS_None, { MCK_DX, MCK_DstIdx16 }, },
{ 3652 /* int */, X86::INT, Convert__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8 }, },
{ 3656 /* int3 */, X86::INT3, Convert_NoOperands, AMFBS_None, { }, },
{ 3661 /* into */, X86::INTO, Convert_NoOperands, AMFBS_Not64BitMode, { }, },
{ 3666 /* invd */, X86::INVD, Convert_NoOperands, AMFBS_None, { }, },
{ 3671 /* invept */, X86::INVEPT32, Convert__Reg1_1__Mem1285_0, AMFBS_Not64BitMode, { MCK_Mem128, MCK_GR32 }, },
{ 3671 /* invept */, X86::INVEPT64, Convert__Reg1_1__Mem1285_0, AMFBS_In64BitMode, { MCK_Mem128, MCK_GR64 }, },
{ 3678 /* invlpg */, X86::INVLPG, Convert__Mem85_0, AMFBS_None, { MCK_Mem8 }, },
{ 3685 /* invlpga */, X86::INVLPGA32, Convert_NoOperands, AMFBS_Not64BitMode, { }, },
{ 3685 /* invlpga */, X86::INVLPGA64, Convert_NoOperands, AMFBS_In64BitMode, { }, },
{ 3685 /* invlpga */, X86::INVLPGA32, Convert_NoOperands, AMFBS_Not64BitMode, { MCK_EAX, MCK_ECX }, },
{ 3685 /* invlpga */, X86::INVLPGA64, Convert_NoOperands, AMFBS_In64BitMode, { MCK_RAX, MCK_ECX }, },
{ 3693 /* invlpgb */, X86::INVLPGB32, Convert_NoOperands, AMFBS_Not64BitMode, { }, },
{ 3693 /* invlpgb */, X86::INVLPGB64, Convert_NoOperands, AMFBS_In64BitMode, { }, },
{ 3693 /* invlpgb */, X86::INVLPGB32, Convert_NoOperands, AMFBS_Not64BitMode, { MCK_EAX, MCK_EDX }, },
{ 3693 /* invlpgb */, X86::INVLPGB64, Convert_NoOperands, AMFBS_In64BitMode, { MCK_RAX, MCK_EDX }, },
{ 3701 /* invpcid */, X86::INVPCID32, Convert__Reg1_1__Mem1285_0, AMFBS_Not64BitMode, { MCK_Mem128, MCK_GR32 }, },
{ 3701 /* invpcid */, X86::INVPCID64, Convert__Reg1_1__Mem1285_0, AMFBS_In64BitMode, { MCK_Mem128, MCK_GR64 }, },
{ 3709 /* invvpid */, X86::INVVPID32, Convert__Reg1_1__Mem1285_0, AMFBS_Not64BitMode, { MCK_Mem128, MCK_GR32 }, },
{ 3709 /* invvpid */, X86::INVVPID64, Convert__Reg1_1__Mem1285_0, AMFBS_In64BitMode, { MCK_Mem128, MCK_GR64 }, },
{ 3717 /* inw */, X86::IN16rr, Convert_NoOperands, AMFBS_None, { MCK_DX }, },
{ 3717 /* inw */, X86::IN16ri, Convert__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8 }, },
{ 3717 /* inw */, X86::IN16rr, Convert_NoOperands, AMFBS_None, { MCK_DX, MCK_AX }, },
{ 3717 /* inw */, X86::IN16ri, Convert__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_AX }, },
{ 3732 /* iretl */, X86::IRET32, Convert_NoOperands, AMFBS_None, { }, },
{ 3738 /* iretq */, X86::IRET64, Convert_NoOperands, AMFBS_In64BitMode, { }, },
{ 3744 /* iretw */, X86::IRET16, Convert_NoOperands, AMFBS_None, { }, },
{ 3750 /* ja */, X86::JCC_1, Convert__AbsMem1_0__imm_95_7, AMFBS_None, { MCK_AbsMem }, },
{ 3753 /* jae */, X86::JCC_1, Convert__AbsMem1_0__imm_95_3, AMFBS_None, { MCK_AbsMem }, },
{ 3757 /* jb */, X86::JCC_1, Convert__AbsMem1_0__imm_95_2, AMFBS_None, { MCK_AbsMem }, },
{ 3760 /* jbe */, X86::JCC_1, Convert__AbsMem1_0__imm_95_6, AMFBS_None, { MCK_AbsMem }, },
{ 3764 /* jcxz */, X86::JCXZ, Convert__AbsMem1_0, AMFBS_Not64BitMode, { MCK_AbsMem }, },
{ 3769 /* je */, X86::JCC_1, Convert__AbsMem1_0__imm_95_4, AMFBS_None, { MCK_AbsMem }, },
{ 3772 /* jecxz */, X86::JECXZ, Convert__AbsMem1_0, AMFBS_None, { MCK_AbsMem }, },
{ 3778 /* jg */, X86::JCC_1, Convert__AbsMem1_0__imm_95_15, AMFBS_None, { MCK_AbsMem }, },
{ 3781 /* jge */, X86::JCC_1, Convert__AbsMem1_0__imm_95_13, AMFBS_None, { MCK_AbsMem }, },
{ 3785 /* jl */, X86::JCC_1, Convert__AbsMem1_0__imm_95_12, AMFBS_None, { MCK_AbsMem }, },
{ 3788 /* jle */, X86::JCC_1, Convert__AbsMem1_0__imm_95_14, AMFBS_None, { MCK_AbsMem }, },
{ 3792 /* jmp */, X86::JMP_1, Convert__AbsMem1_0, AMFBS_None, { MCK_AbsMem }, },
{ 3792 /* jmp */, X86::JMP16m, Convert__Mem165_1, AMFBS_In16BitMode, { MCK__STAR_, MCK_Mem16 }, },
{ 3792 /* jmp */, X86::JMP32m, Convert__Mem325_1, AMFBS_In32BitMode, { MCK__STAR_, MCK_Mem32 }, },
{ 3792 /* jmp */, X86::JMP64m, Convert__Mem645_1, AMFBS_In64BitMode, { MCK__STAR_, MCK_Mem64 }, },
{ 3792 /* jmp */, X86::FARJMP16i, Convert__Imm1_1__Imm1_0, AMFBS_In16BitMode, { MCK_Imm, MCK_Imm }, },
{ 3792 /* jmp */, X86::FARJMP32i, Convert__Imm1_1__Imm1_0, AMFBS_In32BitMode, { MCK_Imm, MCK_Imm }, },
{ 3796 /* jmpl */, X86::JMP32r, Convert__Reg1_1, AMFBS_Not64BitMode, { MCK__STAR_, MCK_GR32 }, },
{ 3796 /* jmpl */, X86::JMP32m, Convert__Mem325_1, AMFBS_Not64BitMode, { MCK__STAR_, MCK_Mem32 }, },
{ 3796 /* jmpl */, X86::FARJMP32i, Convert__Imm1_1__Imm1_0, AMFBS_Not64BitMode, { MCK_Imm, MCK_Imm }, },
{ 3801 /* jmpq */, X86::JMP64r, Convert__Reg1_1, AMFBS_In64BitMode, { MCK__STAR_, MCK_GR64 }, },
{ 3801 /* jmpq */, X86::JMP64m, Convert__Mem645_1, AMFBS_In64BitMode, { MCK__STAR_, MCK_Mem64 }, },
{ 3806 /* jmpw */, X86::JMP16r, Convert__Reg1_1, AMFBS_Not64BitMode, { MCK__STAR_, MCK_GR16 }, },
{ 3806 /* jmpw */, X86::JMP16m, Convert__Mem165_1, AMFBS_Not64BitMode, { MCK__STAR_, MCK_Mem16 }, },
{ 3806 /* jmpw */, X86::FARJMP16i, Convert__Imm1_1__Imm1_0, AMFBS_Not64BitMode, { MCK_Imm, MCK_Imm }, },
{ 3811 /* jne */, X86::JCC_1, Convert__AbsMem1_0__imm_95_5, AMFBS_None, { MCK_AbsMem }, },
{ 3815 /* jno */, X86::JCC_1, Convert__AbsMem1_0__imm_95_1, AMFBS_None, { MCK_AbsMem }, },
{ 3819 /* jnp */, X86::JCC_1, Convert__AbsMem1_0__imm_95_11, AMFBS_None, { MCK_AbsMem }, },
{ 3823 /* jns */, X86::JCC_1, Convert__AbsMem1_0__imm_95_9, AMFBS_None, { MCK_AbsMem }, },
{ 3827 /* jo */, X86::JCC_1, Convert__AbsMem1_0__imm_95_0, AMFBS_None, { MCK_AbsMem }, },
{ 3830 /* jp */, X86::JCC_1, Convert__AbsMem1_0__imm_95_10, AMFBS_None, { MCK_AbsMem }, },
{ 3833 /* jrcxz */, X86::JRCXZ, Convert__AbsMem1_0, AMFBS_In64BitMode, { MCK_AbsMem }, },
{ 3839 /* js */, X86::JCC_1, Convert__AbsMem1_0__imm_95_8, AMFBS_None, { MCK_AbsMem }, },
{ 3842 /* kaddb */, X86::KADDBrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VK1, MCK_VK1, MCK_VK1 }, },
{ 3848 /* kaddd */, X86::KADDDrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VK1, MCK_VK1, MCK_VK1 }, },
{ 3854 /* kaddq */, X86::KADDQrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VK1, MCK_VK1, MCK_VK1 }, },
{ 3860 /* kaddw */, X86::KADDWrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VK1, MCK_VK1, MCK_VK1 }, },
{ 3866 /* kandb */, X86::KANDBrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VK1, MCK_VK1, MCK_VK1 }, },
{ 3872 /* kandd */, X86::KANDDrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VK1, MCK_VK1, MCK_VK1 }, },
{ 3878 /* kandnb */, X86::KANDNBrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VK1, MCK_VK1, MCK_VK1 }, },
{ 3885 /* kandnd */, X86::KANDNDrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VK1, MCK_VK1, MCK_VK1 }, },
{ 3892 /* kandnq */, X86::KANDNQrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VK1, MCK_VK1, MCK_VK1 }, },
{ 3899 /* kandnw */, X86::KANDNWrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VK1, MCK_VK1, MCK_VK1 }, },
{ 3906 /* kandq */, X86::KANDQrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VK1, MCK_VK1, MCK_VK1 }, },
{ 3912 /* kandw */, X86::KANDWrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VK1, MCK_VK1, MCK_VK1 }, },
{ 3918 /* kmovb */, X86::KMOVBkk, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VK1, MCK_VK1 }, },
{ 3918 /* kmovb */, X86::KMOVBrk, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VK1, MCK_GR32 }, },
{ 3918 /* kmovb */, X86::KMOVBmk, Convert__Mem85_1__Reg1_0, AMFBS_None, { MCK_VK1, MCK_Mem8 }, },
{ 3918 /* kmovb */, X86::KMOVBkr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_VK1 }, },
{ 3918 /* kmovb */, X86::KMOVBkm, Convert__Reg1_1__Mem85_0, AMFBS_None, { MCK_Mem8, MCK_VK1 }, },
{ 3924 /* kmovd */, X86::KMOVDkk, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VK1, MCK_VK1 }, },
{ 3924 /* kmovd */, X86::KMOVDrk, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VK1, MCK_GR32 }, },
{ 3924 /* kmovd */, X86::KMOVDmk, Convert__Mem325_1__Reg1_0, AMFBS_None, { MCK_VK1, MCK_Mem32 }, },
{ 3924 /* kmovd */, X86::KMOVDkr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_VK1 }, },
{ 3924 /* kmovd */, X86::KMOVDkm, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_VK1 }, },
{ 3930 /* kmovq */, X86::KMOVQkk, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VK1, MCK_VK1 }, },
{ 3930 /* kmovq */, X86::KMOVQrk, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VK1, MCK_GR64 }, },
{ 3930 /* kmovq */, X86::KMOVQmk, Convert__Mem645_1__Reg1_0, AMFBS_None, { MCK_VK1, MCK_Mem64 }, },
{ 3930 /* kmovq */, X86::KMOVQkr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR64, MCK_VK1 }, },
{ 3930 /* kmovq */, X86::KMOVQkm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VK1 }, },
{ 3936 /* kmovw */, X86::KMOVWkk, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VK1, MCK_VK1 }, },
{ 3936 /* kmovw */, X86::KMOVWrk, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VK1, MCK_GR32 }, },
{ 3936 /* kmovw */, X86::KMOVWmk, Convert__Mem165_1__Reg1_0, AMFBS_None, { MCK_VK1, MCK_Mem16 }, },
{ 3936 /* kmovw */, X86::KMOVWkr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_VK1 }, },
{ 3936 /* kmovw */, X86::KMOVWkm, Convert__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_VK1 }, },
{ 3942 /* knotb */, X86::KNOTBrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VK1, MCK_VK1 }, },
{ 3948 /* knotd */, X86::KNOTDrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VK1, MCK_VK1 }, },
{ 3954 /* knotq */, X86::KNOTQrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VK1, MCK_VK1 }, },
{ 3960 /* knotw */, X86::KNOTWrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VK1, MCK_VK1 }, },
{ 3966 /* korb */, X86::KORBrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VK1, MCK_VK1, MCK_VK1 }, },
{ 3971 /* kord */, X86::KORDrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VK1, MCK_VK1, MCK_VK1 }, },
{ 3976 /* korq */, X86::KORQrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VK1, MCK_VK1, MCK_VK1 }, },
{ 3981 /* kortestb */, X86::KORTESTBrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VK1, MCK_VK1 }, },
{ 3990 /* kortestd */, X86::KORTESTDrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VK1, MCK_VK1 }, },
{ 3999 /* kortestq */, X86::KORTESTQrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VK1, MCK_VK1 }, },
{ 4008 /* kortestw */, X86::KORTESTWrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VK1, MCK_VK1 }, },
{ 4017 /* korw */, X86::KORWrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VK1, MCK_VK1, MCK_VK1 }, },
{ 4022 /* kshiftlb */, X86::KSHIFTLBri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VK1, MCK_VK1 }, },
{ 4031 /* kshiftld */, X86::KSHIFTLDri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VK1, MCK_VK1 }, },
{ 4040 /* kshiftlq */, X86::KSHIFTLQri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VK1, MCK_VK1 }, },
{ 4049 /* kshiftlw */, X86::KSHIFTLWri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VK1, MCK_VK1 }, },
{ 4058 /* kshiftrb */, X86::KSHIFTRBri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VK1, MCK_VK1 }, },
{ 4067 /* kshiftrd */, X86::KSHIFTRDri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VK1, MCK_VK1 }, },
{ 4076 /* kshiftrq */, X86::KSHIFTRQri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VK1, MCK_VK1 }, },
{ 4085 /* kshiftrw */, X86::KSHIFTRWri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VK1, MCK_VK1 }, },
{ 4094 /* ktestb */, X86::KTESTBrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VK1, MCK_VK1 }, },
{ 4101 /* ktestd */, X86::KTESTDrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VK1, MCK_VK1 }, },
{ 4108 /* ktestq */, X86::KTESTQrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VK1, MCK_VK1 }, },
{ 4115 /* ktestw */, X86::KTESTWrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VK1, MCK_VK1 }, },
{ 4122 /* kunpckbw */, X86::KUNPCKBWrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VK1, MCK_VK1, MCK_VK1 }, },
{ 4131 /* kunpckdq */, X86::KUNPCKDQrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VK1, MCK_VK1, MCK_VK1 }, },
{ 4140 /* kunpckwd */, X86::KUNPCKWDrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VK1, MCK_VK1, MCK_VK1 }, },
{ 4149 /* kxnorb */, X86::KXNORBrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VK1, MCK_VK1, MCK_VK1 }, },
{ 4156 /* kxnord */, X86::KXNORDrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VK1, MCK_VK1, MCK_VK1 }, },
{ 4163 /* kxnorq */, X86::KXNORQrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VK1, MCK_VK1, MCK_VK1 }, },
{ 4170 /* kxnorw */, X86::KXNORWrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VK1, MCK_VK1, MCK_VK1 }, },
{ 4177 /* kxorb */, X86::KXORBrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VK1, MCK_VK1, MCK_VK1 }, },
{ 4183 /* kxord */, X86::KXORDrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VK1, MCK_VK1, MCK_VK1 }, },
{ 4189 /* kxorq */, X86::KXORQrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VK1, MCK_VK1, MCK_VK1 }, },
{ 4195 /* kxorw */, X86::KXORWrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VK1, MCK_VK1, MCK_VK1 }, },
{ 4201 /* lahf */, X86::LAHF, Convert_NoOperands, AMFBS_None, { }, },
{ 4210 /* larl */, X86::LAR32rr, Convert__Reg1_1__GR16orGR32orGR641_0, AMFBS_None, { MCK_GR16orGR32orGR64, MCK_GR32 }, },
{ 4210 /* larl */, X86::LAR32rm, Convert__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_GR32 }, },
{ 4215 /* larq */, X86::LAR64rr, Convert__Reg1_1__GR16orGR32orGR641_0, AMFBS_None, { MCK_GR16orGR32orGR64, MCK_GR64 }, },
{ 4215 /* larq */, X86::LAR64rm, Convert__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_GR64 }, },
{ 4220 /* larw */, X86::LAR16rr, Convert__Reg1_1__GR16orGR32orGR641_0, AMFBS_None, { MCK_GR16orGR32orGR64, MCK_GR16 }, },
{ 4220 /* larw */, X86::LAR16rm, Convert__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_GR16 }, },
{ 4225 /* lcall */, X86::FARCALL32m, Convert__Mem5_1, AMFBS_Not16BitMode, { MCK__STAR_, MCK_Mem }, },
{ 4225 /* lcall */, X86::FARCALL16m, Convert__Mem5_1, AMFBS_In16BitMode, { MCK__STAR_, MCK_Mem }, },
{ 4225 /* lcall */, X86::FARCALL32i, Convert__Imm1_1__Imm1_0, AMFBS_In32BitMode, { MCK_Imm, MCK_Imm }, },
{ 4225 /* lcall */, X86::FARCALL16i, Convert__Imm1_1__Imm1_0, AMFBS_In16BitMode, { MCK_Imm, MCK_Imm }, },
{ 4231 /* lcalll */, X86::FARCALL32m, Convert__Mem5_1, AMFBS_None, { MCK__STAR_, MCK_Mem }, },
{ 4231 /* lcalll */, X86::FARCALL32i, Convert__Imm1_1__Imm1_0, AMFBS_Not64BitMode, { MCK_Imm, MCK_Imm }, },
{ 4238 /* lcallq */, X86::FARCALL64m, Convert__Mem5_1, AMFBS_None, { MCK__STAR_, MCK_Mem }, },
{ 4245 /* lcallw */, X86::FARCALL16m, Convert__Mem5_1, AMFBS_None, { MCK__STAR_, MCK_Mem }, },
{ 4245 /* lcallw */, X86::FARCALL16i, Convert__Imm1_1__Imm1_0, AMFBS_Not64BitMode, { MCK_Imm, MCK_Imm }, },
{ 4252 /* lddqu */, X86::LDDQUrm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 4258 /* ldmxcsr */, X86::LDMXCSR, Convert__Mem325_0, AMFBS_None, { MCK_Mem32 }, },
{ 4270 /* ldsl */, X86::LDS32rm, Convert__Reg1_1__Mem5_0, AMFBS_Not64BitMode, { MCK_Mem, MCK_GR32 }, },
{ 4275 /* ldsw */, X86::LDS16rm, Convert__Reg1_1__Mem5_0, AMFBS_Not64BitMode, { MCK_Mem, MCK_GR16 }, },
{ 4280 /* ldtilecfg */, X86::LDTILECFG, Convert__Mem5_0, AMFBS_In64BitMode, { MCK_Mem }, },
{ 4294 /* leal */, X86::LEA32r, Convert__Reg1_1__Mem5_0, AMFBS_Not64BitMode, { MCK_Mem, MCK_GR32 }, },
{ 4294 /* leal */, X86::LEA64_32r, Convert__Reg1_1__Mem5_0, AMFBS_In64BitMode, { MCK_Mem, MCK_GR32 }, },
{ 4299 /* leaq */, X86::LEA64r, Convert__Reg1_1__Mem5_0, AMFBS_None, { MCK_Mem, MCK_GR64 }, },
{ 4304 /* leave */, X86::LEAVE, Convert_NoOperands, AMFBS_Not64BitMode, { }, },
{ 4304 /* leave */, X86::LEAVE64, Convert_NoOperands, AMFBS_In64BitMode, { }, },
{ 4310 /* leaw */, X86::LEA16r, Convert__Reg1_1__Mem5_0, AMFBS_None, { MCK_Mem, MCK_GR16 }, },
{ 4319 /* lesl */, X86::LES32rm, Convert__Reg1_1__Mem5_0, AMFBS_Not64BitMode, { MCK_Mem, MCK_GR32 }, },
{ 4324 /* lesw */, X86::LES16rm, Convert__Reg1_1__Mem5_0, AMFBS_Not64BitMode, { MCK_Mem, MCK_GR16 }, },
{ 4329 /* lfence */, X86::LFENCE, Convert_NoOperands, AMFBS_None, { }, },
{ 4340 /* lfsl */, X86::LFS32rm, Convert__Reg1_1__Mem5_0, AMFBS_None, { MCK_Mem, MCK_GR32 }, },
{ 4345 /* lfsq */, X86::LFS64rm, Convert__Reg1_1__Mem5_0, AMFBS_None, { MCK_Mem, MCK_GR64 }, },
{ 4350 /* lfsw */, X86::LFS16rm, Convert__Reg1_1__Mem5_0, AMFBS_None, { MCK_Mem, MCK_GR16 }, },
{ 4366 /* lgdtl */, X86::LGDT32m, Convert__Mem5_0, AMFBS_Not64BitMode, { MCK_Mem }, },
{ 4372 /* lgdtq */, X86::LGDT64m, Convert__Mem5_0, AMFBS_In64BitMode, { MCK_Mem }, },
{ 4378 /* lgdtw */, X86::LGDT16m, Convert__Mem5_0, AMFBS_Not64BitMode, { MCK_Mem }, },
{ 4388 /* lgsl */, X86::LGS32rm, Convert__Reg1_1__Mem5_0, AMFBS_None, { MCK_Mem, MCK_GR32 }, },
{ 4393 /* lgsq */, X86::LGS64rm, Convert__Reg1_1__Mem5_0, AMFBS_None, { MCK_Mem, MCK_GR64 }, },
{ 4398 /* lgsw */, X86::LGS16rm, Convert__Reg1_1__Mem5_0, AMFBS_None, { MCK_Mem, MCK_GR16 }, },
{ 4414 /* lidtl */, X86::LIDT32m, Convert__Mem5_0, AMFBS_Not64BitMode, { MCK_Mem }, },
{ 4420 /* lidtq */, X86::LIDT64m, Convert__Mem5_0, AMFBS_In64BitMode, { MCK_Mem }, },
{ 4426 /* lidtw */, X86::LIDT16m, Convert__Mem5_0, AMFBS_Not64BitMode, { MCK_Mem }, },
{ 4432 /* ljmp */, X86::FARJMP32m, Convert__Mem5_1, AMFBS_Not16BitMode, { MCK__STAR_, MCK_Mem }, },
{ 4432 /* ljmp */, X86::FARJMP16m, Convert__Mem5_1, AMFBS_In16BitMode, { MCK__STAR_, MCK_Mem }, },
{ 4432 /* ljmp */, X86::FARJMP32i, Convert__Imm1_1__Imm1_0, AMFBS_In32BitMode, { MCK_Imm, MCK_Imm }, },
{ 4432 /* ljmp */, X86::FARJMP16i, Convert__Imm1_1__Imm1_0, AMFBS_In16BitMode, { MCK_Imm, MCK_Imm }, },
{ 4437 /* ljmpl */, X86::FARJMP32m, Convert__Mem5_1, AMFBS_None, { MCK__STAR_, MCK_Mem }, },
{ 4437 /* ljmpl */, X86::FARJMP32i, Convert__Imm1_1__Imm1_0, AMFBS_Not64BitMode, { MCK_Imm, MCK_Imm }, },
{ 4443 /* ljmpq */, X86::FARJMP64m, Convert__Mem5_1, AMFBS_In64BitMode, { MCK__STAR_, MCK_Mem }, },
{ 4449 /* ljmpw */, X86::FARJMP16m, Convert__Mem5_1, AMFBS_None, { MCK__STAR_, MCK_Mem }, },
{ 4449 /* ljmpw */, X86::FARJMP16i, Convert__Imm1_1__Imm1_0, AMFBS_Not64BitMode, { MCK_Imm, MCK_Imm }, },
{ 4460 /* lldtw */, X86::LLDT16r, Convert__Reg1_0, AMFBS_None, { MCK_GR16 }, },
{ 4460 /* lldtw */, X86::LLDT16m, Convert__Mem165_0, AMFBS_None, { MCK_Mem16 }, },
{ 4466 /* llwpcb */, X86::LLWPCB, Convert__Reg1_0, AMFBS_None, { MCK_GR32 }, },
{ 4466 /* llwpcb */, X86::LLWPCB64, Convert__Reg1_0, AMFBS_None, { MCK_GR64 }, },
{ 4478 /* lmsww */, X86::LMSW16r, Convert__Reg1_0, AMFBS_None, { MCK_GR16 }, },
{ 4478 /* lmsww */, X86::LMSW16m, Convert__Mem165_0, AMFBS_None, { MCK_Mem16 }, },
{ 4484 /* loadiwkey */, X86::LOADIWKEY, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 4494 /* lock */, X86::LOCK_PREFIX, Convert_NoOperands, AMFBS_None, { }, },
{ 4499 /* lods */, X86::LODSW, Convert__SrcIdx162_0, AMFBS_None, { MCK_SrcIdx16, MCK_AX }, },
{ 4499 /* lods */, X86::LODSL, Convert__SrcIdx322_0, AMFBS_None, { MCK_SrcIdx32, MCK_EAX }, },
{ 4499 /* lods */, X86::LODSQ, Convert__SrcIdx642_0, AMFBS_In64BitMode, { MCK_SrcIdx64, MCK_RAX }, },
{ 4499 /* lods */, X86::LODSB, Convert__SrcIdx82_0, AMFBS_None, { MCK_SrcIdx8, MCK_AL }, },
{ 4504 /* lodsb */, X86::LODSB, Convert__SrcIdx82_0, AMFBS_None, { MCK_SrcIdx8 }, },
{ 4504 /* lodsb */, X86::LODSB, Convert__SrcIdx82_0, AMFBS_None, { MCK_SrcIdx8, MCK_AL }, },
{ 4516 /* lodsl */, X86::LODSL, Convert__SrcIdx322_0, AMFBS_None, { MCK_SrcIdx32 }, },
{ 4516 /* lodsl */, X86::LODSL, Convert__SrcIdx322_0, AMFBS_None, { MCK_SrcIdx32, MCK_EAX }, },
{ 4522 /* lodsq */, X86::LODSQ, Convert__SrcIdx642_0, AMFBS_In64BitMode, { MCK_SrcIdx64 }, },
{ 4522 /* lodsq */, X86::LODSQ, Convert__SrcIdx642_0, AMFBS_In64BitMode, { MCK_SrcIdx64, MCK_RAX }, },
{ 4528 /* lodsw */, X86::LODSW, Convert__SrcIdx162_0, AMFBS_None, { MCK_SrcIdx16 }, },
{ 4528 /* lodsw */, X86::LODSW, Convert__SrcIdx162_0, AMFBS_None, { MCK_SrcIdx16, MCK_AX }, },
{ 4534 /* loop */, X86::LOOP, Convert__AbsMem1_0, AMFBS_None, { MCK_AbsMem }, },
{ 4539 /* loope */, X86::LOOPE, Convert__AbsMem1_0, AMFBS_None, { MCK_AbsMem }, },
{ 4545 /* loopne */, X86::LOOPNE, Convert__AbsMem1_0, AMFBS_None, { MCK_AbsMem }, },
{ 4552 /* lretl */, X86::LRET32, Convert_NoOperands, AMFBS_None, { }, },
{ 4552 /* lretl */, X86::LRETI32, Convert__Imm1_0, AMFBS_None, { MCK_Imm }, },
{ 4558 /* lretq */, X86::LRET64, Convert_NoOperands, AMFBS_In64BitMode, { }, },
{ 4558 /* lretq */, X86::LRETI64, Convert__Imm1_0, AMFBS_In64BitMode, { MCK_Imm }, },
{ 4564 /* lretw */, X86::LRET16, Convert_NoOperands, AMFBS_None, { }, },
{ 4564 /* lretw */, X86::LRETI16, Convert__Imm1_0, AMFBS_None, { MCK_Imm }, },
{ 4574 /* lsll */, X86::LSL32rr, Convert__Reg1_1__GR16orGR32orGR641_0, AMFBS_None, { MCK_GR16orGR32orGR64, MCK_GR32 }, },
{ 4574 /* lsll */, X86::LSL32rm, Convert__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_GR32 }, },
{ 4579 /* lslq */, X86::LSL64rr, Convert__Reg1_1__GR16orGR32orGR641_0, AMFBS_None, { MCK_GR16orGR32orGR64, MCK_GR64 }, },
{ 4579 /* lslq */, X86::LSL64rm, Convert__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_GR64 }, },
{ 4584 /* lslw */, X86::LSL16rr, Convert__Reg1_1__GR16orGR32orGR641_0, AMFBS_None, { MCK_GR16orGR32orGR64, MCK_GR16 }, },
{ 4584 /* lslw */, X86::LSL16rm, Convert__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_GR16 }, },
{ 4593 /* lssl */, X86::LSS32rm, Convert__Reg1_1__Mem5_0, AMFBS_None, { MCK_Mem, MCK_GR32 }, },
{ 4598 /* lssq */, X86::LSS64rm, Convert__Reg1_1__Mem5_0, AMFBS_None, { MCK_Mem, MCK_GR64 }, },
{ 4603 /* lssw */, X86::LSS16rm, Convert__Reg1_1__Mem5_0, AMFBS_None, { MCK_Mem, MCK_GR16 }, },
{ 4612 /* ltrw */, X86::LTRr, Convert__Reg1_0, AMFBS_None, { MCK_GR16 }, },
{ 4612 /* ltrw */, X86::LTRm, Convert__Mem165_0, AMFBS_None, { MCK_Mem16 }, },
{ 4617 /* lwpins */, X86::LWPINS32rri, Convert__Reg1_2__Reg1_1__Imm1_0, AMFBS_None, { MCK_Imm, MCK_GR32, MCK_GR32 }, },
{ 4617 /* lwpins */, X86::LWPINS64rri, Convert__Reg1_2__Reg1_1__Imm1_0, AMFBS_None, { MCK_Imm, MCK_GR32, MCK_GR64 }, },
{ 4617 /* lwpins */, X86::LWPINS32rmi, Convert__Reg1_2__Mem325_1__Imm1_0, AMFBS_None, { MCK_Imm, MCK_Mem32, MCK_GR32 }, },
{ 4617 /* lwpins */, X86::LWPINS64rmi, Convert__Reg1_2__Mem325_1__Imm1_0, AMFBS_None, { MCK_Imm, MCK_Mem32, MCK_GR64 }, },
{ 4624 /* lwpval */, X86::LWPVAL32rri, Convert__Reg1_2__Reg1_1__Imm1_0, AMFBS_None, { MCK_Imm, MCK_GR32, MCK_GR32 }, },
{ 4624 /* lwpval */, X86::LWPVAL64rri, Convert__Reg1_2__Reg1_1__Imm1_0, AMFBS_None, { MCK_Imm, MCK_GR32, MCK_GR64 }, },
{ 4624 /* lwpval */, X86::LWPVAL32rmi, Convert__Reg1_2__Mem325_1__Imm1_0, AMFBS_None, { MCK_Imm, MCK_Mem32, MCK_GR32 }, },
{ 4624 /* lwpval */, X86::LWPVAL64rmi, Convert__Reg1_2__Mem325_1__Imm1_0, AMFBS_None, { MCK_Imm, MCK_Mem32, MCK_GR64 }, },
{ 4637 /* lzcntl */, X86::LZCNT32rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 4637 /* lzcntl */, X86::LZCNT32rm, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_GR32 }, },
{ 4644 /* lzcntq */, X86::LZCNT64rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 4644 /* lzcntq */, X86::LZCNT64rm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_GR64 }, },
{ 4651 /* lzcntw */, X86::LZCNT16rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR16, MCK_GR16 }, },
{ 4651 /* lzcntw */, X86::LZCNT16rm, Convert__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_GR16 }, },
{ 4658 /* maskmovdqu */, X86::MASKMOVDQU64, Convert__Reg1_1__Reg1_0, AMFBS_In64BitMode, { MCK_FR16, MCK_FR16 }, },
{ 4658 /* maskmovdqu */, X86::MASKMOVDQU, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 4669 /* maskmovq */, X86::MMX_MASKMOVQ, Convert__Reg1_1__Reg1_0, AMFBS_Not64BitMode, { MCK_VR64, MCK_VR64 }, },
{ 4669 /* maskmovq */, X86::MMX_MASKMOVQ64, Convert__Reg1_1__Reg1_0, AMFBS_In64BitMode, { MCK_VR64, MCK_VR64 }, },
{ 4678 /* maxpd */, X86::MAXPDrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 4678 /* maxpd */, X86::MAXPDrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 4684 /* maxps */, X86::MAXPSrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 4684 /* maxps */, X86::MAXPSrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 4690 /* maxsd */, X86::MAXSDrr_Int, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 4690 /* maxsd */, X86::MAXSDrm_Int, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16 }, },
{ 4696 /* maxss */, X86::MAXSSrr_Int, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 4696 /* maxss */, X86::MAXSSrm_Int, Convert__Reg1_1__Tie0_1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16 }, },
{ 4702 /* mfence */, X86::MFENCE, Convert_NoOperands, AMFBS_None, { }, },
{ 4709 /* minpd */, X86::MINPDrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 4709 /* minpd */, X86::MINPDrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 4715 /* minps */, X86::MINPSrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 4715 /* minps */, X86::MINPSrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 4721 /* minsd */, X86::MINSDrr_Int, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 4721 /* minsd */, X86::MINSDrm_Int, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16 }, },
{ 4727 /* minss */, X86::MINSSrr_Int, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 4727 /* minss */, X86::MINSSrm_Int, Convert__Reg1_1__Tie0_1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16 }, },
{ 4733 /* monitor */, X86::MONITOR32rrr, Convert_NoOperands, AMFBS_Not64BitMode, { }, },
{ 4733 /* monitor */, X86::MONITOR64rrr, Convert_NoOperands, AMFBS_In64BitMode, { }, },
{ 4733 /* monitor */, X86::MONITOR32rrr, Convert_NoOperands, AMFBS_Not64BitMode, { MCK_EAX, MCK_ECX, MCK_EDX }, },
{ 4733 /* monitor */, X86::MONITOR64rrr, Convert_NoOperands, AMFBS_In64BitMode, { MCK_RAX, MCK_RCX, MCK_RDX }, },
{ 4741 /* monitorx */, X86::MONITORX32rrr, Convert_NoOperands, AMFBS_Not64BitMode, { }, },
{ 4741 /* monitorx */, X86::MONITORX64rrr, Convert_NoOperands, AMFBS_In64BitMode, { }, },
{ 4741 /* monitorx */, X86::MONITORX32rrr, Convert_NoOperands, AMFBS_Not64BitMode, { MCK_EAX, MCK_ECX, MCK_EDX }, },
{ 4741 /* monitorx */, X86::MONITORX64rrr, Convert_NoOperands, AMFBS_In64BitMode, { MCK_RAX, MCK_RCX, MCK_RDX }, },
{ 4750 /* montmul */, X86::MONTMUL, Convert_NoOperands, AMFBS_None, { }, },
{ 4762 /* mov.s */, X86::MOV16rr_REV, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR16, MCK_GR16 }, },
{ 4762 /* mov.s */, X86::MOV32rr_REV, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 4762 /* mov.s */, X86::MOV64rr_REV, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 4762 /* mov.s */, X86::MOV8rr_REV, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR8, MCK_GR8 }, },
{ 4775 /* movabsb */, X86::MOV8o64a, Convert__MemOffs64_82_1, AMFBS_None, { MCK_AL, MCK_MemOffs64_8 }, },
{ 4775 /* movabsb */, X86::MOV8ao64, Convert__MemOffs64_82_0, AMFBS_None, { MCK_MemOffs64_8, MCK_AL }, },
{ 4783 /* movabsl */, X86::MOV32o64a, Convert__MemOffs64_322_1, AMFBS_None, { MCK_EAX, MCK_MemOffs64_32 }, },
{ 4783 /* movabsl */, X86::MOV32ao64, Convert__MemOffs64_322_0, AMFBS_None, { MCK_MemOffs64_32, MCK_EAX }, },
{ 4791 /* movabsq */, X86::MOV64o64a, Convert__MemOffs64_642_1, AMFBS_None, { MCK_RAX, MCK_MemOffs64_64 }, },
{ 4791 /* movabsq */, X86::MOV64ri, Convert__Reg1_1__Imm1_0, AMFBS_None, { MCK_Imm, MCK_GR64 }, },
{ 4791 /* movabsq */, X86::MOV64ao64, Convert__MemOffs64_642_0, AMFBS_None, { MCK_MemOffs64_64, MCK_RAX }, },
{ 4799 /* movabsw */, X86::MOV16o64a, Convert__MemOffs64_162_1, AMFBS_None, { MCK_AX, MCK_MemOffs64_16 }, },
{ 4799 /* movabsw */, X86::MOV16ao64, Convert__MemOffs64_162_0, AMFBS_None, { MCK_MemOffs64_16, MCK_AX }, },
{ 4807 /* movapd */, X86::MOVAPDrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 4807 /* movapd */, X86::MOVAPDmr, Convert__Mem1285_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 4807 /* movapd */, X86::MOVAPDrm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 4814 /* movapd.s */, X86::MOVAPDrr_REV, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 4823 /* movaps */, X86::MOVAPSrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 4823 /* movaps */, X86::MOVAPSmr, Convert__Mem1285_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 4823 /* movaps */, X86::MOVAPSrm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 4830 /* movaps.s */, X86::MOVAPSrr_REV, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 4839 /* movb */, X86::MOV8o16a, Convert__MemOffs16_82_1, AMFBS_None, { MCK_AL, MCK_MemOffs16_8 }, },
{ 4839 /* movb */, X86::MOV8o32a, Convert__MemOffs32_82_1, AMFBS_None, { MCK_AL, MCK_MemOffs32_8 }, },
{ 4839 /* movb */, X86::MOV8rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR8, MCK_GR8 }, },
{ 4839 /* movb */, X86::MOV8mr, Convert__Mem85_1__Reg1_0, AMFBS_None, { MCK_GR8, MCK_Mem8 }, },
{ 4839 /* movb */, X86::MOV8ri, Convert__Reg1_1__Imm1_0, AMFBS_None, { MCK_Imm, MCK_GR8 }, },
{ 4839 /* movb */, X86::MOV8mi, Convert__Mem85_1__Imm1_0, AMFBS_None, { MCK_Imm, MCK_Mem8 }, },
{ 4839 /* movb */, X86::MOV8ao16, Convert__MemOffs16_82_0, AMFBS_None, { MCK_MemOffs16_8, MCK_AL }, },
{ 4839 /* movb */, X86::MOV8ao32, Convert__MemOffs32_82_0, AMFBS_None, { MCK_MemOffs32_8, MCK_AL }, },
{ 4839 /* movb */, X86::MOV8rm, Convert__Reg1_1__Mem85_0, AMFBS_None, { MCK_Mem8, MCK_GR8 }, },
{ 4844 /* movb.s */, X86::MOV8rr_REV, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR8, MCK_GR8 }, },
{ 4857 /* movbel */, X86::MOVBE32mr, Convert__Mem325_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_Mem32 }, },
{ 4857 /* movbel */, X86::MOVBE32rm, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_GR32 }, },
{ 4864 /* movbeq */, X86::MOVBE64mr, Convert__Mem645_1__Reg1_0, AMFBS_None, { MCK_GR64, MCK_Mem64 }, },
{ 4864 /* movbeq */, X86::MOVBE64rm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_GR64 }, },
{ 4871 /* movbew */, X86::MOVBE16mr, Convert__Mem165_1__Reg1_0, AMFBS_None, { MCK_GR16, MCK_Mem16 }, },
{ 4871 /* movbew */, X86::MOVBE16rm, Convert__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_GR16 }, },
{ 4878 /* movd */, X86::MMX_MOVD64grr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_GR32 }, },
{ 4878 /* movd */, X86::MMX_MOVD64from64rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_GR64 }, },
{ 4878 /* movd */, X86::MMX_MOVD64mr, Convert__Mem325_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_Mem32 }, },
{ 4878 /* movd */, X86::MOVPDI2DIrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_GR32 }, },
{ 4878 /* movd */, X86::MOVPQIto64rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_GR64 }, },
{ 4878 /* movd */, X86::MOVPDI2DImr, Convert__Mem325_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_Mem32 }, },
{ 4878 /* movd */, X86::MMX_MOVD64rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_VR64 }, },
{ 4878 /* movd */, X86::MOVDI2PDIrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_FR16 }, },
{ 4878 /* movd */, X86::MMX_MOVD64to64rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR64, MCK_VR64 }, },
{ 4878 /* movd */, X86::MOV64toPQIrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR64, MCK_FR16 }, },
{ 4878 /* movd */, X86::MMX_MOVD64rm, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_VR64 }, },
{ 4878 /* movd */, X86::MOVDI2PDIrm, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16 }, },
{ 4883 /* movddup */, X86::MOVDDUPrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 4883 /* movddup */, X86::MOVDDUPrm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16 }, },
{ 4891 /* movdir64b */, X86::MOVDIR64B16, Convert__Reg1_1__Mem5125_0, AMFBS_Not64BitMode, { MCK_Mem512, MCK_GR16 }, },
{ 4891 /* movdir64b */, X86::MOVDIR64B32, Convert__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_GR32 }, },
{ 4891 /* movdir64b */, X86::MOVDIR64B64, Convert__Reg1_1__Mem5125_0, AMFBS_In64BitMode, { MCK_Mem512, MCK_GR64 }, },
{ 4901 /* movdiri */, X86::MOVDIRI32, Convert__Mem325_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_Mem32 }, },
{ 4901 /* movdiri */, X86::MOVDIRI64, Convert__Mem645_1__Reg1_0, AMFBS_In64BitMode, { MCK_GR64, MCK_Mem64 }, },
{ 4909 /* movdq2q */, X86::MMX_MOVDQ2Qrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_VR64 }, },
{ 4917 /* movdqa */, X86::MOVDQArr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 4917 /* movdqa */, X86::MOVDQAmr, Convert__Mem1285_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 4917 /* movdqa */, X86::MOVDQArm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 4924 /* movdqa.s */, X86::MOVDQArr_REV, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 4933 /* movdqu */, X86::MOVDQUrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 4933 /* movdqu */, X86::MOVDQUmr, Convert__Mem1285_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 4933 /* movdqu */, X86::MOVDQUrm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 4940 /* movdqu.s */, X86::MOVDQUrr_REV, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 4949 /* movhlps */, X86::MOVHLPSrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 4957 /* movhpd */, X86::MOVHPDmr, Convert__Mem645_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_Mem64 }, },
{ 4957 /* movhpd */, X86::MOVHPDrm, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16 }, },
{ 4964 /* movhps */, X86::MOVHPSmr, Convert__Mem645_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_Mem64 }, },
{ 4964 /* movhps */, X86::MOVHPSrm, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16 }, },
{ 4971 /* movl */, X86::MOV32o16a, Convert__MemOffs16_322_1, AMFBS_None, { MCK_EAX, MCK_MemOffs16_32 }, },
{ 4971 /* movl */, X86::MOV32o32a, Convert__MemOffs32_322_1, AMFBS_None, { MCK_EAX, MCK_MemOffs32_32 }, },
{ 4971 /* movl */, X86::MOV32rs, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_SEGMENT_REG, MCK_GR32 }, },
{ 4971 /* movl */, X86::MOV32rc, Convert__Reg1_1__Reg1_0, AMFBS_Not64BitMode, { MCK_CONTROL_REG, MCK_GR32 }, },
{ 4971 /* movl */, X86::MOV32rd, Convert__Reg1_1__Reg1_0, AMFBS_Not64BitMode, { MCK_DEBUG_REG, MCK_GR32 }, },
{ 4971 /* movl */, X86::MOV32sr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_SEGMENT_REG }, },
{ 4971 /* movl */, X86::MOV32cr, Convert__Reg1_1__Reg1_0, AMFBS_Not64BitMode, { MCK_GR32, MCK_CONTROL_REG }, },
{ 4971 /* movl */, X86::MOV32dr, Convert__Reg1_1__Reg1_0, AMFBS_Not64BitMode, { MCK_GR32, MCK_DEBUG_REG }, },
{ 4971 /* movl */, X86::MOV32rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 4971 /* movl */, X86::MOV32mr, Convert__Mem325_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_Mem32 }, },
{ 4971 /* movl */, X86::MOV32ri, Convert__Reg1_1__Imm1_0, AMFBS_None, { MCK_Imm, MCK_GR32 }, },
{ 4971 /* movl */, X86::MOV32mi, Convert__Mem325_1__Imm1_0, AMFBS_None, { MCK_Imm, MCK_Mem32 }, },
{ 4971 /* movl */, X86::MOV32ao16, Convert__MemOffs16_322_0, AMFBS_None, { MCK_MemOffs16_32, MCK_EAX }, },
{ 4971 /* movl */, X86::MOV32ao32, Convert__MemOffs32_322_0, AMFBS_None, { MCK_MemOffs32_32, MCK_EAX }, },
{ 4971 /* movl */, X86::MOV32rm, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_GR32 }, },
{ 4976 /* movl.s */, X86::MOV32rr_REV, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 4983 /* movlhps */, X86::MOVLHPSrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 4991 /* movlpd */, X86::MOVLPDmr, Convert__Mem645_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_Mem64 }, },
{ 4991 /* movlpd */, X86::MOVLPDrm, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16 }, },
{ 4998 /* movlps */, X86::MOVLPSmr, Convert__Mem645_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_Mem64 }, },
{ 4998 /* movlps */, X86::MOVLPSrm, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16 }, },
{ 5005 /* movmskpd */, X86::MOVMSKPDrr, Convert__GR32orGR641_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_GR32orGR64 }, },
{ 5014 /* movmskps */, X86::MOVMSKPSrr, Convert__GR32orGR641_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_GR32orGR64 }, },
{ 5023 /* movntdq */, X86::MOVNTDQmr, Convert__Mem1285_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 5031 /* movntdqa */, X86::MOVNTDQArm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 5047 /* movntil */, X86::MOVNTImr, Convert__Mem325_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_Mem32 }, },
{ 5055 /* movntiq */, X86::MOVNTI_64mr, Convert__Mem645_1__Reg1_0, AMFBS_None, { MCK_GR64, MCK_Mem64 }, },
{ 5063 /* movntpd */, X86::MOVNTPDmr, Convert__Mem1285_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 5071 /* movntps */, X86::MOVNTPSmr, Convert__Mem1285_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 5079 /* movntq */, X86::MMX_MOVNTQmr, Convert__Mem645_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_Mem64 }, },
{ 5086 /* movntsd */, X86::MOVNTSD, Convert__Mem645_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_Mem64 }, },
{ 5094 /* movntss */, X86::MOVNTSS, Convert__Mem325_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_Mem32 }, },
{ 5102 /* movq */, X86::MOV64o32a, Convert__MemOffs32_642_1, AMFBS_None, { MCK_RAX, MCK_MemOffs32_64 }, },
{ 5102 /* movq */, X86::MOV64rs, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_SEGMENT_REG, MCK_GR64 }, },
{ 5102 /* movq */, X86::MMX_MOVQ64rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 5102 /* movq */, X86::MMX_MOVD64from64rr, Convert__Reg1_1__Reg1_0, AMFBS_In64BitMode, { MCK_VR64, MCK_GR64 }, },
{ 5102 /* movq */, X86::MMX_MOVQ64mr, Convert__Mem645_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_Mem64 }, },
{ 5102 /* movq */, X86::MOV64rc, Convert__Reg1_1__Reg1_0, AMFBS_In64BitMode, { MCK_CONTROL_REG, MCK_GR64 }, },
{ 5102 /* movq */, X86::MOV64rd, Convert__Reg1_1__Reg1_0, AMFBS_In64BitMode, { MCK_DEBUG_REG, MCK_GR64 }, },
{ 5102 /* movq */, X86::MOVZPQILo2PQIrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5102 /* movq */, X86::MOVPQIto64rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_GR64 }, },
{ 5102 /* movq */, X86::MOVPQI2QImr, Convert__Mem645_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_Mem64 }, },
{ 5102 /* movq */, X86::MOV64sr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR64, MCK_SEGMENT_REG }, },
{ 5102 /* movq */, X86::MMX_MOVD64to64rr, Convert__Reg1_1__Reg1_0, AMFBS_In64BitMode, { MCK_GR64, MCK_VR64 }, },
{ 5102 /* movq */, X86::MOV64cr, Convert__Reg1_1__Reg1_0, AMFBS_In64BitMode, { MCK_GR64, MCK_CONTROL_REG }, },
{ 5102 /* movq */, X86::MOV64dr, Convert__Reg1_1__Reg1_0, AMFBS_In64BitMode, { MCK_GR64, MCK_DEBUG_REG }, },
{ 5102 /* movq */, X86::MOV64toPQIrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR64, MCK_FR16 }, },
{ 5102 /* movq */, X86::MOV64rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 5102 /* movq */, X86::MOV64mr, Convert__Mem645_1__Reg1_0, AMFBS_None, { MCK_GR64, MCK_Mem64 }, },
{ 5102 /* movq */, X86::MOV64ri32, Convert__Reg1_1__ImmSExti64i321_0, AMFBS_None, { MCK_ImmSExti64i32, MCK_GR64 }, },
{ 5102 /* movq */, X86::MOV64mi32, Convert__Mem645_1__ImmSExti64i321_0, AMFBS_In64BitMode, { MCK_ImmSExti64i32, MCK_Mem64 }, },
{ 5102 /* movq */, X86::MOV64ri, Convert__Reg1_1__Imm1_0, AMFBS_None, { MCK_Imm, MCK_GR64 }, },
{ 5102 /* movq */, X86::MOV64ao32, Convert__MemOffs32_642_0, AMFBS_None, { MCK_MemOffs32_64, MCK_RAX }, },
{ 5102 /* movq */, X86::MMX_MOVQ64rm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR64 }, },
{ 5102 /* movq */, X86::MOVQI2PQIrm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16 }, },
{ 5102 /* movq */, X86::MOV64rm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_GR64 }, },
{ 5107 /* movq.s */, X86::MMX_MOVQ64rr_REV, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 5107 /* movq.s */, X86::MOVPQI2QIrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5107 /* movq.s */, X86::MOV64rr_REV, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 5114 /* movq2dq */, X86::MMX_MOVQ2DQrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_FR16 }, },
{ 5127 /* movsb */, X86::MOVSB, Convert__DstIdx81_1__SrcIdx82_0, AMFBS_None, { MCK_SrcIdx8, MCK_DstIdx8 }, },
{ 5133 /* movsbl */, X86::MOVSX32rr8, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR8, MCK_GR32 }, },
{ 5133 /* movsbl */, X86::MOVSX32rm8, Convert__Reg1_1__Mem85_0, AMFBS_None, { MCK_Mem8, MCK_GR32 }, },
{ 5140 /* movsbq */, X86::MOVSX64rr8, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR8, MCK_GR64 }, },
{ 5140 /* movsbq */, X86::MOVSX64rm8, Convert__Reg1_1__Mem85_0, AMFBS_None, { MCK_Mem8, MCK_GR64 }, },
{ 5147 /* movsbw */, X86::MOVSX16rr8, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR8, MCK_GR16 }, },
{ 5147 /* movsbw */, X86::MOVSX16rm8, Convert__Reg1_1__Mem85_0, AMFBS_None, { MCK_Mem8, MCK_GR16 }, },
{ 5154 /* movsd */, X86::MOVSDrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5154 /* movsd */, X86::MOVSDmr, Convert__Mem645_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_Mem64 }, },
{ 5154 /* movsd */, X86::MOVSDrm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16 }, },
{ 5160 /* movsd.s */, X86::MOVSDrr_REV, Convert__Reg1_1__Tie0_2_2__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5168 /* movshdup */, X86::MOVSHDUPrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5168 /* movshdup */, X86::MOVSHDUPrm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 5177 /* movsl */, X86::MOVSL, Convert__DstIdx321_1__SrcIdx322_0, AMFBS_None, { MCK_SrcIdx32, MCK_DstIdx32 }, },
{ 5183 /* movsldup */, X86::MOVSLDUPrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5183 /* movsldup */, X86::MOVSLDUPrm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 5192 /* movslq */, X86::MOVSX64rr32, Convert__Reg1_1__Reg1_0, AMFBS_In64BitMode, { MCK_GR32, MCK_GR64 }, },
{ 5192 /* movslq */, X86::MOVSX64rm32, Convert__Reg1_1__Mem325_0, AMFBS_In64BitMode, { MCK_Mem32, MCK_GR64 }, },
{ 5199 /* movsq */, X86::MOVSQ, Convert__DstIdx641_1__SrcIdx642_0, AMFBS_In64BitMode, { MCK_SrcIdx64, MCK_DstIdx64 }, },
{ 5205 /* movss */, X86::MOVSSrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5205 /* movss */, X86::MOVSSmr, Convert__Mem325_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_Mem32 }, },
{ 5205 /* movss */, X86::MOVSSrm, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16 }, },
{ 5211 /* movss.s */, X86::MOVSSrr_REV, Convert__Reg1_1__Tie0_2_2__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5219 /* movsw */, X86::MOVSW, Convert__DstIdx161_1__SrcIdx162_0, AMFBS_None, { MCK_SrcIdx16, MCK_DstIdx16 }, },
{ 5225 /* movswl */, X86::MOVSX32rr16, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR16, MCK_GR32 }, },
{ 5225 /* movswl */, X86::MOVSX32rm16, Convert__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_GR32 }, },
{ 5232 /* movswq */, X86::MOVSX64rr16, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR16, MCK_GR64 }, },
{ 5232 /* movswq */, X86::MOVSX64rm16, Convert__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_GR64 }, },
{ 5239 /* movsx */, X86::MOVSX32rr16, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR16, MCK_GR32 }, },
{ 5239 /* movsx */, X86::MOVSX64rr16, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR16, MCK_GR64 }, },
{ 5239 /* movsx */, X86::MOVSX64rr32, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_GR64 }, },
{ 5239 /* movsx */, X86::MOVSX16rr8, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR8, MCK_GR16 }, },
{ 5239 /* movsx */, X86::MOVSX32rr8, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR8, MCK_GR32 }, },
{ 5239 /* movsx */, X86::MOVSX64rr8, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR8, MCK_GR64 }, },
{ 5239 /* movsx */, X86::MOVSX16rm8, Convert__Reg1_1__Mem85_0, AMFBS_None, { MCK_Mem8, MCK_GR16 }, },
{ 5252 /* movupd */, X86::MOVUPDrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5252 /* movupd */, X86::MOVUPDmr, Convert__Mem1285_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 5252 /* movupd */, X86::MOVUPDrm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 5259 /* movupd.s */, X86::MOVUPDrr_REV, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5268 /* movups */, X86::MOVUPSrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5268 /* movups */, X86::MOVUPSmr, Convert__Mem1285_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 5268 /* movups */, X86::MOVUPSrm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 5275 /* movups.s */, X86::MOVUPSrr_REV, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5284 /* movw */, X86::MOV16o16a, Convert__MemOffs16_162_1, AMFBS_None, { MCK_AX, MCK_MemOffs16_16 }, },
{ 5284 /* movw */, X86::MOV16o32a, Convert__MemOffs32_162_1, AMFBS_None, { MCK_AX, MCK_MemOffs32_16 }, },
{ 5284 /* movw */, X86::MOV16rs, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_SEGMENT_REG, MCK_GR16 }, },
{ 5284 /* movw */, X86::MOV16ms, Convert__Mem165_1__Reg1_0, AMFBS_None, { MCK_SEGMENT_REG, MCK_Mem16 }, },
{ 5284 /* movw */, X86::MOV16sr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR16, MCK_SEGMENT_REG }, },
{ 5284 /* movw */, X86::MOV16rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR16, MCK_GR16 }, },
{ 5284 /* movw */, X86::MOV16mr, Convert__Mem165_1__Reg1_0, AMFBS_None, { MCK_GR16, MCK_Mem16 }, },
{ 5284 /* movw */, X86::MOV16ri, Convert__Reg1_1__Imm1_0, AMFBS_None, { MCK_Imm, MCK_GR16 }, },
{ 5284 /* movw */, X86::MOV16mi, Convert__Mem165_1__Imm1_0, AMFBS_None, { MCK_Imm, MCK_Mem16 }, },
{ 5284 /* movw */, X86::MOV16ao16, Convert__MemOffs16_162_0, AMFBS_None, { MCK_MemOffs16_16, MCK_AX }, },
{ 5284 /* movw */, X86::MOV16ao32, Convert__MemOffs32_162_0, AMFBS_None, { MCK_MemOffs32_16, MCK_AX }, },
{ 5284 /* movw */, X86::MOV16sm, Convert__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_SEGMENT_REG }, },
{ 5284 /* movw */, X86::MOV16rm, Convert__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_GR16 }, },
{ 5289 /* movw.s */, X86::MOV16rr_REV, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR16, MCK_GR16 }, },
{ 5296 /* movzbl */, X86::MOVZX32rr8, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR8, MCK_GR32 }, },
{ 5296 /* movzbl */, X86::MOVZX32rm8, Convert__Reg1_1__Mem85_0, AMFBS_None, { MCK_Mem8, MCK_GR32 }, },
{ 5303 /* movzbq */, X86::MOVZX64rr8, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR8, MCK_GR64 }, },
{ 5303 /* movzbq */, X86::MOVZX64rm8, Convert__Reg1_1__Mem85_0, AMFBS_None, { MCK_Mem8, MCK_GR64 }, },
{ 5310 /* movzbw */, X86::MOVZX16rr8, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR8, MCK_GR16 }, },
{ 5310 /* movzbw */, X86::MOVZX16rm8, Convert__Reg1_1__Mem85_0, AMFBS_None, { MCK_Mem8, MCK_GR16 }, },
{ 5317 /* movzwl */, X86::MOVZX32rr16, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR16, MCK_GR32 }, },
{ 5317 /* movzwl */, X86::MOVZX32rm16, Convert__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_GR32 }, },
{ 5324 /* movzwq */, X86::MOVZX64rr16, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR16, MCK_GR64 }, },
{ 5324 /* movzwq */, X86::MOVZX64rm16, Convert__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_GR64 }, },
{ 5331 /* movzx */, X86::MOVZX32rr16, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR16, MCK_GR32 }, },
{ 5331 /* movzx */, X86::MOVZX64rr16, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR16, MCK_GR64 }, },
{ 5331 /* movzx */, X86::MOVZX16rr8, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR8, MCK_GR16 }, },
{ 5331 /* movzx */, X86::MOVZX32rr8, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR8, MCK_GR32 }, },
{ 5331 /* movzx */, X86::MOVZX64rr8, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR8, MCK_GR64 }, },
{ 5331 /* movzx */, X86::MOVZX16rm8, Convert__Reg1_1__Mem85_0, AMFBS_None, { MCK_Mem8, MCK_GR16 }, },
{ 5337 /* mpsadbw */, X86::MPSADBWrri, Convert__Reg1_2__Tie0_1_1__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_FR16 }, },
{ 5337 /* mpsadbw */, X86::MPSADBWrmi, Convert__Reg1_2__Tie0_1_1__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16 }, },
{ 5349 /* mulb */, X86::MUL8r, Convert__Reg1_0, AMFBS_None, { MCK_GR8 }, },
{ 5349 /* mulb */, X86::MUL8m, Convert__Mem85_0, AMFBS_None, { MCK_Mem8 }, },
{ 5354 /* mull */, X86::MUL32r, Convert__Reg1_0, AMFBS_None, { MCK_GR32 }, },
{ 5354 /* mull */, X86::MUL32m, Convert__Mem325_0, AMFBS_None, { MCK_Mem32 }, },
{ 5359 /* mulpd */, X86::MULPDrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5359 /* mulpd */, X86::MULPDrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 5365 /* mulps */, X86::MULPSrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5365 /* mulps */, X86::MULPSrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 5371 /* mulq */, X86::MUL64r, Convert__Reg1_0, AMFBS_None, { MCK_GR64 }, },
{ 5371 /* mulq */, X86::MUL64m, Convert__Mem645_0, AMFBS_In64BitMode, { MCK_Mem64 }, },
{ 5376 /* mulsd */, X86::MULSDrr_Int, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5376 /* mulsd */, X86::MULSDrm_Int, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16 }, },
{ 5382 /* mulss */, X86::MULSSrr_Int, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5382 /* mulss */, X86::MULSSrm_Int, Convert__Reg1_1__Tie0_1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16 }, },
{ 5388 /* mulw */, X86::MUL16r, Convert__Reg1_0, AMFBS_None, { MCK_GR16 }, },
{ 5388 /* mulw */, X86::MUL16m, Convert__Mem165_0, AMFBS_None, { MCK_Mem16 }, },
{ 5398 /* mulxl */, X86::MULX32rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_GR32, MCK_GR32 }, },
{ 5398 /* mulxl */, X86::MULX32rm, Convert__Reg1_2__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_GR32, MCK_GR32 }, },
{ 5404 /* mulxq */, X86::MULX64rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR64, MCK_GR64, MCK_GR64 }, },
{ 5404 /* mulxq */, X86::MULX64rm, Convert__Reg1_2__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_GR64, MCK_GR64 }, },
{ 5410 /* mwait */, X86::MWAITrr, Convert_NoOperands, AMFBS_None, { }, },
{ 5410 /* mwait */, X86::MWAITrr, Convert_NoOperands, AMFBS_Not64BitMode, { MCK_EAX, MCK_ECX }, },
{ 5410 /* mwait */, X86::MWAITrr, Convert_NoOperands, AMFBS_In64BitMode, { MCK_RAX, MCK_RCX }, },
{ 5416 /* mwaitx */, X86::MWAITXrrr, Convert_NoOperands, AMFBS_None, { }, },
{ 5416 /* mwaitx */, X86::MWAITXrrr, Convert_NoOperands, AMFBS_Not64BitMode, { MCK_EAX, MCK_ECX, MCK_EBX }, },
{ 5416 /* mwaitx */, X86::MWAITXrrr, Convert_NoOperands, AMFBS_In64BitMode, { MCK_RAX, MCK_RCX, MCK_RBX }, },
{ 5427 /* negb */, X86::NEG8r, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR8 }, },
{ 5427 /* negb */, X86::NEG8m, Convert__Mem85_0, AMFBS_None, { MCK_Mem8 }, },
{ 5432 /* negl */, X86::NEG32r, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR32 }, },
{ 5432 /* negl */, X86::NEG32m, Convert__Mem325_0, AMFBS_None, { MCK_Mem32 }, },
{ 5437 /* negq */, X86::NEG64r, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR64 }, },
{ 5437 /* negq */, X86::NEG64m, Convert__Mem645_0, AMFBS_In64BitMode, { MCK_Mem64 }, },
{ 5442 /* negw */, X86::NEG16r, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR16 }, },
{ 5442 /* negw */, X86::NEG16m, Convert__Mem165_0, AMFBS_None, { MCK_Mem16 }, },
{ 5447 /* nop */, X86::NOOP, Convert_NoOperands, AMFBS_None, { }, },
{ 5451 /* nopl */, X86::NOOPLr, Convert__Reg1_0, AMFBS_None, { MCK_GR32 }, },
{ 5451 /* nopl */, X86::NOOPL, Convert__Mem325_0, AMFBS_None, { MCK_Mem32 }, },
{ 5456 /* nopq */, X86::NOOPQr, Convert__Reg1_0, AMFBS_In64BitMode, { MCK_GR64 }, },
{ 5456 /* nopq */, X86::NOOPQ, Convert__Mem645_0, AMFBS_In64BitMode, { MCK_Mem64 }, },
{ 5461 /* nopw */, X86::NOOPWr, Convert__Reg1_0, AMFBS_None, { MCK_GR16 }, },
{ 5461 /* nopw */, X86::NOOPW, Convert__Mem165_0, AMFBS_None, { MCK_Mem16 }, },
{ 5470 /* notb */, X86::NOT8r, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR8 }, },
{ 5470 /* notb */, X86::NOT8m, Convert__Mem85_0, AMFBS_None, { MCK_Mem8 }, },
{ 5475 /* notl */, X86::NOT32r, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR32 }, },
{ 5475 /* notl */, X86::NOT32m, Convert__Mem325_0, AMFBS_None, { MCK_Mem32 }, },
{ 5480 /* notq */, X86::NOT64r, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR64 }, },
{ 5480 /* notq */, X86::NOT64m, Convert__Mem645_0, AMFBS_In64BitMode, { MCK_Mem64 }, },
{ 5485 /* notw */, X86::NOT16r, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR16 }, },
{ 5485 /* notw */, X86::NOT16m, Convert__Mem165_0, AMFBS_None, { MCK_Mem16 }, },
{ 5493 /* orb */, X86::OR8rr, Convert__Reg1_1__Tie0_2_2__Reg1_0, AMFBS_None, { MCK_GR8, MCK_GR8 }, },
{ 5493 /* orb */, X86::OR8mr, Convert__Mem85_1__Reg1_0, AMFBS_None, { MCK_GR8, MCK_Mem8 }, },
{ 5493 /* orb */, X86::OR8i8, Convert__Imm1_0, AMFBS_None, { MCK_Imm, MCK_AL }, },
{ 5493 /* orb */, X86::OR8ri, Convert__Reg1_1__Tie0_2_2__Imm1_0, AMFBS_None, { MCK_Imm, MCK_GR8 }, },
{ 5493 /* orb */, X86::OR8mi, Convert__Mem85_1__Imm1_0, AMFBS_None, { MCK_Imm, MCK_Mem8 }, },
{ 5493 /* orb */, X86::OR8rm, Convert__Reg1_1__Tie0_2_2__Mem85_0, AMFBS_None, { MCK_Mem8, MCK_GR8 }, },
{ 5497 /* orl */, X86::OR32rr, Convert__Reg1_1__Tie0_2_2__Reg1_0, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 5497 /* orl */, X86::OR32mr, Convert__Mem325_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_Mem32 }, },
{ 5497 /* orl */, X86::OR32ri8, Convert__regEAX__Tie0_1_1__ImmSExti32i81_0, AMFBS_None, { MCK_ImmSExti32i8, MCK_EAX }, },
{ 5497 /* orl */, X86::OR32ri8, Convert__Reg1_1__Tie0_2_2__ImmSExti32i81_0, AMFBS_None, { MCK_ImmSExti32i8, MCK_GR32 }, },
{ 5497 /* orl */, X86::OR32mi8, Convert__Mem325_1__ImmSExti32i81_0, AMFBS_None, { MCK_ImmSExti32i8, MCK_Mem32 }, },
{ 5497 /* orl */, X86::OR32i32, Convert__Imm1_0, AMFBS_None, { MCK_Imm, MCK_EAX }, },
{ 5497 /* orl */, X86::OR32ri, Convert__Reg1_1__Tie0_2_2__Imm1_0, AMFBS_None, { MCK_Imm, MCK_GR32 }, },
{ 5497 /* orl */, X86::OR32mi, Convert__Mem325_1__Imm1_0, AMFBS_None, { MCK_Imm, MCK_Mem32 }, },
{ 5497 /* orl */, X86::OR32rm, Convert__Reg1_1__Tie0_2_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_GR32 }, },
{ 5501 /* orpd */, X86::ORPDrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5501 /* orpd */, X86::ORPDrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 5506 /* orps */, X86::ORPSrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5506 /* orps */, X86::ORPSrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 5511 /* orq */, X86::OR64rr, Convert__Reg1_1__Tie0_2_2__Reg1_0, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 5511 /* orq */, X86::OR64mr, Convert__Mem645_1__Reg1_0, AMFBS_None, { MCK_GR64, MCK_Mem64 }, },
{ 5511 /* orq */, X86::OR64ri8, Convert__regRAX__Tie0_1_1__ImmSExti64i81_0, AMFBS_None, { MCK_ImmSExti64i8, MCK_RAX }, },
{ 5511 /* orq */, X86::OR64ri8, Convert__Reg1_1__Tie0_2_2__ImmSExti64i81_0, AMFBS_None, { MCK_ImmSExti64i8, MCK_GR64 }, },
{ 5511 /* orq */, X86::OR64mi8, Convert__Mem645_1__ImmSExti64i81_0, AMFBS_In64BitMode, { MCK_ImmSExti64i8, MCK_Mem64 }, },
{ 5511 /* orq */, X86::OR64i32, Convert__ImmSExti64i321_0, AMFBS_None, { MCK_ImmSExti64i32, MCK_RAX }, },
{ 5511 /* orq */, X86::OR64ri32, Convert__Reg1_1__Tie0_2_2__ImmSExti64i321_0, AMFBS_None, { MCK_ImmSExti64i32, MCK_GR64 }, },
{ 5511 /* orq */, X86::OR64mi32, Convert__Mem645_1__ImmSExti64i321_0, AMFBS_In64BitMode, { MCK_ImmSExti64i32, MCK_Mem64 }, },
{ 5511 /* orq */, X86::OR64rm, Convert__Reg1_1__Tie0_2_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_GR64 }, },
{ 5515 /* orw */, X86::OR16rr, Convert__Reg1_1__Tie0_2_2__Reg1_0, AMFBS_None, { MCK_GR16, MCK_GR16 }, },
{ 5515 /* orw */, X86::OR16mr, Convert__Mem165_1__Reg1_0, AMFBS_None, { MCK_GR16, MCK_Mem16 }, },
{ 5515 /* orw */, X86::OR16ri8, Convert__regAX__Tie0_1_1__ImmSExti16i81_0, AMFBS_None, { MCK_ImmSExti16i8, MCK_AX }, },
{ 5515 /* orw */, X86::OR16ri8, Convert__Reg1_1__Tie0_2_2__ImmSExti16i81_0, AMFBS_None, { MCK_ImmSExti16i8, MCK_GR16 }, },
{ 5515 /* orw */, X86::OR16mi8, Convert__Mem165_1__ImmSExti16i81_0, AMFBS_None, { MCK_ImmSExti16i8, MCK_Mem16 }, },
{ 5515 /* orw */, X86::OR16i16, Convert__Imm1_0, AMFBS_None, { MCK_Imm, MCK_AX }, },
{ 5515 /* orw */, X86::OR16ri, Convert__Reg1_1__Tie0_2_2__Imm1_0, AMFBS_None, { MCK_Imm, MCK_GR16 }, },
{ 5515 /* orw */, X86::OR16mi, Convert__Mem165_1__Imm1_0, AMFBS_None, { MCK_Imm, MCK_Mem16 }, },
{ 5515 /* orw */, X86::OR16rm, Convert__Reg1_1__Tie0_2_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_GR16 }, },
{ 5523 /* outb */, X86::OUT8rr, Convert_NoOperands, AMFBS_None, { MCK_DX }, },
{ 5523 /* outb */, X86::OUT8ir, Convert__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8 }, },
{ 5523 /* outb */, X86::OUT8rr, Convert_NoOperands, AMFBS_None, { MCK_AL, MCK_DX }, },
{ 5523 /* outb */, X86::OUT8ir, Convert__ImmUnsignedi81_1, AMFBS_None, { MCK_AL, MCK_ImmUnsignedi8 }, },
{ 5528 /* outl */, X86::OUT32rr, Convert_NoOperands, AMFBS_None, { MCK_DX }, },
{ 5528 /* outl */, X86::OUT32ir, Convert__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8 }, },
{ 5528 /* outl */, X86::OUT32rr, Convert_NoOperands, AMFBS_None, { MCK_EAX, MCK_DX }, },
{ 5528 /* outl */, X86::OUT32ir, Convert__ImmUnsignedi81_1, AMFBS_None, { MCK_EAX, MCK_ImmUnsignedi8 }, },
{ 5538 /* outsb */, X86::OUTSB, Convert__SrcIdx82_0, AMFBS_None, { MCK_SrcIdx8, MCK_DX }, },
{ 5550 /* outsl */, X86::OUTSL, Convert__SrcIdx322_0, AMFBS_None, { MCK_SrcIdx32, MCK_DX }, },
{ 5556 /* outsw */, X86::OUTSW, Convert__SrcIdx162_0, AMFBS_None, { MCK_SrcIdx16, MCK_DX }, },
{ 5562 /* outw */, X86::OUT16rr, Convert_NoOperands, AMFBS_None, { MCK_DX }, },
{ 5562 /* outw */, X86::OUT16ir, Convert__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8 }, },
{ 5562 /* outw */, X86::OUT16rr, Convert_NoOperands, AMFBS_None, { MCK_AX, MCK_DX }, },
{ 5562 /* outw */, X86::OUT16ir, Convert__ImmUnsignedi81_1, AMFBS_None, { MCK_AX, MCK_ImmUnsignedi8 }, },
{ 5567 /* pabsb */, X86::MMX_PABSBrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 5567 /* pabsb */, X86::PABSBrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5567 /* pabsb */, X86::PABSBrm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 5567 /* pabsb */, X86::MMX_PABSBrm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR64 }, },
{ 5573 /* pabsd */, X86::MMX_PABSDrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 5573 /* pabsd */, X86::PABSDrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5573 /* pabsd */, X86::PABSDrm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 5573 /* pabsd */, X86::MMX_PABSDrm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR64 }, },
{ 5579 /* pabsw */, X86::MMX_PABSWrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 5579 /* pabsw */, X86::PABSWrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5579 /* pabsw */, X86::PABSWrm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 5579 /* pabsw */, X86::MMX_PABSWrm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR64 }, },
{ 5585 /* packssdw */, X86::MMX_PACKSSDWrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 5585 /* packssdw */, X86::PACKSSDWrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5585 /* packssdw */, X86::PACKSSDWrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 5585 /* packssdw */, X86::MMX_PACKSSDWrm, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR64 }, },
{ 5594 /* packsswb */, X86::MMX_PACKSSWBrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 5594 /* packsswb */, X86::PACKSSWBrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5594 /* packsswb */, X86::PACKSSWBrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 5594 /* packsswb */, X86::MMX_PACKSSWBrm, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR64 }, },
{ 5603 /* packusdw */, X86::PACKUSDWrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5603 /* packusdw */, X86::PACKUSDWrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 5612 /* packuswb */, X86::MMX_PACKUSWBrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 5612 /* packuswb */, X86::PACKUSWBrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5612 /* packuswb */, X86::PACKUSWBrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 5612 /* packuswb */, X86::MMX_PACKUSWBrm, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR64 }, },
{ 5621 /* paddb */, X86::MMX_PADDBrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 5621 /* paddb */, X86::PADDBrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5621 /* paddb */, X86::PADDBrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 5621 /* paddb */, X86::MMX_PADDBrm, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR64 }, },
{ 5627 /* paddd */, X86::MMX_PADDDrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 5627 /* paddd */, X86::PADDDrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5627 /* paddd */, X86::PADDDrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 5627 /* paddd */, X86::MMX_PADDDrm, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR64 }, },
{ 5633 /* paddq */, X86::MMX_PADDQrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 5633 /* paddq */, X86::PADDQrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5633 /* paddq */, X86::PADDQrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 5633 /* paddq */, X86::MMX_PADDQrm, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR64 }, },
{ 5639 /* paddsb */, X86::MMX_PADDSBrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 5639 /* paddsb */, X86::PADDSBrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5639 /* paddsb */, X86::PADDSBrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 5639 /* paddsb */, X86::MMX_PADDSBrm, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR64 }, },
{ 5646 /* paddsw */, X86::MMX_PADDSWrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 5646 /* paddsw */, X86::PADDSWrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5646 /* paddsw */, X86::PADDSWrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 5646 /* paddsw */, X86::MMX_PADDSWrm, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR64 }, },
{ 5653 /* paddusb */, X86::MMX_PADDUSBrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 5653 /* paddusb */, X86::PADDUSBrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5653 /* paddusb */, X86::PADDUSBrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 5653 /* paddusb */, X86::MMX_PADDUSBrm, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR64 }, },
{ 5661 /* paddusw */, X86::MMX_PADDUSWrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 5661 /* paddusw */, X86::PADDUSWrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5661 /* paddusw */, X86::PADDUSWrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 5661 /* paddusw */, X86::MMX_PADDUSWrm, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR64 }, },
{ 5669 /* paddw */, X86::MMX_PADDWrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 5669 /* paddw */, X86::PADDWrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5669 /* paddw */, X86::PADDWrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 5669 /* paddw */, X86::MMX_PADDWrm, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR64 }, },
{ 5675 /* palignr */, X86::MMX_PALIGNRrri, Convert__Reg1_2__Tie0_1_1__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR64, MCK_VR64 }, },
{ 5675 /* palignr */, X86::PALIGNRrri, Convert__Reg1_2__Tie0_1_1__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_FR16 }, },
{ 5675 /* palignr */, X86::PALIGNRrmi, Convert__Reg1_2__Tie0_1_1__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16 }, },
{ 5675 /* palignr */, X86::MMX_PALIGNRrmi, Convert__Reg1_2__Tie0_1_1__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK_VR64 }, },
{ 5683 /* pand */, X86::MMX_PANDrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 5683 /* pand */, X86::PANDrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5683 /* pand */, X86::PANDrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 5683 /* pand */, X86::MMX_PANDrm, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR64 }, },
{ 5688 /* pandn */, X86::MMX_PANDNrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 5688 /* pandn */, X86::PANDNrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5688 /* pandn */, X86::PANDNrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 5688 /* pandn */, X86::MMX_PANDNrm, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR64 }, },
{ 5694 /* pause */, X86::PAUSE, Convert_NoOperands, AMFBS_None, { }, },
{ 5700 /* pavgb */, X86::MMX_PAVGBrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 5700 /* pavgb */, X86::PAVGBrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5700 /* pavgb */, X86::PAVGBrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 5700 /* pavgb */, X86::MMX_PAVGBrm, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR64 }, },
{ 5706 /* pavgusb */, X86::PAVGUSBrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 5706 /* pavgusb */, X86::PAVGUSBrm, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR64 }, },
{ 5714 /* pavgw */, X86::MMX_PAVGWrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 5714 /* pavgw */, X86::PAVGWrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5714 /* pavgw */, X86::PAVGWrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 5714 /* pavgw */, X86::MMX_PAVGWrm, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR64 }, },
{ 5720 /* pblendvb */, X86::PBLENDVBrr0, Convert__Reg1_1__Tie0_2_2__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5720 /* pblendvb */, X86::PBLENDVBrm0, Convert__Reg1_1__Tie0_2_2__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 5720 /* pblendvb */, X86::PBLENDVBrr0, Convert__Reg1_2__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_XMM0, MCK_FR16, MCK_FR16 }, },
{ 5720 /* pblendvb */, X86::PBLENDVBrm0, Convert__Reg1_2__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_XMM0, MCK_Mem128, MCK_FR16 }, },
{ 5729 /* pblendw */, X86::PBLENDWrri, Convert__Reg1_2__Tie0_1_1__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_FR16 }, },
{ 5729 /* pblendw */, X86::PBLENDWrmi, Convert__Reg1_2__Tie0_1_1__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16 }, },
{ 5737 /* pclmulhqhqdq */, X86::PCLMULQDQrr, Convert__Reg1_1__Tie0_2_2__Reg1_0__imm_95_17, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5737 /* pclmulhqhqdq */, X86::PCLMULQDQrm, Convert__Reg1_1__Tie0_2_2__Mem1285_0__imm_95_17, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 5750 /* pclmulhqlqdq */, X86::PCLMULQDQrr, Convert__Reg1_1__Tie0_2_2__Reg1_0__imm_95_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5750 /* pclmulhqlqdq */, X86::PCLMULQDQrm, Convert__Reg1_1__Tie0_2_2__Mem1285_0__imm_95_1, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 5763 /* pclmullqhqdq */, X86::PCLMULQDQrr, Convert__Reg1_1__Tie0_2_2__Reg1_0__imm_95_16, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5763 /* pclmullqhqdq */, X86::PCLMULQDQrm, Convert__Reg1_1__Tie0_2_2__Mem1285_0__imm_95_16, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 5776 /* pclmullqlqdq */, X86::PCLMULQDQrr, Convert__Reg1_1__Tie0_2_2__Reg1_0__imm_95_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5776 /* pclmullqlqdq */, X86::PCLMULQDQrm, Convert__Reg1_1__Tie0_2_2__Mem1285_0__imm_95_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 5789 /* pclmulqdq */, X86::PCLMULQDQrr, Convert__Reg1_2__Tie0_1_1__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_FR16 }, },
{ 5789 /* pclmulqdq */, X86::PCLMULQDQrm, Convert__Reg1_2__Tie0_1_1__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16 }, },
{ 5799 /* pcmpeqb */, X86::MMX_PCMPEQBrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 5799 /* pcmpeqb */, X86::PCMPEQBrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5799 /* pcmpeqb */, X86::PCMPEQBrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 5799 /* pcmpeqb */, X86::MMX_PCMPEQBrm, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR64 }, },
{ 5807 /* pcmpeqd */, X86::MMX_PCMPEQDrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 5807 /* pcmpeqd */, X86::PCMPEQDrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5807 /* pcmpeqd */, X86::PCMPEQDrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 5807 /* pcmpeqd */, X86::MMX_PCMPEQDrm, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR64 }, },
{ 5815 /* pcmpeqq */, X86::PCMPEQQrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5815 /* pcmpeqq */, X86::PCMPEQQrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 5823 /* pcmpeqw */, X86::MMX_PCMPEQWrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 5823 /* pcmpeqw */, X86::PCMPEQWrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5823 /* pcmpeqw */, X86::PCMPEQWrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 5823 /* pcmpeqw */, X86::MMX_PCMPEQWrm, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR64 }, },
{ 5831 /* pcmpestri */, X86::PCMPESTRIrr, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_FR16 }, },
{ 5831 /* pcmpestri */, X86::PCMPESTRIrm, Convert__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16 }, },
{ 5841 /* pcmpestrm */, X86::PCMPESTRMrr, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_FR16 }, },
{ 5841 /* pcmpestrm */, X86::PCMPESTRMrm, Convert__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16 }, },
{ 5851 /* pcmpgtb */, X86::MMX_PCMPGTBrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 5851 /* pcmpgtb */, X86::PCMPGTBrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5851 /* pcmpgtb */, X86::PCMPGTBrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 5851 /* pcmpgtb */, X86::MMX_PCMPGTBrm, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR64 }, },
{ 5859 /* pcmpgtd */, X86::MMX_PCMPGTDrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 5859 /* pcmpgtd */, X86::PCMPGTDrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5859 /* pcmpgtd */, X86::PCMPGTDrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 5859 /* pcmpgtd */, X86::MMX_PCMPGTDrm, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR64 }, },
{ 5867 /* pcmpgtq */, X86::PCMPGTQrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5867 /* pcmpgtq */, X86::PCMPGTQrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 5875 /* pcmpgtw */, X86::MMX_PCMPGTWrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 5875 /* pcmpgtw */, X86::PCMPGTWrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5875 /* pcmpgtw */, X86::PCMPGTWrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 5875 /* pcmpgtw */, X86::MMX_PCMPGTWrm, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR64 }, },
{ 5883 /* pcmpistri */, X86::PCMPISTRIrr, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_FR16 }, },
{ 5883 /* pcmpistri */, X86::PCMPISTRIrm, Convert__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16 }, },
{ 5893 /* pcmpistrm */, X86::PCMPISTRMrr, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_FR16 }, },
{ 5893 /* pcmpistrm */, X86::PCMPISTRMrm, Convert__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16 }, },
{ 5903 /* pconfig */, X86::PCONFIG, Convert_NoOperands, AMFBS_None, { }, },
{ 5916 /* pdepl */, X86::PDEP32rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_GR32, MCK_GR32 }, },
{ 5916 /* pdepl */, X86::PDEP32rm, Convert__Reg1_2__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_GR32, MCK_GR32 }, },
{ 5922 /* pdepq */, X86::PDEP64rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR64, MCK_GR64, MCK_GR64 }, },
{ 5922 /* pdepq */, X86::PDEP64rm, Convert__Reg1_2__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_GR64, MCK_GR64 }, },
{ 5933 /* pextl */, X86::PEXT32rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_GR32, MCK_GR32 }, },
{ 5933 /* pextl */, X86::PEXT32rm, Convert__Reg1_2__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_GR32, MCK_GR32 }, },
{ 5939 /* pextq */, X86::PEXT64rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR64, MCK_GR64, MCK_GR64 }, },
{ 5939 /* pextq */, X86::PEXT64rm, Convert__Reg1_2__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_GR64, MCK_GR64 }, },
{ 5945 /* pextrb */, X86::PEXTRBrr, Convert__GR32orGR641_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_GR32orGR64 }, },
{ 5945 /* pextrb */, X86::PEXTRBmr, Convert__Mem85_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_Mem8 }, },
{ 5952 /* pextrd */, X86::PEXTRDrr, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_GR32 }, },
{ 5952 /* pextrd */, X86::PEXTRDmr, Convert__Mem325_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_Mem32 }, },
{ 5959 /* pextrq */, X86::PEXTRQrr, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_GR64 }, },
{ 5959 /* pextrq */, X86::PEXTRQmr, Convert__Mem645_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_Mem64 }, },
{ 5966 /* pextrw */, X86::MMX_PEXTRWrr, Convert__GR32orGR641_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR64, MCK_GR32orGR64 }, },
{ 5966 /* pextrw */, X86::PEXTRWrr, Convert__GR32orGR641_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_GR32orGR64 }, },
{ 5966 /* pextrw */, X86::PEXTRWmr, Convert__Mem165_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_Mem16 }, },
{ 5973 /* pf2id */, X86::PF2IDrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 5973 /* pf2id */, X86::PF2IDrm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR64 }, },
{ 5979 /* pf2iw */, X86::PF2IWrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 5979 /* pf2iw */, X86::PF2IWrm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR64 }, },
{ 5985 /* pfacc */, X86::PFACCrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 5985 /* pfacc */, X86::PFACCrm, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR64 }, },
{ 5991 /* pfadd */, X86::PFADDrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 5991 /* pfadd */, X86::PFADDrm, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR64 }, },
{ 5997 /* pfcmpeq */, X86::PFCMPEQrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 5997 /* pfcmpeq */, X86::PFCMPEQrm, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR64 }, },
{ 6005 /* pfcmpge */, X86::PFCMPGErr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6005 /* pfcmpge */, X86::PFCMPGErm, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR64 }, },
{ 6013 /* pfcmpgt */, X86::PFCMPGTrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6013 /* pfcmpgt */, X86::PFCMPGTrm, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR64 }, },
{ 6021 /* pfmax */, X86::PFMAXrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6021 /* pfmax */, X86::PFMAXrm, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR64 }, },
{ 6027 /* pfmin */, X86::PFMINrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6027 /* pfmin */, X86::PFMINrm, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR64 }, },
{ 6033 /* pfmul */, X86::PFMULrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6033 /* pfmul */, X86::PFMULrm, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR64 }, },
{ 6039 /* pfnacc */, X86::PFNACCrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6039 /* pfnacc */, X86::PFNACCrm, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR64 }, },
{ 6046 /* pfpnacc */, X86::PFPNACCrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6046 /* pfpnacc */, X86::PFPNACCrm, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR64 }, },
{ 6054 /* pfrcp */, X86::PFRCPrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6054 /* pfrcp */, X86::PFRCPrm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR64 }, },
{ 6060 /* pfrcpit1 */, X86::PFRCPIT1rr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6060 /* pfrcpit1 */, X86::PFRCPIT1rm, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR64 }, },
{ 6069 /* pfrcpit2 */, X86::PFRCPIT2rr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6069 /* pfrcpit2 */, X86::PFRCPIT2rm, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR64 }, },
{ 6078 /* pfrsqit1 */, X86::PFRSQIT1rr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6078 /* pfrsqit1 */, X86::PFRSQIT1rm, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR64 }, },
{ 6087 /* pfrsqrt */, X86::PFRSQRTrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6087 /* pfrsqrt */, X86::PFRSQRTrm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR64 }, },
{ 6095 /* pfsub */, X86::PFSUBrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6095 /* pfsub */, X86::PFSUBrm, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR64 }, },
{ 6101 /* pfsubr */, X86::PFSUBRrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6101 /* pfsubr */, X86::PFSUBRrm, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR64 }, },
{ 6108 /* phaddd */, X86::MMX_PHADDDrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6108 /* phaddd */, X86::PHADDDrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6108 /* phaddd */, X86::PHADDDrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 6108 /* phaddd */, X86::MMX_PHADDDrm, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR64 }, },
{ 6115 /* phaddsw */, X86::MMX_PHADDSWrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6115 /* phaddsw */, X86::PHADDSWrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6115 /* phaddsw */, X86::PHADDSWrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 6115 /* phaddsw */, X86::MMX_PHADDSWrm, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR64 }, },
{ 6123 /* phaddw */, X86::MMX_PHADDWrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6123 /* phaddw */, X86::PHADDWrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6123 /* phaddw */, X86::PHADDWrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 6123 /* phaddw */, X86::MMX_PHADDWrm, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR64 }, },
{ 6130 /* phminposuw */, X86::PHMINPOSUWrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6130 /* phminposuw */, X86::PHMINPOSUWrm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 6141 /* phsubd */, X86::MMX_PHSUBDrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6141 /* phsubd */, X86::PHSUBDrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6141 /* phsubd */, X86::PHSUBDrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 6141 /* phsubd */, X86::MMX_PHSUBDrm, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR64 }, },
{ 6148 /* phsubsw */, X86::MMX_PHSUBSWrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6148 /* phsubsw */, X86::PHSUBSWrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6148 /* phsubsw */, X86::PHSUBSWrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 6148 /* phsubsw */, X86::MMX_PHSUBSWrm, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR64 }, },
{ 6156 /* phsubw */, X86::MMX_PHSUBWrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6156 /* phsubw */, X86::PHSUBWrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6156 /* phsubw */, X86::PHSUBWrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 6156 /* phsubw */, X86::MMX_PHSUBWrm, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR64 }, },
{ 6163 /* pi2fd */, X86::PI2FDrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6163 /* pi2fd */, X86::PI2FDrm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR64 }, },
{ 6169 /* pi2fw */, X86::PI2FWrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6169 /* pi2fw */, X86::PI2FWrm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR64 }, },
{ 6175 /* pinsrb */, X86::PINSRBrr, Convert__Reg1_2__Tie0_1_1__GR32orGR641_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_GR32orGR64, MCK_FR16 }, },
{ 6175 /* pinsrb */, X86::PINSRBrm, Convert__Reg1_2__Tie0_1_1__Mem85_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem8, MCK_FR16 }, },
{ 6182 /* pinsrd */, X86::PINSRDrr, Convert__Reg1_2__Tie0_1_1__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_GR32, MCK_FR16 }, },
{ 6182 /* pinsrd */, X86::PINSRDrm, Convert__Reg1_2__Tie0_1_1__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK_FR16 }, },
{ 6189 /* pinsrq */, X86::PINSRQrr, Convert__Reg1_2__Tie0_1_1__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_GR64, MCK_FR16 }, },
{ 6189 /* pinsrq */, X86::PINSRQrm, Convert__Reg1_2__Tie0_1_1__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK_FR16 }, },
{ 6196 /* pinsrw */, X86::MMX_PINSRWrr, Convert__Reg1_2__Tie0_1_1__GR32orGR641_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_GR32orGR64, MCK_VR64 }, },
{ 6196 /* pinsrw */, X86::PINSRWrr, Convert__Reg1_2__Tie0_1_1__GR32orGR641_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_GR32orGR64, MCK_FR16 }, },
{ 6196 /* pinsrw */, X86::MMX_PINSRWrm, Convert__Reg1_2__Tie0_1_1__Mem165_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem16, MCK_VR64 }, },
{ 6196 /* pinsrw */, X86::PINSRWrm, Convert__Reg1_2__Tie0_1_1__Mem165_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem16, MCK_FR16 }, },
{ 6203 /* pmaddubsw */, X86::MMX_PMADDUBSWrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6203 /* pmaddubsw */, X86::PMADDUBSWrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6203 /* pmaddubsw */, X86::PMADDUBSWrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 6203 /* pmaddubsw */, X86::MMX_PMADDUBSWrm, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR64 }, },
{ 6213 /* pmaddwd */, X86::MMX_PMADDWDrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6213 /* pmaddwd */, X86::PMADDWDrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6213 /* pmaddwd */, X86::PMADDWDrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 6213 /* pmaddwd */, X86::MMX_PMADDWDrm, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR64 }, },
{ 6221 /* pmaxsb */, X86::PMAXSBrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6221 /* pmaxsb */, X86::PMAXSBrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 6228 /* pmaxsd */, X86::PMAXSDrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6228 /* pmaxsd */, X86::PMAXSDrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 6235 /* pmaxsw */, X86::MMX_PMAXSWrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6235 /* pmaxsw */, X86::PMAXSWrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6235 /* pmaxsw */, X86::PMAXSWrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 6235 /* pmaxsw */, X86::MMX_PMAXSWrm, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR64 }, },
{ 6242 /* pmaxub */, X86::MMX_PMAXUBrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6242 /* pmaxub */, X86::PMAXUBrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6242 /* pmaxub */, X86::PMAXUBrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 6242 /* pmaxub */, X86::MMX_PMAXUBrm, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR64 }, },
{ 6249 /* pmaxud */, X86::PMAXUDrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6249 /* pmaxud */, X86::PMAXUDrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 6256 /* pmaxuw */, X86::PMAXUWrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6256 /* pmaxuw */, X86::PMAXUWrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 6263 /* pminsb */, X86::PMINSBrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6263 /* pminsb */, X86::PMINSBrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 6270 /* pminsd */, X86::PMINSDrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6270 /* pminsd */, X86::PMINSDrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 6277 /* pminsw */, X86::MMX_PMINSWrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6277 /* pminsw */, X86::PMINSWrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6277 /* pminsw */, X86::PMINSWrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 6277 /* pminsw */, X86::MMX_PMINSWrm, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR64 }, },
{ 6284 /* pminub */, X86::MMX_PMINUBrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6284 /* pminub */, X86::PMINUBrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6284 /* pminub */, X86::PMINUBrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 6284 /* pminub */, X86::MMX_PMINUBrm, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR64 }, },
{ 6291 /* pminud */, X86::PMINUDrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6291 /* pminud */, X86::PMINUDrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 6298 /* pminuw */, X86::PMINUWrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6298 /* pminuw */, X86::PMINUWrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 6305 /* pmovmskb */, X86::MMX_PMOVMSKBrr, Convert__GR32orGR641_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_GR32orGR64 }, },
{ 6305 /* pmovmskb */, X86::PMOVMSKBrr, Convert__GR32orGR641_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_GR32orGR64 }, },
{ 6314 /* pmovsxbd */, X86::PMOVSXBDrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6314 /* pmovsxbd */, X86::PMOVSXBDrm, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16 }, },
{ 6323 /* pmovsxbq */, X86::PMOVSXBQrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6323 /* pmovsxbq */, X86::PMOVSXBQrm, Convert__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16 }, },
{ 6332 /* pmovsxbw */, X86::PMOVSXBWrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6332 /* pmovsxbw */, X86::PMOVSXBWrm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16 }, },
{ 6341 /* pmovsxdq */, X86::PMOVSXDQrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6341 /* pmovsxdq */, X86::PMOVSXDQrm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16 }, },
{ 6350 /* pmovsxwd */, X86::PMOVSXWDrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6350 /* pmovsxwd */, X86::PMOVSXWDrm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16 }, },
{ 6359 /* pmovsxwq */, X86::PMOVSXWQrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6359 /* pmovsxwq */, X86::PMOVSXWQrm, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16 }, },
{ 6368 /* pmovzxbd */, X86::PMOVZXBDrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6368 /* pmovzxbd */, X86::PMOVZXBDrm, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16 }, },
{ 6377 /* pmovzxbq */, X86::PMOVZXBQrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6377 /* pmovzxbq */, X86::PMOVZXBQrm, Convert__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16 }, },
{ 6386 /* pmovzxbw */, X86::PMOVZXBWrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6386 /* pmovzxbw */, X86::PMOVZXBWrm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16 }, },
{ 6395 /* pmovzxdq */, X86::PMOVZXDQrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6395 /* pmovzxdq */, X86::PMOVZXDQrm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16 }, },
{ 6404 /* pmovzxwd */, X86::PMOVZXWDrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6404 /* pmovzxwd */, X86::PMOVZXWDrm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16 }, },
{ 6413 /* pmovzxwq */, X86::PMOVZXWQrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6413 /* pmovzxwq */, X86::PMOVZXWQrm, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16 }, },
{ 6422 /* pmuldq */, X86::PMULDQrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6422 /* pmuldq */, X86::PMULDQrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 6429 /* pmulhrsw */, X86::MMX_PMULHRSWrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6429 /* pmulhrsw */, X86::PMULHRSWrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6429 /* pmulhrsw */, X86::PMULHRSWrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 6429 /* pmulhrsw */, X86::MMX_PMULHRSWrm, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR64 }, },
{ 6438 /* pmulhrw */, X86::PMULHRWrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6438 /* pmulhrw */, X86::PMULHRWrm, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR64 }, },
{ 6446 /* pmulhuw */, X86::MMX_PMULHUWrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6446 /* pmulhuw */, X86::PMULHUWrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6446 /* pmulhuw */, X86::PMULHUWrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 6446 /* pmulhuw */, X86::MMX_PMULHUWrm, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR64 }, },
{ 6454 /* pmulhw */, X86::MMX_PMULHWrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6454 /* pmulhw */, X86::PMULHWrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6454 /* pmulhw */, X86::PMULHWrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 6454 /* pmulhw */, X86::MMX_PMULHWrm, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR64 }, },
{ 6461 /* pmulld */, X86::PMULLDrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6461 /* pmulld */, X86::PMULLDrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 6468 /* pmullw */, X86::MMX_PMULLWrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6468 /* pmullw */, X86::PMULLWrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6468 /* pmullw */, X86::PMULLWrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 6468 /* pmullw */, X86::MMX_PMULLWrm, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR64 }, },
{ 6475 /* pmuludq */, X86::MMX_PMULUDQrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6475 /* pmuludq */, X86::PMULUDQrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6475 /* pmuludq */, X86::PMULUDQrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 6475 /* pmuludq */, X86::MMX_PMULUDQrm, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR64 }, },
{ 6487 /* popal */, X86::POPA32, Convert_NoOperands, AMFBS_Not64BitMode, { }, },
{ 6493 /* popaw */, X86::POPA16, Convert_NoOperands, AMFBS_Not64BitMode, { }, },
{ 6506 /* popcntl */, X86::POPCNT32rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 6506 /* popcntl */, X86::POPCNT32rm, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_GR32 }, },
{ 6514 /* popcntq */, X86::POPCNT64rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 6514 /* popcntq */, X86::POPCNT64rm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_GR64 }, },
{ 6522 /* popcntw */, X86::POPCNT16rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR16, MCK_GR16 }, },
{ 6522 /* popcntw */, X86::POPCNT16rm, Convert__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_GR16 }, },
{ 6541 /* popfl */, X86::POPF32, Convert_NoOperands, AMFBS_Not64BitMode, { }, },
{ 6547 /* popfq */, X86::POPF64, Convert_NoOperands, AMFBS_In64BitMode, { }, },
{ 6553 /* popfw */, X86::POPF16, Convert_NoOperands, AMFBS_None, { }, },
{ 6559 /* popl */, X86::POPDS32, Convert_NoOperands, AMFBS_Not64BitMode, { MCK_DS }, },
{ 6559 /* popl */, X86::POPES32, Convert_NoOperands, AMFBS_Not64BitMode, { MCK_ES }, },
{ 6559 /* popl */, X86::POPFS32, Convert_NoOperands, AMFBS_Not64BitMode, { MCK_FS }, },
{ 6559 /* popl */, X86::POPGS32, Convert_NoOperands, AMFBS_Not64BitMode, { MCK_GS }, },
{ 6559 /* popl */, X86::POPSS32, Convert_NoOperands, AMFBS_Not64BitMode, { MCK_SS }, },
{ 6559 /* popl */, X86::POP32r, Convert__Reg1_0, AMFBS_Not64BitMode, { MCK_GR32 }, },
{ 6559 /* popl */, X86::POP32rmm, Convert__Mem325_0, AMFBS_Not64BitMode, { MCK_Mem32 }, },
{ 6564 /* popq */, X86::POPFS64, Convert_NoOperands, AMFBS_In64BitMode, { MCK_FS }, },
{ 6564 /* popq */, X86::POPGS64, Convert_NoOperands, AMFBS_In64BitMode, { MCK_GS }, },
{ 6564 /* popq */, X86::POP64r, Convert__Reg1_0, AMFBS_In64BitMode, { MCK_GR64 }, },
{ 6564 /* popq */, X86::POP64rmm, Convert__Mem645_0, AMFBS_In64BitMode, { MCK_Mem64 }, },
{ 6569 /* popw */, X86::POPDS16, Convert_NoOperands, AMFBS_Not64BitMode, { MCK_DS }, },
{ 6569 /* popw */, X86::POPES16, Convert_NoOperands, AMFBS_Not64BitMode, { MCK_ES }, },
{ 6569 /* popw */, X86::POPFS16, Convert_NoOperands, AMFBS_None, { MCK_FS }, },
{ 6569 /* popw */, X86::POPGS16, Convert_NoOperands, AMFBS_None, { MCK_GS }, },
{ 6569 /* popw */, X86::POPSS16, Convert_NoOperands, AMFBS_Not64BitMode, { MCK_SS }, },
{ 6569 /* popw */, X86::POP16r, Convert__Reg1_0, AMFBS_None, { MCK_GR16 }, },
{ 6569 /* popw */, X86::POP16rmm, Convert__Mem165_0, AMFBS_None, { MCK_Mem16 }, },
{ 6574 /* por */, X86::MMX_PORrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6574 /* por */, X86::PORrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6574 /* por */, X86::PORrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 6574 /* por */, X86::MMX_PORrm, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR64 }, },
{ 6578 /* prefetch */, X86::PREFETCH, Convert__Mem85_0, AMFBS_None, { MCK_Mem8 }, },
{ 6587 /* prefetchit0 */, X86::PREFETCHIT0, Convert__Mem85_0, AMFBS_In64BitMode, { MCK_Mem8 }, },
{ 6599 /* prefetchit1 */, X86::PREFETCHIT1, Convert__Mem85_0, AMFBS_In64BitMode, { MCK_Mem8 }, },
{ 6611 /* prefetchnta */, X86::PREFETCHNTA, Convert__Mem85_0, AMFBS_None, { MCK_Mem8 }, },
{ 6623 /* prefetcht0 */, X86::PREFETCHT0, Convert__Mem85_0, AMFBS_None, { MCK_Mem8 }, },
{ 6634 /* prefetcht1 */, X86::PREFETCHT1, Convert__Mem85_0, AMFBS_None, { MCK_Mem8 }, },
{ 6645 /* prefetcht2 */, X86::PREFETCHT2, Convert__Mem85_0, AMFBS_None, { MCK_Mem8 }, },
{ 6656 /* prefetchw */, X86::PREFETCHW, Convert__Mem85_0, AMFBS_None, { MCK_Mem8 }, },
{ 6666 /* prefetchwt1 */, X86::PREFETCHWT1, Convert__Mem85_0, AMFBS_None, { MCK_Mem8 }, },
{ 6678 /* psadbw */, X86::MMX_PSADBWrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6678 /* psadbw */, X86::PSADBWrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6678 /* psadbw */, X86::PSADBWrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 6678 /* psadbw */, X86::MMX_PSADBWrm, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR64 }, },
{ 6685 /* pshufb */, X86::MMX_PSHUFBrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6685 /* pshufb */, X86::PSHUFBrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6685 /* pshufb */, X86::PSHUFBrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 6685 /* pshufb */, X86::MMX_PSHUFBrm, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR64 }, },
{ 6692 /* pshufd */, X86::PSHUFDri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_FR16 }, },
{ 6692 /* pshufd */, X86::PSHUFDmi, Convert__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16 }, },
{ 6699 /* pshufhw */, X86::PSHUFHWri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_FR16 }, },
{ 6699 /* pshufhw */, X86::PSHUFHWmi, Convert__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16 }, },
{ 6707 /* pshuflw */, X86::PSHUFLWri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_FR16 }, },
{ 6707 /* pshuflw */, X86::PSHUFLWmi, Convert__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16 }, },
{ 6715 /* pshufw */, X86::MMX_PSHUFWri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR64, MCK_VR64 }, },
{ 6715 /* pshufw */, X86::MMX_PSHUFWmi, Convert__Reg1_2__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK_VR64 }, },
{ 6722 /* psignb */, X86::MMX_PSIGNBrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6722 /* psignb */, X86::PSIGNBrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6722 /* psignb */, X86::PSIGNBrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 6722 /* psignb */, X86::MMX_PSIGNBrm, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR64 }, },
{ 6729 /* psignd */, X86::MMX_PSIGNDrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6729 /* psignd */, X86::PSIGNDrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6729 /* psignd */, X86::PSIGNDrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 6729 /* psignd */, X86::MMX_PSIGNDrm, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR64 }, },
{ 6736 /* psignw */, X86::MMX_PSIGNWrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6736 /* psignw */, X86::PSIGNWrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6736 /* psignw */, X86::PSIGNWrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 6736 /* psignw */, X86::MMX_PSIGNWrm, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR64 }, },
{ 6743 /* pslld */, X86::MMX_PSLLDrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6743 /* pslld */, X86::PSLLDrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6743 /* pslld */, X86::MMX_PSLLDri, Convert__Reg1_1__Tie0_1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR64 }, },
{ 6743 /* pslld */, X86::PSLLDri, Convert__Reg1_1__Tie0_1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16 }, },
{ 6743 /* pslld */, X86::PSLLDrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 6743 /* pslld */, X86::MMX_PSLLDrm, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR64 }, },
{ 6749 /* pslldq */, X86::PSLLDQri, Convert__Reg1_1__Tie0_1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16 }, },
{ 6756 /* psllq */, X86::MMX_PSLLQrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6756 /* psllq */, X86::PSLLQrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6756 /* psllq */, X86::MMX_PSLLQri, Convert__Reg1_1__Tie0_1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR64 }, },
{ 6756 /* psllq */, X86::PSLLQri, Convert__Reg1_1__Tie0_1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16 }, },
{ 6756 /* psllq */, X86::PSLLQrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 6756 /* psllq */, X86::MMX_PSLLQrm, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR64 }, },
{ 6762 /* psllw */, X86::MMX_PSLLWrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6762 /* psllw */, X86::PSLLWrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6762 /* psllw */, X86::MMX_PSLLWri, Convert__Reg1_1__Tie0_1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR64 }, },
{ 6762 /* psllw */, X86::PSLLWri, Convert__Reg1_1__Tie0_1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16 }, },
{ 6762 /* psllw */, X86::PSLLWrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 6762 /* psllw */, X86::MMX_PSLLWrm, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR64 }, },
{ 6768 /* psmash */, X86::PSMASH, Convert_NoOperands, AMFBS_In64BitMode, { }, },
{ 6768 /* psmash */, X86::PSMASH, Convert_NoOperands, AMFBS_In64BitMode, { MCK_RAX }, },
{ 6775 /* psrad */, X86::MMX_PSRADrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6775 /* psrad */, X86::PSRADrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6775 /* psrad */, X86::MMX_PSRADri, Convert__Reg1_1__Tie0_1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR64 }, },
{ 6775 /* psrad */, X86::PSRADri, Convert__Reg1_1__Tie0_1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16 }, },
{ 6775 /* psrad */, X86::PSRADrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 6775 /* psrad */, X86::MMX_PSRADrm, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR64 }, },
{ 6781 /* psraw */, X86::MMX_PSRAWrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6781 /* psraw */, X86::PSRAWrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6781 /* psraw */, X86::MMX_PSRAWri, Convert__Reg1_1__Tie0_1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR64 }, },
{ 6781 /* psraw */, X86::PSRAWri, Convert__Reg1_1__Tie0_1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16 }, },
{ 6781 /* psraw */, X86::PSRAWrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 6781 /* psraw */, X86::MMX_PSRAWrm, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR64 }, },
{ 6787 /* psrld */, X86::MMX_PSRLDrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6787 /* psrld */, X86::PSRLDrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6787 /* psrld */, X86::MMX_PSRLDri, Convert__Reg1_1__Tie0_1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR64 }, },
{ 6787 /* psrld */, X86::PSRLDri, Convert__Reg1_1__Tie0_1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16 }, },
{ 6787 /* psrld */, X86::PSRLDrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 6787 /* psrld */, X86::MMX_PSRLDrm, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR64 }, },
{ 6793 /* psrldq */, X86::PSRLDQri, Convert__Reg1_1__Tie0_1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16 }, },
{ 6800 /* psrlq */, X86::MMX_PSRLQrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6800 /* psrlq */, X86::PSRLQrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6800 /* psrlq */, X86::MMX_PSRLQri, Convert__Reg1_1__Tie0_1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR64 }, },
{ 6800 /* psrlq */, X86::PSRLQri, Convert__Reg1_1__Tie0_1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16 }, },
{ 6800 /* psrlq */, X86::PSRLQrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 6800 /* psrlq */, X86::MMX_PSRLQrm, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR64 }, },
{ 6806 /* psrlw */, X86::MMX_PSRLWrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6806 /* psrlw */, X86::PSRLWrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6806 /* psrlw */, X86::MMX_PSRLWri, Convert__Reg1_1__Tie0_1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR64 }, },
{ 6806 /* psrlw */, X86::PSRLWri, Convert__Reg1_1__Tie0_1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16 }, },
{ 6806 /* psrlw */, X86::PSRLWrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 6806 /* psrlw */, X86::MMX_PSRLWrm, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR64 }, },
{ 6812 /* psubb */, X86::MMX_PSUBBrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6812 /* psubb */, X86::PSUBBrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6812 /* psubb */, X86::PSUBBrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 6812 /* psubb */, X86::MMX_PSUBBrm, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR64 }, },
{ 6818 /* psubd */, X86::MMX_PSUBDrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6818 /* psubd */, X86::PSUBDrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6818 /* psubd */, X86::PSUBDrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 6818 /* psubd */, X86::MMX_PSUBDrm, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR64 }, },
{ 6824 /* psubq */, X86::MMX_PSUBQrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6824 /* psubq */, X86::PSUBQrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6824 /* psubq */, X86::PSUBQrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 6824 /* psubq */, X86::MMX_PSUBQrm, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR64 }, },
{ 6830 /* psubsb */, X86::MMX_PSUBSBrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6830 /* psubsb */, X86::PSUBSBrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6830 /* psubsb */, X86::PSUBSBrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 6830 /* psubsb */, X86::MMX_PSUBSBrm, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR64 }, },
{ 6837 /* psubsw */, X86::MMX_PSUBSWrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6837 /* psubsw */, X86::PSUBSWrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6837 /* psubsw */, X86::PSUBSWrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 6837 /* psubsw */, X86::MMX_PSUBSWrm, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR64 }, },
{ 6844 /* psubusb */, X86::MMX_PSUBUSBrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6844 /* psubusb */, X86::PSUBUSBrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6844 /* psubusb */, X86::PSUBUSBrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 6844 /* psubusb */, X86::MMX_PSUBUSBrm, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR64 }, },
{ 6852 /* psubusw */, X86::MMX_PSUBUSWrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6852 /* psubusw */, X86::PSUBUSWrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6852 /* psubusw */, X86::PSUBUSWrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 6852 /* psubusw */, X86::MMX_PSUBUSWrm, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR64 }, },
{ 6860 /* psubw */, X86::MMX_PSUBWrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6860 /* psubw */, X86::PSUBWrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6860 /* psubw */, X86::PSUBWrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 6860 /* psubw */, X86::MMX_PSUBWrm, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR64 }, },
{ 6866 /* pswapd */, X86::PSWAPDrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6866 /* pswapd */, X86::PSWAPDrm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR64 }, },
{ 6873 /* ptest */, X86::PTESTrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6873 /* ptest */, X86::PTESTrm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 6887 /* ptwritel */, X86::PTWRITEr, Convert__Reg1_0, AMFBS_None, { MCK_GR32 }, },
{ 6887 /* ptwritel */, X86::PTWRITEm, Convert__Mem325_0, AMFBS_None, { MCK_Mem32 }, },
{ 6896 /* ptwriteq */, X86::PTWRITE64r, Convert__Reg1_0, AMFBS_In64BitMode, { MCK_GR64 }, },
{ 6896 /* ptwriteq */, X86::PTWRITE64m, Convert__Mem645_0, AMFBS_In64BitMode, { MCK_Mem64 }, },
{ 6905 /* punpckhbw */, X86::MMX_PUNPCKHBWrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6905 /* punpckhbw */, X86::PUNPCKHBWrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6905 /* punpckhbw */, X86::PUNPCKHBWrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 6905 /* punpckhbw */, X86::MMX_PUNPCKHBWrm, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR64 }, },
{ 6915 /* punpckhdq */, X86::MMX_PUNPCKHDQrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6915 /* punpckhdq */, X86::PUNPCKHDQrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6915 /* punpckhdq */, X86::PUNPCKHDQrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 6915 /* punpckhdq */, X86::MMX_PUNPCKHDQrm, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR64 }, },
{ 6925 /* punpckhqdq */, X86::PUNPCKHQDQrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6925 /* punpckhqdq */, X86::PUNPCKHQDQrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 6936 /* punpckhwd */, X86::MMX_PUNPCKHWDrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6936 /* punpckhwd */, X86::PUNPCKHWDrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6936 /* punpckhwd */, X86::PUNPCKHWDrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 6936 /* punpckhwd */, X86::MMX_PUNPCKHWDrm, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR64 }, },
{ 6946 /* punpcklbw */, X86::MMX_PUNPCKLBWrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6946 /* punpcklbw */, X86::PUNPCKLBWrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6946 /* punpcklbw */, X86::PUNPCKLBWrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 6946 /* punpcklbw */, X86::MMX_PUNPCKLBWrm, Convert__Reg1_1__Tie0_1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_VR64 }, },
{ 6956 /* punpckldq */, X86::MMX_PUNPCKLDQrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6956 /* punpckldq */, X86::PUNPCKLDQrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6956 /* punpckldq */, X86::PUNPCKLDQrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 6956 /* punpckldq */, X86::MMX_PUNPCKLDQrm, Convert__Reg1_1__Tie0_1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_VR64 }, },
{ 6966 /* punpcklqdq */, X86::PUNPCKLQDQrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6966 /* punpcklqdq */, X86::PUNPCKLQDQrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 6977 /* punpcklwd */, X86::MMX_PUNPCKLWDrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6977 /* punpcklwd */, X86::PUNPCKLWDrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6977 /* punpcklwd */, X86::PUNPCKLWDrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 6977 /* punpcklwd */, X86::MMX_PUNPCKLWDrm, Convert__Reg1_1__Tie0_1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_VR64 }, },
{ 6992 /* pushal */, X86::PUSHA32, Convert_NoOperands, AMFBS_Not64BitMode, { }, },
{ 6999 /* pushaw */, X86::PUSHA16, Convert_NoOperands, AMFBS_Not64BitMode, { }, },
{ 7019 /* pushfl */, X86::PUSHF32, Convert_NoOperands, AMFBS_Not64BitMode, { }, },
{ 7026 /* pushfq */, X86::PUSHF64, Convert_NoOperands, AMFBS_In64BitMode, { }, },
{ 7033 /* pushfw */, X86::PUSHF16, Convert_NoOperands, AMFBS_None, { }, },
{ 7040 /* pushl */, X86::PUSHCS32, Convert_NoOperands, AMFBS_Not64BitMode, { MCK_CS }, },
{ 7040 /* pushl */, X86::PUSHDS32, Convert_NoOperands, AMFBS_Not64BitMode, { MCK_DS }, },
{ 7040 /* pushl */, X86::PUSHES32, Convert_NoOperands, AMFBS_Not64BitMode, { MCK_ES }, },
{ 7040 /* pushl */, X86::PUSHFS32, Convert_NoOperands, AMFBS_Not64BitMode, { MCK_FS }, },
{ 7040 /* pushl */, X86::PUSHGS32, Convert_NoOperands, AMFBS_Not64BitMode, { MCK_GS }, },
{ 7040 /* pushl */, X86::PUSHSS32, Convert_NoOperands, AMFBS_Not64BitMode, { MCK_SS }, },
{ 7040 /* pushl */, X86::PUSH32r, Convert__Reg1_0, AMFBS_Not64BitMode, { MCK_GR32 }, },
{ 7040 /* pushl */, X86::PUSH32i8, Convert__ImmSExti32i81_0, AMFBS_Not64BitMode, { MCK_ImmSExti32i8 }, },
{ 7040 /* pushl */, X86::PUSHi32, Convert__Imm1_0, AMFBS_Not64BitMode, { MCK_Imm }, },
{ 7040 /* pushl */, X86::PUSH32rmm, Convert__Mem325_0, AMFBS_Not64BitMode, { MCK_Mem32 }, },
{ 7046 /* pushq */, X86::PUSHFS64, Convert_NoOperands, AMFBS_In64BitMode, { MCK_FS }, },
{ 7046 /* pushq */, X86::PUSHGS64, Convert_NoOperands, AMFBS_In64BitMode, { MCK_GS }, },
{ 7046 /* pushq */, X86::PUSH64r, Convert__Reg1_0, AMFBS_In64BitMode, { MCK_GR64 }, },
{ 7046 /* pushq */, X86::PUSH64i8, Convert__ImmSExti64i81_0, AMFBS_In64BitMode, { MCK_ImmSExti64i8 }, },
{ 7046 /* pushq */, X86::PUSH64i32, Convert__ImmSExti64i321_0, AMFBS_In64BitMode, { MCK_ImmSExti64i32 }, },
{ 7046 /* pushq */, X86::PUSH64rmm, Convert__Mem645_0, AMFBS_In64BitMode, { MCK_Mem64 }, },
{ 7052 /* pushw */, X86::PUSHCS16, Convert_NoOperands, AMFBS_Not64BitMode, { MCK_CS }, },
{ 7052 /* pushw */, X86::PUSHDS16, Convert_NoOperands, AMFBS_Not64BitMode, { MCK_DS }, },
{ 7052 /* pushw */, X86::PUSHES16, Convert_NoOperands, AMFBS_Not64BitMode, { MCK_ES }, },
{ 7052 /* pushw */, X86::PUSHFS16, Convert_NoOperands, AMFBS_None, { MCK_FS }, },
{ 7052 /* pushw */, X86::PUSHGS16, Convert_NoOperands, AMFBS_None, { MCK_GS }, },
{ 7052 /* pushw */, X86::PUSHSS16, Convert_NoOperands, AMFBS_Not64BitMode, { MCK_SS }, },
{ 7052 /* pushw */, X86::PUSH16r, Convert__Reg1_0, AMFBS_None, { MCK_GR16 }, },
{ 7052 /* pushw */, X86::PUSH16i8, Convert__ImmSExti16i81_0, AMFBS_None, { MCK_ImmSExti16i8 }, },
{ 7052 /* pushw */, X86::PUSHi16, Convert__Imm1_0, AMFBS_None, { MCK_Imm }, },
{ 7052 /* pushw */, X86::PUSH16rmm, Convert__Mem165_0, AMFBS_None, { MCK_Mem16 }, },
{ 7058 /* pvalidate */, X86::PVALIDATE64, Convert_NoOperands, AMFBS_In64BitMode, { }, },
{ 7058 /* pvalidate */, X86::PVALIDATE32, Convert_NoOperands, AMFBS_Not64BitMode, { }, },
{ 7058 /* pvalidate */, X86::PVALIDATE32, Convert_NoOperands, AMFBS_Not64BitMode, { MCK_EAX }, },
{ 7058 /* pvalidate */, X86::PVALIDATE64, Convert_NoOperands, AMFBS_In64BitMode, { MCK_RAX }, },
{ 7068 /* pxor */, X86::MMX_PXORrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 7068 /* pxor */, X86::PXORrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 7068 /* pxor */, X86::PXORrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 7068 /* pxor */, X86::MMX_PXORrm, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR64 }, },
{ 7077 /* rclb */, X86::RCL8r1, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR8 }, },
{ 7077 /* rclb */, X86::RCL8m1, Convert__Mem85_0, AMFBS_None, { MCK_Mem8 }, },
{ 7077 /* rclb */, X86::RCL8rCL, Convert__Reg1_1__Tie0_1_1, AMFBS_None, { MCK_CL, MCK_GR8 }, },
{ 7077 /* rclb */, X86::RCL8mCL, Convert__Mem85_1, AMFBS_None, { MCK_CL, MCK_Mem8 }, },
{ 7077 /* rclb */, X86::RCL8ri, Convert__Reg1_1__Tie0_1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_GR8 }, },
{ 7077 /* rclb */, X86::RCL8mi, Convert__Mem85_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem8 }, },
{ 7082 /* rcll */, X86::RCL32r1, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR32 }, },
{ 7082 /* rcll */, X86::RCL32m1, Convert__Mem325_0, AMFBS_None, { MCK_Mem32 }, },
{ 7082 /* rcll */, X86::RCL32rCL, Convert__Reg1_1__Tie0_1_1, AMFBS_None, { MCK_CL, MCK_GR32 }, },
{ 7082 /* rcll */, X86::RCL32mCL, Convert__Mem325_1, AMFBS_None, { MCK_CL, MCK_Mem32 }, },
{ 7082 /* rcll */, X86::RCL32ri, Convert__Reg1_1__Tie0_1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_GR32 }, },
{ 7082 /* rcll */, X86::RCL32mi, Convert__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32 }, },
{ 7087 /* rclq */, X86::RCL64r1, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR64 }, },
{ 7087 /* rclq */, X86::RCL64m1, Convert__Mem645_0, AMFBS_In64BitMode, { MCK_Mem64 }, },
{ 7087 /* rclq */, X86::RCL64rCL, Convert__Reg1_1__Tie0_1_1, AMFBS_None, { MCK_CL, MCK_GR64 }, },
{ 7087 /* rclq */, X86::RCL64mCL, Convert__Mem645_1, AMFBS_In64BitMode, { MCK_CL, MCK_Mem64 }, },
{ 7087 /* rclq */, X86::RCL64ri, Convert__Reg1_1__Tie0_1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_GR64 }, },
{ 7087 /* rclq */, X86::RCL64mi, Convert__Mem645_1__ImmUnsignedi81_0, AMFBS_In64BitMode, { MCK_ImmUnsignedi8, MCK_Mem64 }, },
{ 7092 /* rclw */, X86::RCL16r1, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR16 }, },
{ 7092 /* rclw */, X86::RCL16m1, Convert__Mem165_0, AMFBS_None, { MCK_Mem16 }, },
{ 7092 /* rclw */, X86::RCL16rCL, Convert__Reg1_1__Tie0_1_1, AMFBS_None, { MCK_CL, MCK_GR16 }, },
{ 7092 /* rclw */, X86::RCL16mCL, Convert__Mem165_1, AMFBS_None, { MCK_CL, MCK_Mem16 }, },
{ 7092 /* rclw */, X86::RCL16ri, Convert__Reg1_1__Tie0_1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_GR16 }, },
{ 7092 /* rclw */, X86::RCL16mi, Convert__Mem165_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem16 }, },
{ 7097 /* rcpps */, X86::RCPPSr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 7097 /* rcpps */, X86::RCPPSm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 7103 /* rcpss */, X86::RCPSSr_Int, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 7103 /* rcpss */, X86::RCPSSm_Int, Convert__Reg1_1__Tie0_1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16 }, },
{ 7113 /* rcrb */, X86::RCR8r1, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR8 }, },
{ 7113 /* rcrb */, X86::RCR8m1, Convert__Mem85_0, AMFBS_None, { MCK_Mem8 }, },
{ 7113 /* rcrb */, X86::RCR8rCL, Convert__Reg1_1__Tie0_1_1, AMFBS_None, { MCK_CL, MCK_GR8 }, },
{ 7113 /* rcrb */, X86::RCR8mCL, Convert__Mem85_1, AMFBS_None, { MCK_CL, MCK_Mem8 }, },
{ 7113 /* rcrb */, X86::RCR8ri, Convert__Reg1_1__Tie0_1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_GR8 }, },
{ 7113 /* rcrb */, X86::RCR8mi, Convert__Mem85_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem8 }, },
{ 7118 /* rcrl */, X86::RCR32r1, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR32 }, },
{ 7118 /* rcrl */, X86::RCR32m1, Convert__Mem325_0, AMFBS_None, { MCK_Mem32 }, },
{ 7118 /* rcrl */, X86::RCR32rCL, Convert__Reg1_1__Tie0_1_1, AMFBS_None, { MCK_CL, MCK_GR32 }, },
{ 7118 /* rcrl */, X86::RCR32mCL, Convert__Mem325_1, AMFBS_None, { MCK_CL, MCK_Mem32 }, },
{ 7118 /* rcrl */, X86::RCR32ri, Convert__Reg1_1__Tie0_1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_GR32 }, },
{ 7118 /* rcrl */, X86::RCR32mi, Convert__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32 }, },
{ 7123 /* rcrq */, X86::RCR64r1, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR64 }, },
{ 7123 /* rcrq */, X86::RCR64m1, Convert__Mem645_0, AMFBS_In64BitMode, { MCK_Mem64 }, },
{ 7123 /* rcrq */, X86::RCR64rCL, Convert__Reg1_1__Tie0_1_1, AMFBS_None, { MCK_CL, MCK_GR64 }, },
{ 7123 /* rcrq */, X86::RCR64mCL, Convert__Mem645_1, AMFBS_In64BitMode, { MCK_CL, MCK_Mem64 }, },
{ 7123 /* rcrq */, X86::RCR64ri, Convert__Reg1_1__Tie0_1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_GR64 }, },
{ 7123 /* rcrq */, X86::RCR64mi, Convert__Mem645_1__ImmUnsignedi81_0, AMFBS_In64BitMode, { MCK_ImmUnsignedi8, MCK_Mem64 }, },
{ 7128 /* rcrw */, X86::RCR16r1, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR16 }, },
{ 7128 /* rcrw */, X86::RCR16m1, Convert__Mem165_0, AMFBS_None, { MCK_Mem16 }, },
{ 7128 /* rcrw */, X86::RCR16rCL, Convert__Reg1_1__Tie0_1_1, AMFBS_None, { MCK_CL, MCK_GR16 }, },
{ 7128 /* rcrw */, X86::RCR16mCL, Convert__Mem165_1, AMFBS_None, { MCK_CL, MCK_Mem16 }, },
{ 7128 /* rcrw */, X86::RCR16ri, Convert__Reg1_1__Tie0_1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_GR16 }, },
{ 7128 /* rcrw */, X86::RCR16mi, Convert__Mem165_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem16 }, },
{ 7142 /* rdfsbasel */, X86::RDFSBASE, Convert__Reg1_0, AMFBS_In64BitMode, { MCK_GR32 }, },
{ 7152 /* rdfsbaseq */, X86::RDFSBASE64, Convert__Reg1_0, AMFBS_In64BitMode, { MCK_GR64 }, },
{ 7171 /* rdgsbasel */, X86::RDGSBASE, Convert__Reg1_0, AMFBS_In64BitMode, { MCK_GR32 }, },
{ 7181 /* rdgsbaseq */, X86::RDGSBASE64, Convert__Reg1_0, AMFBS_In64BitMode, { MCK_GR64 }, },
{ 7191 /* rdmsr */, X86::RDMSR, Convert_NoOperands, AMFBS_None, { }, },
{ 7197 /* rdmsrlist */, X86::RDMSRLIST, Convert_NoOperands, AMFBS_In64BitMode, { }, },
{ 7207 /* rdpid */, X86::RDPID32, Convert__Reg1_0, AMFBS_Not64BitMode, { MCK_GR32 }, },
{ 7207 /* rdpid */, X86::RDPID64, Convert__Reg1_0, AMFBS_In64BitMode, { MCK_GR64 }, },
{ 7213 /* rdpkru */, X86::RDPKRUr, Convert_NoOperands, AMFBS_None, { }, },
{ 7220 /* rdpmc */, X86::RDPMC, Convert_NoOperands, AMFBS_None, { }, },
{ 7226 /* rdpru */, X86::RDPRU, Convert_NoOperands, AMFBS_None, { }, },
{ 7239 /* rdrandl */, X86::RDRAND32r, Convert__Reg1_0, AMFBS_None, { MCK_GR32 }, },
{ 7247 /* rdrandq */, X86::RDRAND64r, Convert__Reg1_0, AMFBS_None, { MCK_GR64 }, },
{ 7255 /* rdrandw */, X86::RDRAND16r, Convert__Reg1_0, AMFBS_None, { MCK_GR16 }, },
{ 7270 /* rdseedl */, X86::RDSEED32r, Convert__Reg1_0, AMFBS_None, { MCK_GR32 }, },
{ 7278 /* rdseedq */, X86::RDSEED64r, Convert__Reg1_0, AMFBS_None, { MCK_GR64 }, },
{ 7286 /* rdseedw */, X86::RDSEED16r, Convert__Reg1_0, AMFBS_None, { MCK_GR16 }, },
{ 7294 /* rdsspd */, X86::RDSSPD, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR32 }, },
{ 7301 /* rdsspq */, X86::RDSSPQ, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR64 }, },
{ 7308 /* rdtsc */, X86::RDTSC, Convert_NoOperands, AMFBS_None, { }, },
{ 7314 /* rdtscp */, X86::RDTSCP, Convert_NoOperands, AMFBS_None, { }, },
{ 7321 /* rep */, X86::REP_PREFIX, Convert_NoOperands, AMFBS_None, { }, },
{ 7325 /* repne */, X86::REPNE_PREFIX, Convert_NoOperands, AMFBS_None, { }, },
{ 7346 /* retl */, X86::RET32, Convert_NoOperands, AMFBS_Not64BitMode, { }, },
{ 7346 /* retl */, X86::RETI32, Convert__Imm1_0, AMFBS_Not64BitMode, { MCK_Imm }, },
{ 7351 /* retq */, X86::RET64, Convert_NoOperands, AMFBS_In64BitMode, { }, },
{ 7351 /* retq */, X86::RETI64, Convert__Imm1_0, AMFBS_In64BitMode, { MCK_Imm }, },
{ 7356 /* retw */, X86::RET16, Convert_NoOperands, AMFBS_None, { }, },
{ 7356 /* retw */, X86::RETI16, Convert__Imm1_0, AMFBS_None, { MCK_Imm }, },
{ 7361 /* rex64 */, X86::REX64_PREFIX, Convert_NoOperands, AMFBS_In64BitMode, { }, },
{ 7367 /* rmpadjust */, X86::RMPADJUST, Convert_NoOperands, AMFBS_In64BitMode, { }, },
{ 7367 /* rmpadjust */, X86::RMPADJUST, Convert_NoOperands, AMFBS_In64BitMode, { MCK_RAX }, },
{ 7377 /* rmpupdate */, X86::RMPUPDATE, Convert_NoOperands, AMFBS_In64BitMode, { }, },
{ 7377 /* rmpupdate */, X86::RMPUPDATE, Convert_NoOperands, AMFBS_In64BitMode, { MCK_RAX }, },
{ 7391 /* rolb */, X86::ROL8r1, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR8 }, },
{ 7391 /* rolb */, X86::ROL8m1, Convert__Mem85_0, AMFBS_None, { MCK_Mem8 }, },
{ 7391 /* rolb */, X86::ROL8rCL, Convert__Reg1_1__Tie0_1_1, AMFBS_None, { MCK_CL, MCK_GR8 }, },
{ 7391 /* rolb */, X86::ROL8mCL, Convert__Mem85_1, AMFBS_None, { MCK_CL, MCK_Mem8 }, },
{ 7391 /* rolb */, X86::ROL8ri, Convert__Reg1_1__Tie0_1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_GR8 }, },
{ 7391 /* rolb */, X86::ROL8mi, Convert__Mem85_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem8 }, },
{ 7396 /* roll */, X86::ROL32r1, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR32 }, },
{ 7396 /* roll */, X86::ROL32m1, Convert__Mem325_0, AMFBS_None, { MCK_Mem32 }, },
{ 7396 /* roll */, X86::ROL32rCL, Convert__Reg1_1__Tie0_1_1, AMFBS_None, { MCK_CL, MCK_GR32 }, },
{ 7396 /* roll */, X86::ROL32mCL, Convert__Mem325_1, AMFBS_None, { MCK_CL, MCK_Mem32 }, },
{ 7396 /* roll */, X86::ROL32ri, Convert__Reg1_1__Tie0_1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_GR32 }, },
{ 7396 /* roll */, X86::ROL32mi, Convert__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32 }, },
{ 7401 /* rolq */, X86::ROL64r1, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR64 }, },
{ 7401 /* rolq */, X86::ROL64m1, Convert__Mem645_0, AMFBS_In64BitMode, { MCK_Mem64 }, },
{ 7401 /* rolq */, X86::ROL64rCL, Convert__Reg1_1__Tie0_1_1, AMFBS_None, { MCK_CL, MCK_GR64 }, },
{ 7401 /* rolq */, X86::ROL64mCL, Convert__Mem645_1, AMFBS_In64BitMode, { MCK_CL, MCK_Mem64 }, },
{ 7401 /* rolq */, X86::ROL64ri, Convert__Reg1_1__Tie0_1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_GR64 }, },
{ 7401 /* rolq */, X86::ROL64mi, Convert__Mem645_1__ImmUnsignedi81_0, AMFBS_In64BitMode, { MCK_ImmUnsignedi8, MCK_Mem64 }, },
{ 7406 /* rolw */, X86::ROL16r1, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR16 }, },
{ 7406 /* rolw */, X86::ROL16m1, Convert__Mem165_0, AMFBS_None, { MCK_Mem16 }, },
{ 7406 /* rolw */, X86::ROL16rCL, Convert__Reg1_1__Tie0_1_1, AMFBS_None, { MCK_CL, MCK_GR16 }, },
{ 7406 /* rolw */, X86::ROL16mCL, Convert__Mem165_1, AMFBS_None, { MCK_CL, MCK_Mem16 }, },
{ 7406 /* rolw */, X86::ROL16ri, Convert__Reg1_1__Tie0_1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_GR16 }, },
{ 7406 /* rolw */, X86::ROL16mi, Convert__Mem165_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem16 }, },
{ 7415 /* rorb */, X86::ROR8r1, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR8 }, },
{ 7415 /* rorb */, X86::ROR8m1, Convert__Mem85_0, AMFBS_None, { MCK_Mem8 }, },
{ 7415 /* rorb */, X86::ROR8rCL, Convert__Reg1_1__Tie0_1_1, AMFBS_None, { MCK_CL, MCK_GR8 }, },
{ 7415 /* rorb */, X86::ROR8mCL, Convert__Mem85_1, AMFBS_None, { MCK_CL, MCK_Mem8 }, },
{ 7415 /* rorb */, X86::ROR8ri, Convert__Reg1_1__Tie0_1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_GR8 }, },
{ 7415 /* rorb */, X86::ROR8mi, Convert__Mem85_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem8 }, },
{ 7420 /* rorl */, X86::ROR32r1, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR32 }, },
{ 7420 /* rorl */, X86::ROR32m1, Convert__Mem325_0, AMFBS_None, { MCK_Mem32 }, },
{ 7420 /* rorl */, X86::ROR32rCL, Convert__Reg1_1__Tie0_1_1, AMFBS_None, { MCK_CL, MCK_GR32 }, },
{ 7420 /* rorl */, X86::ROR32mCL, Convert__Mem325_1, AMFBS_None, { MCK_CL, MCK_Mem32 }, },
{ 7420 /* rorl */, X86::ROR32ri, Convert__Reg1_1__Tie0_1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_GR32 }, },
{ 7420 /* rorl */, X86::ROR32mi, Convert__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32 }, },
{ 7425 /* rorq */, X86::ROR64r1, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR64 }, },
{ 7425 /* rorq */, X86::ROR64m1, Convert__Mem645_0, AMFBS_In64BitMode, { MCK_Mem64 }, },
{ 7425 /* rorq */, X86::ROR64rCL, Convert__Reg1_1__Tie0_1_1, AMFBS_None, { MCK_CL, MCK_GR64 }, },
{ 7425 /* rorq */, X86::ROR64mCL, Convert__Mem645_1, AMFBS_In64BitMode, { MCK_CL, MCK_Mem64 }, },
{ 7425 /* rorq */, X86::ROR64ri, Convert__Reg1_1__Tie0_1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_GR64 }, },
{ 7425 /* rorq */, X86::ROR64mi, Convert__Mem645_1__ImmUnsignedi81_0, AMFBS_In64BitMode, { MCK_ImmUnsignedi8, MCK_Mem64 }, },
{ 7430 /* rorw */, X86::ROR16r1, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR16 }, },
{ 7430 /* rorw */, X86::ROR16m1, Convert__Mem165_0, AMFBS_None, { MCK_Mem16 }, },
{ 7430 /* rorw */, X86::ROR16rCL, Convert__Reg1_1__Tie0_1_1, AMFBS_None, { MCK_CL, MCK_GR16 }, },
{ 7430 /* rorw */, X86::ROR16mCL, Convert__Mem165_1, AMFBS_None, { MCK_CL, MCK_Mem16 }, },
{ 7430 /* rorw */, X86::ROR16ri, Convert__Reg1_1__Tie0_1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_GR16 }, },
{ 7430 /* rorw */, X86::ROR16mi, Convert__Mem165_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem16 }, },
{ 7440 /* rorxl */, X86::RORX32ri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_GR32, MCK_GR32 }, },
{ 7440 /* rorxl */, X86::RORX32mi, Convert__Reg1_2__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK_GR32 }, },
{ 7446 /* rorxq */, X86::RORX64ri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_GR64, MCK_GR64 }, },
{ 7446 /* rorxq */, X86::RORX64mi, Convert__Reg1_2__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK_GR64 }, },
{ 7452 /* roundpd */, X86::ROUNDPDr, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_FR16 }, },
{ 7452 /* roundpd */, X86::ROUNDPDm, Convert__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16 }, },
{ 7460 /* roundps */, X86::ROUNDPSr, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_FR16 }, },
{ 7460 /* roundps */, X86::ROUNDPSm, Convert__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16 }, },
{ 7468 /* roundsd */, X86::ROUNDSDr_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_FR16 }, },
{ 7468 /* roundsd */, X86::ROUNDSDm_Int, Convert__Reg1_2__Tie0_1_1__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK_FR16 }, },
{ 7476 /* roundss */, X86::ROUNDSSr_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_FR16 }, },
{ 7476 /* roundss */, X86::ROUNDSSm_Int, Convert__Reg1_2__Tie0_1_1__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK_FR16 }, },
{ 7484 /* rsm */, X86::RSM, Convert_NoOperands, AMFBS_None, { }, },
{ 7488 /* rsqrtps */, X86::RSQRTPSr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 7488 /* rsqrtps */, X86::RSQRTPSm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 7496 /* rsqrtss */, X86::RSQRTSSr_Int, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 7496 /* rsqrtss */, X86::RSQRTSSm_Int, Convert__Reg1_1__Tie0_1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16 }, },
{ 7504 /* rstorssp */, X86::RSTORSSP, Convert__Mem325_0, AMFBS_None, { MCK_Mem32 }, },
{ 7513 /* sahf */, X86::SAHF, Convert_NoOperands, AMFBS_None, { }, },
{ 7518 /* salc */, X86::SALC, Convert_NoOperands, AMFBS_Not64BitMode, { }, },
{ 7527 /* sarb */, X86::SAR8r1, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR8 }, },
{ 7527 /* sarb */, X86::SAR8m1, Convert__Mem85_0, AMFBS_None, { MCK_Mem8 }, },
{ 7527 /* sarb */, X86::SAR8rCL, Convert__Reg1_1__Tie0_1_1, AMFBS_None, { MCK_CL, MCK_GR8 }, },
{ 7527 /* sarb */, X86::SAR8mCL, Convert__Mem85_1, AMFBS_None, { MCK_CL, MCK_Mem8 }, },
{ 7527 /* sarb */, X86::SAR8ri, Convert__Reg1_1__Tie0_1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_GR8 }, },
{ 7527 /* sarb */, X86::SAR8mi, Convert__Mem85_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem8 }, },
{ 7532 /* sarl */, X86::SAR32r1, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR32 }, },
{ 7532 /* sarl */, X86::SAR32m1, Convert__Mem325_0, AMFBS_None, { MCK_Mem32 }, },
{ 7532 /* sarl */, X86::SAR32rCL, Convert__Reg1_1__Tie0_1_1, AMFBS_None, { MCK_CL, MCK_GR32 }, },
{ 7532 /* sarl */, X86::SAR32mCL, Convert__Mem325_1, AMFBS_None, { MCK_CL, MCK_Mem32 }, },
{ 7532 /* sarl */, X86::SAR32ri, Convert__Reg1_1__Tie0_1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_GR32 }, },
{ 7532 /* sarl */, X86::SAR32mi, Convert__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32 }, },
{ 7537 /* sarq */, X86::SAR64r1, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR64 }, },
{ 7537 /* sarq */, X86::SAR64m1, Convert__Mem645_0, AMFBS_In64BitMode, { MCK_Mem64 }, },
{ 7537 /* sarq */, X86::SAR64rCL, Convert__Reg1_1__Tie0_1_1, AMFBS_None, { MCK_CL, MCK_GR64 }, },
{ 7537 /* sarq */, X86::SAR64mCL, Convert__Mem645_1, AMFBS_In64BitMode, { MCK_CL, MCK_Mem64 }, },
{ 7537 /* sarq */, X86::SAR64ri, Convert__Reg1_1__Tie0_1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_GR64 }, },
{ 7537 /* sarq */, X86::SAR64mi, Convert__Mem645_1__ImmUnsignedi81_0, AMFBS_In64BitMode, { MCK_ImmUnsignedi8, MCK_Mem64 }, },
{ 7542 /* sarw */, X86::SAR16r1, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR16 }, },
{ 7542 /* sarw */, X86::SAR16m1, Convert__Mem165_0, AMFBS_None, { MCK_Mem16 }, },
{ 7542 /* sarw */, X86::SAR16rCL, Convert__Reg1_1__Tie0_1_1, AMFBS_None, { MCK_CL, MCK_GR16 }, },
{ 7542 /* sarw */, X86::SAR16mCL, Convert__Mem165_1, AMFBS_None, { MCK_CL, MCK_Mem16 }, },
{ 7542 /* sarw */, X86::SAR16ri, Convert__Reg1_1__Tie0_1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_GR16 }, },
{ 7542 /* sarw */, X86::SAR16mi, Convert__Mem165_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem16 }, },
{ 7552 /* sarxl */, X86::SARX32rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_GR32, MCK_GR32 }, },
{ 7552 /* sarxl */, X86::SARX32rm, Convert__Reg1_2__Mem325_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_Mem32, MCK_GR32 }, },
{ 7558 /* sarxq */, X86::SARX64rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR64, MCK_GR64, MCK_GR64 }, },
{ 7558 /* sarxq */, X86::SARX64rm, Convert__Reg1_2__Mem645_1__Reg1_0, AMFBS_None, { MCK_GR64, MCK_Mem64, MCK_GR64 }, },
{ 7564 /* saveprevssp */, X86::SAVEPREVSSP, Convert_NoOperands, AMFBS_None, { }, },
{ 7580 /* sbbb */, X86::SBB8rr, Convert__Reg1_1__Tie0_2_2__Reg1_0, AMFBS_None, { MCK_GR8, MCK_GR8 }, },
{ 7580 /* sbbb */, X86::SBB8mr, Convert__Mem85_1__Reg1_0, AMFBS_None, { MCK_GR8, MCK_Mem8 }, },
{ 7580 /* sbbb */, X86::SBB8i8, Convert__Imm1_0, AMFBS_None, { MCK_Imm, MCK_AL }, },
{ 7580 /* sbbb */, X86::SBB8ri, Convert__Reg1_1__Tie0_2_2__Imm1_0, AMFBS_None, { MCK_Imm, MCK_GR8 }, },
{ 7580 /* sbbb */, X86::SBB8mi, Convert__Mem85_1__Imm1_0, AMFBS_None, { MCK_Imm, MCK_Mem8 }, },
{ 7580 /* sbbb */, X86::SBB8rm, Convert__Reg1_1__Tie0_2_2__Mem85_0, AMFBS_None, { MCK_Mem8, MCK_GR8 }, },
{ 7585 /* sbbl */, X86::SBB32rr, Convert__Reg1_1__Tie0_2_2__Reg1_0, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 7585 /* sbbl */, X86::SBB32mr, Convert__Mem325_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_Mem32 }, },
{ 7585 /* sbbl */, X86::SBB32ri8, Convert__regEAX__Tie0_1_1__ImmSExti32i81_0, AMFBS_None, { MCK_ImmSExti32i8, MCK_EAX }, },
{ 7585 /* sbbl */, X86::SBB32ri8, Convert__Reg1_1__Tie0_2_2__ImmSExti32i81_0, AMFBS_None, { MCK_ImmSExti32i8, MCK_GR32 }, },
{ 7585 /* sbbl */, X86::SBB32mi8, Convert__Mem325_1__ImmSExti32i81_0, AMFBS_None, { MCK_ImmSExti32i8, MCK_Mem32 }, },
{ 7585 /* sbbl */, X86::SBB32i32, Convert__Imm1_0, AMFBS_None, { MCK_Imm, MCK_EAX }, },
{ 7585 /* sbbl */, X86::SBB32ri, Convert__Reg1_1__Tie0_2_2__Imm1_0, AMFBS_None, { MCK_Imm, MCK_GR32 }, },
{ 7585 /* sbbl */, X86::SBB32mi, Convert__Mem325_1__Imm1_0, AMFBS_None, { MCK_Imm, MCK_Mem32 }, },
{ 7585 /* sbbl */, X86::SBB32rm, Convert__Reg1_1__Tie0_2_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_GR32 }, },
{ 7590 /* sbbq */, X86::SBB64rr, Convert__Reg1_1__Tie0_2_2__Reg1_0, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 7590 /* sbbq */, X86::SBB64mr, Convert__Mem645_1__Reg1_0, AMFBS_None, { MCK_GR64, MCK_Mem64 }, },
{ 7590 /* sbbq */, X86::SBB64ri8, Convert__regRAX__Tie0_1_1__ImmSExti64i81_0, AMFBS_None, { MCK_ImmSExti64i8, MCK_RAX }, },
{ 7590 /* sbbq */, X86::SBB64ri8, Convert__Reg1_1__Tie0_2_2__ImmSExti64i81_0, AMFBS_None, { MCK_ImmSExti64i8, MCK_GR64 }, },
{ 7590 /* sbbq */, X86::SBB64mi8, Convert__Mem645_1__ImmSExti64i81_0, AMFBS_In64BitMode, { MCK_ImmSExti64i8, MCK_Mem64 }, },
{ 7590 /* sbbq */, X86::SBB64i32, Convert__ImmSExti64i321_0, AMFBS_None, { MCK_ImmSExti64i32, MCK_RAX }, },
{ 7590 /* sbbq */, X86::SBB64ri32, Convert__Reg1_1__Tie0_2_2__ImmSExti64i321_0, AMFBS_None, { MCK_ImmSExti64i32, MCK_GR64 }, },
{ 7590 /* sbbq */, X86::SBB64mi32, Convert__Mem645_1__ImmSExti64i321_0, AMFBS_In64BitMode, { MCK_ImmSExti64i32, MCK_Mem64 }, },
{ 7590 /* sbbq */, X86::SBB64rm, Convert__Reg1_1__Tie0_2_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_GR64 }, },
{ 7595 /* sbbw */, X86::SBB16rr, Convert__Reg1_1__Tie0_2_2__Reg1_0, AMFBS_None, { MCK_GR16, MCK_GR16 }, },
{ 7595 /* sbbw */, X86::SBB16mr, Convert__Mem165_1__Reg1_0, AMFBS_None, { MCK_GR16, MCK_Mem16 }, },
{ 7595 /* sbbw */, X86::SBB16ri8, Convert__regAX__Tie0_1_1__ImmSExti16i81_0, AMFBS_None, { MCK_ImmSExti16i8, MCK_AX }, },
{ 7595 /* sbbw */, X86::SBB16ri8, Convert__Reg1_1__Tie0_2_2__ImmSExti16i81_0, AMFBS_None, { MCK_ImmSExti16i8, MCK_GR16 }, },
{ 7595 /* sbbw */, X86::SBB16mi8, Convert__Mem165_1__ImmSExti16i81_0, AMFBS_None, { MCK_ImmSExti16i8, MCK_Mem16 }, },
{ 7595 /* sbbw */, X86::SBB16i16, Convert__Imm1_0, AMFBS_None, { MCK_Imm, MCK_AX }, },
{ 7595 /* sbbw */, X86::SBB16ri, Convert__Reg1_1__Tie0_2_2__Imm1_0, AMFBS_None, { MCK_Imm, MCK_GR16 }, },
{ 7595 /* sbbw */, X86::SBB16mi, Convert__Mem165_1__Imm1_0, AMFBS_None, { MCK_Imm, MCK_Mem16 }, },
{ 7595 /* sbbw */, X86::SBB16rm, Convert__Reg1_1__Tie0_2_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_GR16 }, },
{ 7600 /* scas */, X86::SCASW, Convert__DstIdx161_0, AMFBS_None, { MCK_DstIdx16, MCK_AX }, },
{ 7600 /* scas */, X86::SCASL, Convert__DstIdx321_0, AMFBS_None, { MCK_DstIdx32, MCK_EAX }, },
{ 7600 /* scas */, X86::SCASQ, Convert__DstIdx641_0, AMFBS_In64BitMode, { MCK_DstIdx64, MCK_RAX }, },
{ 7600 /* scas */, X86::SCASB, Convert__DstIdx81_0, AMFBS_None, { MCK_DstIdx8, MCK_AL }, },
{ 7605 /* scasb */, X86::SCASB, Convert__DstIdx81_0, AMFBS_None, { MCK_DstIdx8 }, },
{ 7605 /* scasb */, X86::SCASB, Convert__DstIdx81_0, AMFBS_None, { MCK_DstIdx8, MCK_AL }, },
{ 7617 /* scasl */, X86::SCASL, Convert__DstIdx321_0, AMFBS_None, { MCK_DstIdx32 }, },
{ 7617 /* scasl */, X86::SCASL, Convert__DstIdx321_0, AMFBS_None, { MCK_DstIdx32, MCK_EAX }, },
{ 7623 /* scasq */, X86::SCASQ, Convert__DstIdx641_0, AMFBS_In64BitMode, { MCK_DstIdx64 }, },
{ 7623 /* scasq */, X86::SCASQ, Convert__DstIdx641_0, AMFBS_In64BitMode, { MCK_DstIdx64, MCK_RAX }, },
{ 7629 /* scasw */, X86::SCASW, Convert__DstIdx161_0, AMFBS_None, { MCK_DstIdx16 }, },
{ 7629 /* scasw */, X86::SCASW, Convert__DstIdx161_0, AMFBS_None, { MCK_DstIdx16, MCK_AX }, },
{ 7635 /* seamcall */, X86::SEAMCALL, Convert_NoOperands, AMFBS_In64BitMode, { }, },
{ 7644 /* seamops */, X86::SEAMOPS, Convert_NoOperands, AMFBS_In64BitMode, { }, },
{ 7652 /* seamret */, X86::SEAMRET, Convert_NoOperands, AMFBS_In64BitMode, { }, },
{ 7660 /* senduipi */, X86::SENDUIPI, Convert__Reg1_0, AMFBS_In64BitMode, { MCK_GR64 }, },
{ 7669 /* serialize */, X86::SERIALIZE, Convert_NoOperands, AMFBS_None, { }, },
{ 7679 /* seta */, X86::SETCCr, Convert__Reg1_0__imm_95_7, AMFBS_None, { MCK_GR8 }, },
{ 7679 /* seta */, X86::SETCCm, Convert__Mem85_0__imm_95_7, AMFBS_None, { MCK_Mem8 }, },
{ 7684 /* setae */, X86::SETCCr, Convert__Reg1_0__imm_95_3, AMFBS_None, { MCK_GR8 }, },
{ 7684 /* setae */, X86::SETCCm, Convert__Mem85_0__imm_95_3, AMFBS_None, { MCK_Mem8 }, },
{ 7690 /* setb */, X86::SETCCr, Convert__Reg1_0__imm_95_2, AMFBS_None, { MCK_GR8 }, },
{ 7690 /* setb */, X86::SETCCm, Convert__Mem85_0__imm_95_2, AMFBS_None, { MCK_Mem8 }, },
{ 7695 /* setbe */, X86::SETCCr, Convert__Reg1_0__imm_95_6, AMFBS_None, { MCK_GR8 }, },
{ 7695 /* setbe */, X86::SETCCm, Convert__Mem85_0__imm_95_6, AMFBS_None, { MCK_Mem8 }, },
{ 7701 /* sete */, X86::SETCCr, Convert__Reg1_0__imm_95_4, AMFBS_None, { MCK_GR8 }, },
{ 7701 /* sete */, X86::SETCCm, Convert__Mem85_0__imm_95_4, AMFBS_None, { MCK_Mem8 }, },
{ 7706 /* setg */, X86::SETCCr, Convert__Reg1_0__imm_95_15, AMFBS_None, { MCK_GR8 }, },
{ 7706 /* setg */, X86::SETCCm, Convert__Mem85_0__imm_95_15, AMFBS_None, { MCK_Mem8 }, },
{ 7711 /* setge */, X86::SETCCr, Convert__Reg1_0__imm_95_13, AMFBS_None, { MCK_GR8 }, },
{ 7711 /* setge */, X86::SETCCm, Convert__Mem85_0__imm_95_13, AMFBS_None, { MCK_Mem8 }, },
{ 7717 /* setl */, X86::SETCCr, Convert__Reg1_0__imm_95_12, AMFBS_None, { MCK_GR8 }, },
{ 7717 /* setl */, X86::SETCCm, Convert__Mem85_0__imm_95_12, AMFBS_None, { MCK_Mem8 }, },
{ 7722 /* setle */, X86::SETCCr, Convert__Reg1_0__imm_95_14, AMFBS_None, { MCK_GR8 }, },
{ 7722 /* setle */, X86::SETCCm, Convert__Mem85_0__imm_95_14, AMFBS_None, { MCK_Mem8 }, },
{ 7728 /* setne */, X86::SETCCr, Convert__Reg1_0__imm_95_5, AMFBS_None, { MCK_GR8 }, },
{ 7728 /* setne */, X86::SETCCm, Convert__Mem85_0__imm_95_5, AMFBS_None, { MCK_Mem8 }, },
{ 7734 /* setno */, X86::SETCCr, Convert__Reg1_0__imm_95_1, AMFBS_None, { MCK_GR8 }, },
{ 7734 /* setno */, X86::SETCCm, Convert__Mem85_0__imm_95_1, AMFBS_None, { MCK_Mem8 }, },
{ 7740 /* setnp */, X86::SETCCr, Convert__Reg1_0__imm_95_11, AMFBS_None, { MCK_GR8 }, },
{ 7740 /* setnp */, X86::SETCCm, Convert__Mem85_0__imm_95_11, AMFBS_None, { MCK_Mem8 }, },
{ 7746 /* setns */, X86::SETCCr, Convert__Reg1_0__imm_95_9, AMFBS_None, { MCK_GR8 }, },
{ 7746 /* setns */, X86::SETCCm, Convert__Mem85_0__imm_95_9, AMFBS_None, { MCK_Mem8 }, },
{ 7752 /* seto */, X86::SETCCr, Convert__Reg1_0__imm_95_0, AMFBS_None, { MCK_GR8 }, },
{ 7752 /* seto */, X86::SETCCm, Convert__Mem85_0__imm_95_0, AMFBS_None, { MCK_Mem8 }, },
{ 7757 /* setp */, X86::SETCCr, Convert__Reg1_0__imm_95_10, AMFBS_None, { MCK_GR8 }, },
{ 7757 /* setp */, X86::SETCCm, Convert__Mem85_0__imm_95_10, AMFBS_None, { MCK_Mem8 }, },
{ 7762 /* sets */, X86::SETCCr, Convert__Reg1_0__imm_95_8, AMFBS_None, { MCK_GR8 }, },
{ 7762 /* sets */, X86::SETCCm, Convert__Mem85_0__imm_95_8, AMFBS_None, { MCK_Mem8 }, },
{ 7767 /* setssbsy */, X86::SETSSBSY, Convert_NoOperands, AMFBS_None, { }, },
{ 7776 /* sfence */, X86::SFENCE, Convert_NoOperands, AMFBS_None, { }, },
{ 7794 /* sgdtl */, X86::SGDT32m, Convert__Mem5_0, AMFBS_Not64BitMode, { MCK_Mem }, },
{ 7800 /* sgdtq */, X86::SGDT64m, Convert__Mem5_0, AMFBS_In64BitMode, { MCK_Mem }, },
{ 7806 /* sgdtw */, X86::SGDT16m, Convert__Mem5_0, AMFBS_Not64BitMode, { MCK_Mem }, },
{ 7812 /* sha1msg1 */, X86::SHA1MSG1rr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 7812 /* sha1msg1 */, X86::SHA1MSG1rm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 7821 /* sha1msg2 */, X86::SHA1MSG2rr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 7821 /* sha1msg2 */, X86::SHA1MSG2rm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 7830 /* sha1nexte */, X86::SHA1NEXTErr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 7830 /* sha1nexte */, X86::SHA1NEXTErm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 7840 /* sha1rnds4 */, X86::SHA1RNDS4rri, Convert__Reg1_2__Tie0_1_1__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_FR16 }, },
{ 7840 /* sha1rnds4 */, X86::SHA1RNDS4rmi, Convert__Reg1_2__Tie0_1_1__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16 }, },
{ 7850 /* sha256msg1 */, X86::SHA256MSG1rr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 7850 /* sha256msg1 */, X86::SHA256MSG1rm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 7861 /* sha256msg2 */, X86::SHA256MSG2rr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 7861 /* sha256msg2 */, X86::SHA256MSG2rm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 7872 /* sha256rnds2 */, X86::SHA256RNDS2rr, Convert__Reg1_1__Tie0_2_2__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 7872 /* sha256rnds2 */, X86::SHA256RNDS2rm, Convert__Reg1_1__Tie0_2_2__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 7872 /* sha256rnds2 */, X86::SHA256RNDS2rr, Convert__Reg1_2__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_XMM0, MCK_FR16, MCK_FR16 }, },
{ 7872 /* sha256rnds2 */, X86::SHA256RNDS2rm, Convert__Reg1_2__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_XMM0, MCK_Mem128, MCK_FR16 }, },
{ 7888 /* shlb */, X86::SHL8r1, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR8 }, },
{ 7888 /* shlb */, X86::SHL8m1, Convert__Mem85_0, AMFBS_None, { MCK_Mem8 }, },
{ 7888 /* shlb */, X86::SHL8rCL, Convert__Reg1_1__Tie0_1_1, AMFBS_None, { MCK_CL, MCK_GR8 }, },
{ 7888 /* shlb */, X86::SHL8mCL, Convert__Mem85_1, AMFBS_None, { MCK_CL, MCK_Mem8 }, },
{ 7888 /* shlb */, X86::SHL8ri, Convert__Reg1_1__Tie0_1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_GR8 }, },
{ 7888 /* shlb */, X86::SHL8mi, Convert__Mem85_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem8 }, },
{ 7898 /* shldl */, X86::SHLD32rrCL, Convert__Reg1_1__Tie0_2_2__Reg1_0, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 7898 /* shldl */, X86::SHLD32mrCL, Convert__Mem325_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_Mem32 }, },
{ 7898 /* shldl */, X86::SHLD32rrCL, Convert__Reg1_2__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_CL, MCK_GR32, MCK_GR32 }, },
{ 7898 /* shldl */, X86::SHLD32mrCL, Convert__Mem325_2__Reg1_1, AMFBS_None, { MCK_CL, MCK_GR32, MCK_Mem32 }, },
{ 7898 /* shldl */, X86::SHLD32rri8, Convert__Reg1_2__Tie0_1_1__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_GR32, MCK_GR32 }, },
{ 7898 /* shldl */, X86::SHLD32mri8, Convert__Mem325_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_GR32, MCK_Mem32 }, },
{ 7904 /* shldq */, X86::SHLD64rrCL, Convert__Reg1_1__Tie0_2_2__Reg1_0, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 7904 /* shldq */, X86::SHLD64mrCL, Convert__Mem645_1__Reg1_0, AMFBS_None, { MCK_GR64, MCK_Mem64 }, },
{ 7904 /* shldq */, X86::SHLD64rrCL, Convert__Reg1_2__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_CL, MCK_GR64, MCK_GR64 }, },
{ 7904 /* shldq */, X86::SHLD64mrCL, Convert__Mem645_2__Reg1_1, AMFBS_None, { MCK_CL, MCK_GR64, MCK_Mem64 }, },
{ 7904 /* shldq */, X86::SHLD64rri8, Convert__Reg1_2__Tie0_1_1__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_GR64, MCK_GR64 }, },
{ 7904 /* shldq */, X86::SHLD64mri8, Convert__Mem645_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_GR64, MCK_Mem64 }, },
{ 7910 /* shldw */, X86::SHLD16rrCL, Convert__Reg1_1__Tie0_2_2__Reg1_0, AMFBS_None, { MCK_GR16, MCK_GR16 }, },
{ 7910 /* shldw */, X86::SHLD16mrCL, Convert__Mem165_1__Reg1_0, AMFBS_None, { MCK_GR16, MCK_Mem16 }, },
{ 7910 /* shldw */, X86::SHLD16rrCL, Convert__Reg1_2__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_CL, MCK_GR16, MCK_GR16 }, },
{ 7910 /* shldw */, X86::SHLD16mrCL, Convert__Mem165_2__Reg1_1, AMFBS_None, { MCK_CL, MCK_GR16, MCK_Mem16 }, },
{ 7910 /* shldw */, X86::SHLD16rri8, Convert__Reg1_2__Tie0_1_1__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_GR16, MCK_GR16 }, },
{ 7910 /* shldw */, X86::SHLD16mri8, Convert__Mem165_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_GR16, MCK_Mem16 }, },
{ 7916 /* shll */, X86::SHL32r1, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR32 }, },
{ 7916 /* shll */, X86::SHL32m1, Convert__Mem325_0, AMFBS_None, { MCK_Mem32 }, },
{ 7916 /* shll */, X86::SHL32rCL, Convert__Reg1_1__Tie0_1_1, AMFBS_None, { MCK_CL, MCK_GR32 }, },
{ 7916 /* shll */, X86::SHL32mCL, Convert__Mem325_1, AMFBS_None, { MCK_CL, MCK_Mem32 }, },
{ 7916 /* shll */, X86::SHL32ri, Convert__Reg1_1__Tie0_1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_GR32 }, },
{ 7916 /* shll */, X86::SHL32mi, Convert__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32 }, },
{ 7921 /* shlq */, X86::SHL64r1, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR64 }, },
{ 7921 /* shlq */, X86::SHL64m1, Convert__Mem645_0, AMFBS_In64BitMode, { MCK_Mem64 }, },
{ 7921 /* shlq */, X86::SHL64rCL, Convert__Reg1_1__Tie0_1_1, AMFBS_None, { MCK_CL, MCK_GR64 }, },
{ 7921 /* shlq */, X86::SHL64mCL, Convert__Mem645_1, AMFBS_In64BitMode, { MCK_CL, MCK_Mem64 }, },
{ 7921 /* shlq */, X86::SHL64ri, Convert__Reg1_1__Tie0_1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_GR64 }, },
{ 7921 /* shlq */, X86::SHL64mi, Convert__Mem645_1__ImmUnsignedi81_0, AMFBS_In64BitMode, { MCK_ImmUnsignedi8, MCK_Mem64 }, },
{ 7926 /* shlw */, X86::SHL16r1, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR16 }, },
{ 7926 /* shlw */, X86::SHL16m1, Convert__Mem165_0, AMFBS_None, { MCK_Mem16 }, },
{ 7926 /* shlw */, X86::SHL16rCL, Convert__Reg1_1__Tie0_1_1, AMFBS_None, { MCK_CL, MCK_GR16 }, },
{ 7926 /* shlw */, X86::SHL16mCL, Convert__Mem165_1, AMFBS_None, { MCK_CL, MCK_Mem16 }, },
{ 7926 /* shlw */, X86::SHL16ri, Convert__Reg1_1__Tie0_1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_GR16 }, },
{ 7926 /* shlw */, X86::SHL16mi, Convert__Mem165_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem16 }, },
{ 7936 /* shlxl */, X86::SHLX32rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_GR32, MCK_GR32 }, },
{ 7936 /* shlxl */, X86::SHLX32rm, Convert__Reg1_2__Mem325_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_Mem32, MCK_GR32 }, },
{ 7942 /* shlxq */, X86::SHLX64rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR64, MCK_GR64, MCK_GR64 }, },
{ 7942 /* shlxq */, X86::SHLX64rm, Convert__Reg1_2__Mem645_1__Reg1_0, AMFBS_None, { MCK_GR64, MCK_Mem64, MCK_GR64 }, },
{ 7952 /* shrb */, X86::SHR8r1, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR8 }, },
{ 7952 /* shrb */, X86::SHR8m1, Convert__Mem85_0, AMFBS_None, { MCK_Mem8 }, },
{ 7952 /* shrb */, X86::SHR8rCL, Convert__Reg1_1__Tie0_1_1, AMFBS_None, { MCK_CL, MCK_GR8 }, },
{ 7952 /* shrb */, X86::SHR8mCL, Convert__Mem85_1, AMFBS_None, { MCK_CL, MCK_Mem8 }, },
{ 7952 /* shrb */, X86::SHR8ri, Convert__Reg1_1__Tie0_1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_GR8 }, },
{ 7952 /* shrb */, X86::SHR8mi, Convert__Mem85_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem8 }, },
{ 7962 /* shrdl */, X86::SHRD32rrCL, Convert__Reg1_1__Tie0_2_2__Reg1_0, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 7962 /* shrdl */, X86::SHRD32mrCL, Convert__Mem325_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_Mem32 }, },
{ 7962 /* shrdl */, X86::SHRD32rrCL, Convert__Reg1_2__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_CL, MCK_GR32, MCK_GR32 }, },
{ 7962 /* shrdl */, X86::SHRD32mrCL, Convert__Mem325_2__Reg1_1, AMFBS_None, { MCK_CL, MCK_GR32, MCK_Mem32 }, },
{ 7962 /* shrdl */, X86::SHRD32rri8, Convert__Reg1_2__Tie0_1_1__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_GR32, MCK_GR32 }, },
{ 7962 /* shrdl */, X86::SHRD32mri8, Convert__Mem325_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_GR32, MCK_Mem32 }, },
{ 7968 /* shrdq */, X86::SHRD64rrCL, Convert__Reg1_1__Tie0_2_2__Reg1_0, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 7968 /* shrdq */, X86::SHRD64mrCL, Convert__Mem645_1__Reg1_0, AMFBS_None, { MCK_GR64, MCK_Mem64 }, },
{ 7968 /* shrdq */, X86::SHRD64rrCL, Convert__Reg1_2__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_CL, MCK_GR64, MCK_GR64 }, },
{ 7968 /* shrdq */, X86::SHRD64mrCL, Convert__Mem645_2__Reg1_1, AMFBS_None, { MCK_CL, MCK_GR64, MCK_Mem64 }, },
{ 7968 /* shrdq */, X86::SHRD64rri8, Convert__Reg1_2__Tie0_1_1__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_GR64, MCK_GR64 }, },
{ 7968 /* shrdq */, X86::SHRD64mri8, Convert__Mem645_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_GR64, MCK_Mem64 }, },
{ 7974 /* shrdw */, X86::SHRD16rrCL, Convert__Reg1_1__Tie0_2_2__Reg1_0, AMFBS_None, { MCK_GR16, MCK_GR16 }, },
{ 7974 /* shrdw */, X86::SHRD16mrCL, Convert__Mem165_1__Reg1_0, AMFBS_None, { MCK_GR16, MCK_Mem16 }, },
{ 7974 /* shrdw */, X86::SHRD16rrCL, Convert__Reg1_2__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_CL, MCK_GR16, MCK_GR16 }, },
{ 7974 /* shrdw */, X86::SHRD16mrCL, Convert__Mem165_2__Reg1_1, AMFBS_None, { MCK_CL, MCK_GR16, MCK_Mem16 }, },
{ 7974 /* shrdw */, X86::SHRD16rri8, Convert__Reg1_2__Tie0_1_1__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_GR16, MCK_GR16 }, },
{ 7974 /* shrdw */, X86::SHRD16mri8, Convert__Mem165_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_GR16, MCK_Mem16 }, },
{ 7980 /* shrl */, X86::SHR32r1, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR32 }, },
{ 7980 /* shrl */, X86::SHR32m1, Convert__Mem325_0, AMFBS_None, { MCK_Mem32 }, },
{ 7980 /* shrl */, X86::SHR32rCL, Convert__Reg1_1__Tie0_1_1, AMFBS_None, { MCK_CL, MCK_GR32 }, },
{ 7980 /* shrl */, X86::SHR32mCL, Convert__Mem325_1, AMFBS_None, { MCK_CL, MCK_Mem32 }, },
{ 7980 /* shrl */, X86::SHR32ri, Convert__Reg1_1__Tie0_1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_GR32 }, },
{ 7980 /* shrl */, X86::SHR32mi, Convert__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32 }, },
{ 7985 /* shrq */, X86::SHR64r1, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR64 }, },
{ 7985 /* shrq */, X86::SHR64m1, Convert__Mem645_0, AMFBS_In64BitMode, { MCK_Mem64 }, },
{ 7985 /* shrq */, X86::SHR64rCL, Convert__Reg1_1__Tie0_1_1, AMFBS_None, { MCK_CL, MCK_GR64 }, },
{ 7985 /* shrq */, X86::SHR64mCL, Convert__Mem645_1, AMFBS_In64BitMode, { MCK_CL, MCK_Mem64 }, },
{ 7985 /* shrq */, X86::SHR64ri, Convert__Reg1_1__Tie0_1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_GR64 }, },
{ 7985 /* shrq */, X86::SHR64mi, Convert__Mem645_1__ImmUnsignedi81_0, AMFBS_In64BitMode, { MCK_ImmUnsignedi8, MCK_Mem64 }, },
{ 7990 /* shrw */, X86::SHR16r1, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR16 }, },
{ 7990 /* shrw */, X86::SHR16m1, Convert__Mem165_0, AMFBS_None, { MCK_Mem16 }, },
{ 7990 /* shrw */, X86::SHR16rCL, Convert__Reg1_1__Tie0_1_1, AMFBS_None, { MCK_CL, MCK_GR16 }, },
{ 7990 /* shrw */, X86::SHR16mCL, Convert__Mem165_1, AMFBS_None, { MCK_CL, MCK_Mem16 }, },
{ 7990 /* shrw */, X86::SHR16ri, Convert__Reg1_1__Tie0_1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_GR16 }, },
{ 7990 /* shrw */, X86::SHR16mi, Convert__Mem165_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem16 }, },
{ 8000 /* shrxl */, X86::SHRX32rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_GR32, MCK_GR32 }, },
{ 8000 /* shrxl */, X86::SHRX32rm, Convert__Reg1_2__Mem325_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_Mem32, MCK_GR32 }, },
{ 8006 /* shrxq */, X86::SHRX64rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR64, MCK_GR64, MCK_GR64 }, },
{ 8006 /* shrxq */, X86::SHRX64rm, Convert__Reg1_2__Mem645_1__Reg1_0, AMFBS_None, { MCK_GR64, MCK_Mem64, MCK_GR64 }, },
{ 8012 /* shufpd */, X86::SHUFPDrri, Convert__Reg1_2__Tie0_1_1__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_FR16 }, },
{ 8012 /* shufpd */, X86::SHUFPDrmi, Convert__Reg1_2__Tie0_1_1__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16 }, },
{ 8019 /* shufps */, X86::SHUFPSrri, Convert__Reg1_2__Tie0_1_1__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_FR16 }, },
{ 8019 /* shufps */, X86::SHUFPSrmi, Convert__Reg1_2__Tie0_1_1__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16 }, },
{ 8037 /* sidtl */, X86::SIDT32m, Convert__Mem5_0, AMFBS_Not64BitMode, { MCK_Mem }, },
{ 8043 /* sidtq */, X86::SIDT64m, Convert__Mem5_0, AMFBS_In64BitMode, { MCK_Mem }, },
{ 8049 /* sidtw */, X86::SIDT16m, Convert__Mem5_0, AMFBS_Not64BitMode, { MCK_Mem }, },
{ 8055 /* skinit */, X86::SKINIT, Convert_NoOperands, AMFBS_None, { }, },
{ 8055 /* skinit */, X86::SKINIT, Convert_NoOperands, AMFBS_None, { MCK_EAX }, },
{ 8062 /* sldt */, X86::SLDT16m, Convert__Mem165_0, AMFBS_None, { MCK_Mem16 }, },
{ 8067 /* sldtl */, X86::SLDT32r, Convert__Reg1_0, AMFBS_None, { MCK_GR32 }, },
{ 8073 /* sldtq */, X86::SLDT64r, Convert__Reg1_0, AMFBS_In64BitMode, { MCK_GR64 }, },
{ 8079 /* sldtw */, X86::SLDT16r, Convert__Reg1_0, AMFBS_None, { MCK_GR16 }, },
{ 8079 /* sldtw */, X86::SLDT16m, Convert__Mem165_0, AMFBS_None, { MCK_Mem16 }, },
{ 8085 /* slwpcb */, X86::SLWPCB, Convert__Reg1_0, AMFBS_None, { MCK_GR32 }, },
{ 8085 /* slwpcb */, X86::SLWPCB64, Convert__Reg1_0, AMFBS_None, { MCK_GR64 }, },
{ 8097 /* smswl */, X86::SMSW32r, Convert__Reg1_0, AMFBS_None, { MCK_GR32 }, },
{ 8103 /* smswq */, X86::SMSW64r, Convert__Reg1_0, AMFBS_None, { MCK_GR64 }, },
{ 8109 /* smsww */, X86::SMSW16r, Convert__Reg1_0, AMFBS_None, { MCK_GR16 }, },
{ 8109 /* smsww */, X86::SMSW16m, Convert__Mem165_0, AMFBS_None, { MCK_Mem16 }, },
{ 8115 /* sqrtpd */, X86::SQRTPDr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 8115 /* sqrtpd */, X86::SQRTPDm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 8122 /* sqrtps */, X86::SQRTPSr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 8122 /* sqrtps */, X86::SQRTPSm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 8129 /* sqrtsd */, X86::SQRTSDr_Int, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 8129 /* sqrtsd */, X86::SQRTSDm_Int, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16 }, },
{ 8136 /* sqrtss */, X86::SQRTSSr_Int, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 8136 /* sqrtss */, X86::SQRTSSm_Int, Convert__Reg1_1__Tie0_1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16 }, },
{ 8143 /* ss */, X86::SS_PREFIX, Convert_NoOperands, AMFBS_None, { }, },
{ 8146 /* stac */, X86::STAC, Convert_NoOperands, AMFBS_None, { }, },
{ 8151 /* stc */, X86::STC, Convert_NoOperands, AMFBS_None, { }, },
{ 8155 /* std */, X86::STD, Convert_NoOperands, AMFBS_None, { }, },
{ 8159 /* stgi */, X86::STGI, Convert_NoOperands, AMFBS_None, { }, },
{ 8164 /* sti */, X86::STI, Convert_NoOperands, AMFBS_None, { }, },
{ 8168 /* stmxcsr */, X86::STMXCSR, Convert__Mem325_0, AMFBS_None, { MCK_Mem32 }, },
{ 8176 /* stos */, X86::STOSB, Convert__DstIdx81_1, AMFBS_None, { MCK_AL, MCK_DstIdx8 }, },
{ 8176 /* stos */, X86::STOSW, Convert__DstIdx161_1, AMFBS_None, { MCK_AX, MCK_DstIdx16 }, },
{ 8176 /* stos */, X86::STOSL, Convert__DstIdx321_1, AMFBS_None, { MCK_EAX, MCK_DstIdx32 }, },
{ 8176 /* stos */, X86::STOSQ, Convert__DstIdx641_1, AMFBS_In64BitMode, { MCK_RAX, MCK_DstIdx64 }, },
{ 8181 /* stosb */, X86::STOSB, Convert__DstIdx81_0, AMFBS_None, { MCK_DstIdx8 }, },
{ 8181 /* stosb */, X86::STOSB, Convert__DstIdx81_1, AMFBS_None, { MCK_AL, MCK_DstIdx8 }, },
{ 8193 /* stosl */, X86::STOSL, Convert__DstIdx321_0, AMFBS_None, { MCK_DstIdx32 }, },
{ 8193 /* stosl */, X86::STOSL, Convert__DstIdx321_1, AMFBS_None, { MCK_EAX, MCK_DstIdx32 }, },
{ 8199 /* stosq */, X86::STOSQ, Convert__DstIdx641_0, AMFBS_In64BitMode, { MCK_DstIdx64 }, },
{ 8199 /* stosq */, X86::STOSQ, Convert__DstIdx641_1, AMFBS_In64BitMode, { MCK_RAX, MCK_DstIdx64 }, },
{ 8205 /* stosw */, X86::STOSW, Convert__DstIdx161_0, AMFBS_None, { MCK_DstIdx16 }, },
{ 8205 /* stosw */, X86::STOSW, Convert__DstIdx161_1, AMFBS_None, { MCK_AX, MCK_DstIdx16 }, },
{ 8215 /* strl */, X86::STR32r, Convert__Reg1_0, AMFBS_None, { MCK_GR32 }, },
{ 8220 /* strq */, X86::STR64r, Convert__Reg1_0, AMFBS_None, { MCK_GR64 }, },
{ 8225 /* strw */, X86::STR16r, Convert__Reg1_0, AMFBS_None, { MCK_GR16 }, },
{ 8225 /* strw */, X86::STRm, Convert__Mem165_0, AMFBS_None, { MCK_Mem16 }, },
{ 8230 /* sttilecfg */, X86::STTILECFG, Convert__Mem5_0, AMFBS_In64BitMode, { MCK_Mem }, },
{ 8240 /* stui */, X86::STUI, Convert_NoOperands, AMFBS_In64BitMode, { }, },
{ 8249 /* subb */, X86::SUB8rr, Convert__Reg1_1__Tie0_2_2__Reg1_0, AMFBS_None, { MCK_GR8, MCK_GR8 }, },
{ 8249 /* subb */, X86::SUB8mr, Convert__Mem85_1__Reg1_0, AMFBS_None, { MCK_GR8, MCK_Mem8 }, },
{ 8249 /* subb */, X86::SUB8i8, Convert__Imm1_0, AMFBS_None, { MCK_Imm, MCK_AL }, },
{ 8249 /* subb */, X86::SUB8ri, Convert__Reg1_1__Tie0_2_2__Imm1_0, AMFBS_None, { MCK_Imm, MCK_GR8 }, },
{ 8249 /* subb */, X86::SUB8mi, Convert__Mem85_1__Imm1_0, AMFBS_None, { MCK_Imm, MCK_Mem8 }, },
{ 8249 /* subb */, X86::SUB8rm, Convert__Reg1_1__Tie0_2_2__Mem85_0, AMFBS_None, { MCK_Mem8, MCK_GR8 }, },
{ 8254 /* subl */, X86::SUB32rr, Convert__Reg1_1__Tie0_2_2__Reg1_0, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 8254 /* subl */, X86::SUB32mr, Convert__Mem325_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_Mem32 }, },
{ 8254 /* subl */, X86::SUB32ri8, Convert__regEAX__Tie0_1_1__ImmSExti32i81_0, AMFBS_None, { MCK_ImmSExti32i8, MCK_EAX }, },
{ 8254 /* subl */, X86::SUB32ri8, Convert__Reg1_1__Tie0_2_2__ImmSExti32i81_0, AMFBS_None, { MCK_ImmSExti32i8, MCK_GR32 }, },
{ 8254 /* subl */, X86::SUB32mi8, Convert__Mem325_1__ImmSExti32i81_0, AMFBS_None, { MCK_ImmSExti32i8, MCK_Mem32 }, },
{ 8254 /* subl */, X86::SUB32i32, Convert__Imm1_0, AMFBS_None, { MCK_Imm, MCK_EAX }, },
{ 8254 /* subl */, X86::SUB32ri, Convert__Reg1_1__Tie0_2_2__Imm1_0, AMFBS_None, { MCK_Imm, MCK_GR32 }, },
{ 8254 /* subl */, X86::SUB32mi, Convert__Mem325_1__Imm1_0, AMFBS_None, { MCK_Imm, MCK_Mem32 }, },
{ 8254 /* subl */, X86::SUB32rm, Convert__Reg1_1__Tie0_2_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_GR32 }, },
{ 8259 /* subpd */, X86::SUBPDrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 8259 /* subpd */, X86::SUBPDrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 8265 /* subps */, X86::SUBPSrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 8265 /* subps */, X86::SUBPSrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 8271 /* subq */, X86::SUB64rr, Convert__Reg1_1__Tie0_2_2__Reg1_0, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 8271 /* subq */, X86::SUB64mr, Convert__Mem645_1__Reg1_0, AMFBS_None, { MCK_GR64, MCK_Mem64 }, },
{ 8271 /* subq */, X86::SUB64ri8, Convert__regRAX__Tie0_1_1__ImmSExti64i81_0, AMFBS_None, { MCK_ImmSExti64i8, MCK_RAX }, },
{ 8271 /* subq */, X86::SUB64ri8, Convert__Reg1_1__Tie0_2_2__ImmSExti64i81_0, AMFBS_None, { MCK_ImmSExti64i8, MCK_GR64 }, },
{ 8271 /* subq */, X86::SUB64mi8, Convert__Mem645_1__ImmSExti64i81_0, AMFBS_In64BitMode, { MCK_ImmSExti64i8, MCK_Mem64 }, },
{ 8271 /* subq */, X86::SUB64i32, Convert__ImmSExti64i321_0, AMFBS_None, { MCK_ImmSExti64i32, MCK_RAX }, },
{ 8271 /* subq */, X86::SUB64ri32, Convert__Reg1_1__Tie0_2_2__ImmSExti64i321_0, AMFBS_None, { MCK_ImmSExti64i32, MCK_GR64 }, },
{ 8271 /* subq */, X86::SUB64mi32, Convert__Mem645_1__ImmSExti64i321_0, AMFBS_In64BitMode, { MCK_ImmSExti64i32, MCK_Mem64 }, },
{ 8271 /* subq */, X86::SUB64rm, Convert__Reg1_1__Tie0_2_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_GR64 }, },
{ 8276 /* subsd */, X86::SUBSDrr_Int, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 8276 /* subsd */, X86::SUBSDrm_Int, Convert__Reg1_1__Tie0_1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16 }, },
{ 8282 /* subss */, X86::SUBSSrr_Int, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 8282 /* subss */, X86::SUBSSrm_Int, Convert__Reg1_1__Tie0_1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16 }, },
{ 8288 /* subw */, X86::SUB16rr, Convert__Reg1_1__Tie0_2_2__Reg1_0, AMFBS_None, { MCK_GR16, MCK_GR16 }, },
{ 8288 /* subw */, X86::SUB16mr, Convert__Mem165_1__Reg1_0, AMFBS_None, { MCK_GR16, MCK_Mem16 }, },
{ 8288 /* subw */, X86::SUB16ri8, Convert__regAX__Tie0_1_1__ImmSExti16i81_0, AMFBS_None, { MCK_ImmSExti16i8, MCK_AX }, },
{ 8288 /* subw */, X86::SUB16ri8, Convert__Reg1_1__Tie0_2_2__ImmSExti16i81_0, AMFBS_None, { MCK_ImmSExti16i8, MCK_GR16 }, },
{ 8288 /* subw */, X86::SUB16mi8, Convert__Mem165_1__ImmSExti16i81_0, AMFBS_None, { MCK_ImmSExti16i8, MCK_Mem16 }, },
{ 8288 /* subw */, X86::SUB16i16, Convert__Imm1_0, AMFBS_None, { MCK_Imm, MCK_AX }, },
{ 8288 /* subw */, X86::SUB16ri, Convert__Reg1_1__Tie0_2_2__Imm1_0, AMFBS_None, { MCK_Imm, MCK_GR16 }, },
{ 8288 /* subw */, X86::SUB16mi, Convert__Mem165_1__Imm1_0, AMFBS_None, { MCK_Imm, MCK_Mem16 }, },
{ 8288 /* subw */, X86::SUB16rm, Convert__Reg1_1__Tie0_2_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_GR16 }, },
{ 8293 /* swapgs */, X86::SWAPGS, Convert_NoOperands, AMFBS_None, { }, },
{ 8300 /* syscall */, X86::SYSCALL, Convert_NoOperands, AMFBS_None, { }, },
{ 8308 /* sysenter */, X86::SYSENTER, Convert_NoOperands, AMFBS_None, { }, },
{ 8325 /* sysexitl */, X86::SYSEXIT, Convert_NoOperands, AMFBS_None, { }, },
{ 8334 /* sysexitq */, X86::SYSEXIT64, Convert_NoOperands, AMFBS_In64BitMode, { }, },
{ 8350 /* sysretl */, X86::SYSRET, Convert_NoOperands, AMFBS_None, { }, },
{ 8358 /* sysretq */, X86::SYSRET64, Convert_NoOperands, AMFBS_In64BitMode, { }, },
{ 8373 /* t1mskcl */, X86::T1MSKC32rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 8373 /* t1mskcl */, X86::T1MSKC32rm, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_GR32 }, },
{ 8381 /* t1mskcq */, X86::T1MSKC64rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 8381 /* t1mskcq */, X86::T1MSKC64rm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_GR64 }, },
{ 8389 /* tdcall */, X86::TDCALL, Convert_NoOperands, AMFBS_None, { }, },
{ 8396 /* tdpbf16ps */, X86::TDPBF16PS, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_In64BitMode, { MCK_TILE, MCK_TILE, MCK_TILE }, },
{ 8406 /* tdpbssd */, X86::TDPBSSD, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_In64BitMode, { MCK_TILE, MCK_TILE, MCK_TILE }, },
{ 8414 /* tdpbsud */, X86::TDPBSUD, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_In64BitMode, { MCK_TILE, MCK_TILE, MCK_TILE }, },
{ 8422 /* tdpbusd */, X86::TDPBUSD, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_In64BitMode, { MCK_TILE, MCK_TILE, MCK_TILE }, },
{ 8430 /* tdpbuud */, X86::TDPBUUD, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_In64BitMode, { MCK_TILE, MCK_TILE, MCK_TILE }, },
{ 8438 /* tdpfp16ps */, X86::TDPFP16PS, Convert__Reg1_2__Tie0_3_3__Reg1_1__Reg1_0, AMFBS_In64BitMode, { MCK_TILE, MCK_TILE, MCK_TILE }, },
{ 8453 /* testb */, X86::TEST8rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR8, MCK_GR8 }, },
{ 8453 /* testb */, X86::TEST8mr, Convert__Mem85_1__Reg1_0, AMFBS_None, { MCK_GR8, MCK_Mem8 }, },
{ 8453 /* testb */, X86::TEST8i8, Convert__Imm1_0, AMFBS_None, { MCK_Imm, MCK_AL }, },
{ 8453 /* testb */, X86::TEST8ri, Convert__Reg1_1__Imm1_0, AMFBS_None, { MCK_Imm, MCK_GR8 }, },
{ 8453 /* testb */, X86::TEST8mi, Convert__Mem85_1__Imm1_0, AMFBS_None, { MCK_Imm, MCK_Mem8 }, },
{ 8453 /* testb */, X86::TEST8mr, Convert__Mem85_0__Reg1_1, AMFBS_None, { MCK_Mem8, MCK_GR8 }, },
{ 8459 /* testl */, X86::TEST32rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 8459 /* testl */, X86::TEST32mr, Convert__Mem325_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_Mem32 }, },
{ 8459 /* testl */, X86::TEST32i32, Convert__Imm1_0, AMFBS_None, { MCK_Imm, MCK_EAX }, },
{ 8459 /* testl */, X86::TEST32ri, Convert__Reg1_1__Imm1_0, AMFBS_None, { MCK_Imm, MCK_GR32 }, },
{ 8459 /* testl */, X86::TEST32mi, Convert__Mem325_1__Imm1_0, AMFBS_None, { MCK_Imm, MCK_Mem32 }, },
{ 8459 /* testl */, X86::TEST32mr, Convert__Mem325_0__Reg1_1, AMFBS_None, { MCK_Mem32, MCK_GR32 }, },
{ 8465 /* testq */, X86::TEST64rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 8465 /* testq */, X86::TEST64mr, Convert__Mem645_1__Reg1_0, AMFBS_None, { MCK_GR64, MCK_Mem64 }, },
{ 8465 /* testq */, X86::TEST64i32, Convert__ImmSExti64i321_0, AMFBS_None, { MCK_ImmSExti64i32, MCK_RAX }, },
{ 8465 /* testq */, X86::TEST64ri32, Convert__Reg1_1__ImmSExti64i321_0, AMFBS_None, { MCK_ImmSExti64i32, MCK_GR64 }, },
{ 8465 /* testq */, X86::TEST64mi32, Convert__Mem645_1__ImmSExti64i321_0, AMFBS_In64BitMode, { MCK_ImmSExti64i32, MCK_Mem64 }, },
{ 8465 /* testq */, X86::TEST64mr, Convert__Mem645_0__Reg1_1, AMFBS_None, { MCK_Mem64, MCK_GR64 }, },
{ 8471 /* testui */, X86::TESTUI, Convert_NoOperands, AMFBS_In64BitMode, { }, },
{ 8478 /* testw */, X86::TEST16rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR16, MCK_GR16 }, },
{ 8478 /* testw */, X86::TEST16mr, Convert__Mem165_1__Reg1_0, AMFBS_None, { MCK_GR16, MCK_Mem16 }, },
{ 8478 /* testw */, X86::TEST16i16, Convert__Imm1_0, AMFBS_None, { MCK_Imm, MCK_AX }, },
{ 8478 /* testw */, X86::TEST16ri, Convert__Reg1_1__Imm1_0, AMFBS_None, { MCK_Imm, MCK_GR16 }, },
{ 8478 /* testw */, X86::TEST16mi, Convert__Mem165_1__Imm1_0, AMFBS_None, { MCK_Imm, MCK_Mem16 }, },
{ 8478 /* testw */, X86::TEST16mr, Convert__Mem165_0__Reg1_1, AMFBS_None, { MCK_Mem16, MCK_GR16 }, },
{ 8484 /* tileloadd */, X86::TILELOADD, Convert__Reg1_1__SibMem5_0, AMFBS_In64BitMode, { MCK_SibMem, MCK_TILE }, },
{ 8494 /* tileloaddt1 */, X86::TILELOADDT1, Convert__Reg1_1__SibMem5_0, AMFBS_In64BitMode, { MCK_SibMem, MCK_TILE }, },
{ 8506 /* tilerelease */, X86::TILERELEASE, Convert_NoOperands, AMFBS_In64BitMode, { }, },
{ 8518 /* tilestored */, X86::TILESTORED, Convert__SibMem5_1__Reg1_0, AMFBS_In64BitMode, { MCK_TILE, MCK_SibMem }, },
{ 8529 /* tilezero */, X86::TILEZERO, Convert__Reg1_0, AMFBS_In64BitMode, { MCK_TILE }, },
{ 8538 /* tlbsync */, X86::TLBSYNC, Convert_NoOperands, AMFBS_None, { }, },
{ 8546 /* tpause */, X86::TPAUSE, Convert__GR32orGR641_0, AMFBS_None, { MCK_GR32orGR64 }, },
{ 8559 /* tzcntl */, X86::TZCNT32rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 8559 /* tzcntl */, X86::TZCNT32rm, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_GR32 }, },
{ 8566 /* tzcntq */, X86::TZCNT64rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 8566 /* tzcntq */, X86::TZCNT64rm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_GR64 }, },
{ 8573 /* tzcntw */, X86::TZCNT16rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR16, MCK_GR16 }, },
{ 8573 /* tzcntw */, X86::TZCNT16rm, Convert__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_GR16 }, },
{ 8586 /* tzmskl */, X86::TZMSK32rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 8586 /* tzmskl */, X86::TZMSK32rm, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_GR32 }, },
{ 8593 /* tzmskq */, X86::TZMSK64rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 8593 /* tzmskq */, X86::TZMSK64rm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_GR64 }, },
{ 8600 /* ucomisd */, X86::UCOMISDrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 8600 /* ucomisd */, X86::UCOMISDrm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16 }, },
{ 8608 /* ucomiss */, X86::UCOMISSrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 8608 /* ucomiss */, X86::UCOMISSrm, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16 }, },
{ 8620 /* ud1l */, X86::UD1Lr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 8620 /* ud1l */, X86::UD1Lm, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_GR32 }, },
{ 8625 /* ud1q */, X86::UD1Qr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 8625 /* ud1q */, X86::UD1Qm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_GR64 }, },
{ 8630 /* ud1w */, X86::UD1Wr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR16, MCK_GR16 }, },
{ 8630 /* ud1w */, X86::UD1Wm, Convert__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_GR16 }, },
{ 8635 /* ud2 */, X86::TRAP, Convert_NoOperands, AMFBS_None, { }, },
{ 8639 /* uiret */, X86::UIRET, Convert_NoOperands, AMFBS_In64BitMode, { }, },
{ 8645 /* umonitor */, X86::UMONITOR16, Convert__Reg1_0, AMFBS_Not64BitMode, { MCK_GR16 }, },
{ 8645 /* umonitor */, X86::UMONITOR32, Convert__Reg1_0, AMFBS_None, { MCK_GR32 }, },
{ 8645 /* umonitor */, X86::UMONITOR64, Convert__Reg1_0, AMFBS_In64BitMode, { MCK_GR64 }, },
{ 8654 /* umwait */, X86::UMWAIT, Convert__GR32orGR641_0, AMFBS_None, { MCK_GR32orGR64 }, },
{ 8661 /* unpckhpd */, X86::UNPCKHPDrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 8661 /* unpckhpd */, X86::UNPCKHPDrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 8670 /* unpckhps */, X86::UNPCKHPSrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 8670 /* unpckhps */, X86::UNPCKHPSrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 8679 /* unpcklpd */, X86::UNPCKLPDrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 8679 /* unpcklpd */, X86::UNPCKLPDrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 8688 /* unpcklps */, X86::UNPCKLPSrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 8688 /* unpcklps */, X86::UNPCKLPSrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 8697 /* v4fmaddps */, X86::V4FMADDPSrm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR512, MCK_VR512 }, },
{ 8697 /* v4fmaddps */, X86::V4FMADDPSrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8697 /* v4fmaddps */, X86::V4FMADDPSrmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8707 /* v4fmaddss */, X86::V4FMADDSSrm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 8707 /* v4fmaddss */, X86::V4FMADDSSrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8707 /* v4fmaddss */, X86::V4FMADDSSrmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8717 /* v4fnmaddps */, X86::V4FNMADDPSrm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR512, MCK_VR512 }, },
{ 8717 /* v4fnmaddps */, X86::V4FNMADDPSrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8717 /* v4fnmaddps */, X86::V4FNMADDPSrmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8728 /* v4fnmaddss */, X86::V4FNMADDSSrm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 8728 /* v4fnmaddss */, X86::V4FNMADDSSrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8728 /* v4fnmaddss */, X86::V4FNMADDSSrmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8739 /* vaddpd */, X86::VADDPDrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 8739 /* vaddpd */, X86::VADDPDYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 8739 /* vaddpd */, X86::VADDPDZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 8739 /* vaddpd */, X86::VADDPDZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 8739 /* vaddpd */, X86::VADDPDZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 8739 /* vaddpd */, X86::VADDPDrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 8739 /* vaddpd */, X86::VADDPDZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 8739 /* vaddpd */, X86::VADDPDYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 8739 /* vaddpd */, X86::VADDPDZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 8739 /* vaddpd */, X86::VADDPDZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 8739 /* vaddpd */, X86::VADDPDZrrb, Convert__Reg1_3__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 8739 /* vaddpd */, X86::VADDPDZ128rmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X }, },
{ 8739 /* vaddpd */, X86::VADDPDZ256rmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X }, },
{ 8739 /* vaddpd */, X86::VADDPDZrmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512 }, },
{ 8739 /* vaddpd */, X86::VADDPDZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8739 /* vaddpd */, X86::VADDPDZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8739 /* vaddpd */, X86::VADDPDZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8739 /* vaddpd */, X86::VADDPDZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8739 /* vaddpd */, X86::VADDPDZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8739 /* vaddpd */, X86::VADDPDZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8739 /* vaddpd */, X86::VADDPDZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8739 /* vaddpd */, X86::VADDPDZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8739 /* vaddpd */, X86::VADDPDZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8739 /* vaddpd */, X86::VADDPDZrrbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8739 /* vaddpd */, X86::VADDPDZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8739 /* vaddpd */, X86::VADDPDZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8739 /* vaddpd */, X86::VADDPDZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8739 /* vaddpd */, X86::VADDPDZ128rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8739 /* vaddpd */, X86::VADDPDZ256rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8739 /* vaddpd */, X86::VADDPDZrmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8739 /* vaddpd */, X86::VADDPDZrrbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8739 /* vaddpd */, X86::VADDPDZ128rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8739 /* vaddpd */, X86::VADDPDZ256rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8739 /* vaddpd */, X86::VADDPDZrmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8746 /* vaddph */, X86::VADDPHZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 8746 /* vaddph */, X86::VADDPHZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 8746 /* vaddph */, X86::VADDPHZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 8746 /* vaddph */, X86::VADDPHZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 8746 /* vaddph */, X86::VADDPHZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 8746 /* vaddph */, X86::VADDPHZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 8746 /* vaddph */, X86::VADDPHZrrb, Convert__Reg1_3__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 8746 /* vaddph */, X86::VADDPHZ256rmb, Convert__Reg1_3__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK_VR256X }, },
{ 8746 /* vaddph */, X86::VADDPHZrmb, Convert__Reg1_3__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK_VR512 }, },
{ 8746 /* vaddph */, X86::VADDPHZ128rmb, Convert__Reg1_3__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK_FR16X }, },
{ 8746 /* vaddph */, X86::VADDPHZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8746 /* vaddph */, X86::VADDPHZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8746 /* vaddph */, X86::VADDPHZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8746 /* vaddph */, X86::VADDPHZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8746 /* vaddph */, X86::VADDPHZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8746 /* vaddph */, X86::VADDPHZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8746 /* vaddph */, X86::VADDPHZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8746 /* vaddph */, X86::VADDPHZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8746 /* vaddph */, X86::VADDPHZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8746 /* vaddph */, X86::VADDPHZrrbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8746 /* vaddph */, X86::VADDPHZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8746 /* vaddph */, X86::VADDPHZ256rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8746 /* vaddph */, X86::VADDPHZrmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8746 /* vaddph */, X86::VADDPHZ128rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8746 /* vaddph */, X86::VADDPHZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8746 /* vaddph */, X86::VADDPHZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8746 /* vaddph */, X86::VADDPHZrrbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8746 /* vaddph */, X86::VADDPHZ256rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8746 /* vaddph */, X86::VADDPHZrmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8746 /* vaddph */, X86::VADDPHZ128rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8753 /* vaddps */, X86::VADDPSrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 8753 /* vaddps */, X86::VADDPSYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 8753 /* vaddps */, X86::VADDPSZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 8753 /* vaddps */, X86::VADDPSZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 8753 /* vaddps */, X86::VADDPSZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 8753 /* vaddps */, X86::VADDPSrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 8753 /* vaddps */, X86::VADDPSZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 8753 /* vaddps */, X86::VADDPSYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 8753 /* vaddps */, X86::VADDPSZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 8753 /* vaddps */, X86::VADDPSZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 8753 /* vaddps */, X86::VADDPSZrrb, Convert__Reg1_3__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 8753 /* vaddps */, X86::VADDPSZrmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512 }, },
{ 8753 /* vaddps */, X86::VADDPSZ128rmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X }, },
{ 8753 /* vaddps */, X86::VADDPSZ256rmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X }, },
{ 8753 /* vaddps */, X86::VADDPSZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8753 /* vaddps */, X86::VADDPSZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8753 /* vaddps */, X86::VADDPSZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8753 /* vaddps */, X86::VADDPSZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8753 /* vaddps */, X86::VADDPSZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8753 /* vaddps */, X86::VADDPSZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8753 /* vaddps */, X86::VADDPSZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8753 /* vaddps */, X86::VADDPSZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8753 /* vaddps */, X86::VADDPSZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8753 /* vaddps */, X86::VADDPSZrrbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8753 /* vaddps */, X86::VADDPSZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8753 /* vaddps */, X86::VADDPSZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8753 /* vaddps */, X86::VADDPSZrmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8753 /* vaddps */, X86::VADDPSZ128rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8753 /* vaddps */, X86::VADDPSZ256rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8753 /* vaddps */, X86::VADDPSZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8753 /* vaddps */, X86::VADDPSZrrbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8753 /* vaddps */, X86::VADDPSZrmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8753 /* vaddps */, X86::VADDPSZ128rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8753 /* vaddps */, X86::VADDPSZ256rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8760 /* vaddsd */, X86::VADDSDrr_Int, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 8760 /* vaddsd */, X86::VADDSDZrr_Int, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 8760 /* vaddsd */, X86::VADDSDrm_Int, Convert__Reg1_2__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16, MCK_FR16 }, },
{ 8760 /* vaddsd */, X86::VADDSDZrm_Int, Convert__Reg1_2__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK_FR16X }, },
{ 8760 /* vaddsd */, X86::VADDSDZrrb_Int, Convert__Reg1_3__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 8760 /* vaddsd */, X86::VADDSDZrr_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8760 /* vaddsd */, X86::VADDSDZrm_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8760 /* vaddsd */, X86::VADDSDZrr_Intkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8760 /* vaddsd */, X86::VADDSDZrrb_Intk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8760 /* vaddsd */, X86::VADDSDZrm_Intkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8760 /* vaddsd */, X86::VADDSDZrrb_Intkz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8767 /* vaddsh */, X86::VADDSHZrr_Int, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 8767 /* vaddsh */, X86::VADDSHZrm_Int, Convert__Reg1_2__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16X, MCK_FR16X }, },
{ 8767 /* vaddsh */, X86::VADDSHZrrb_Int, Convert__Reg1_3__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 8767 /* vaddsh */, X86::VADDSHZrr_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8767 /* vaddsh */, X86::VADDSHZrm_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8767 /* vaddsh */, X86::VADDSHZrr_Intkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8767 /* vaddsh */, X86::VADDSHZrrb_Intk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8767 /* vaddsh */, X86::VADDSHZrm_Intkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8767 /* vaddsh */, X86::VADDSHZrrb_Intkz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8774 /* vaddss */, X86::VADDSSrr_Int, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 8774 /* vaddss */, X86::VADDSSZrr_Int, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 8774 /* vaddss */, X86::VADDSSrm_Int, Convert__Reg1_2__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16, MCK_FR16 }, },
{ 8774 /* vaddss */, X86::VADDSSZrm_Int, Convert__Reg1_2__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X }, },
{ 8774 /* vaddss */, X86::VADDSSZrrb_Int, Convert__Reg1_3__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 8774 /* vaddss */, X86::VADDSSZrr_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8774 /* vaddss */, X86::VADDSSZrm_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8774 /* vaddss */, X86::VADDSSZrr_Intkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8774 /* vaddss */, X86::VADDSSZrrb_Intk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8774 /* vaddss */, X86::VADDSSZrm_Intkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8774 /* vaddss */, X86::VADDSSZrrb_Intkz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8781 /* vaddsubpd */, X86::VADDSUBPDrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 8781 /* vaddsubpd */, X86::VADDSUBPDYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 8781 /* vaddsubpd */, X86::VADDSUBPDrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 8781 /* vaddsubpd */, X86::VADDSUBPDYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 8791 /* vaddsubps */, X86::VADDSUBPSrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 8791 /* vaddsubps */, X86::VADDSUBPSYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 8791 /* vaddsubps */, X86::VADDSUBPSrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 8791 /* vaddsubps */, X86::VADDSUBPSYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 8801 /* vaesdec */, X86::VAESDECrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 8801 /* vaesdec */, X86::VAESDECYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 8801 /* vaesdec */, X86::VAESDECZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 8801 /* vaesdec */, X86::VAESDECZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 8801 /* vaesdec */, X86::VAESDECZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 8801 /* vaesdec */, X86::VAESDECrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 8801 /* vaesdec */, X86::VAESDECZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 8801 /* vaesdec */, X86::VAESDECYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 8801 /* vaesdec */, X86::VAESDECZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 8801 /* vaesdec */, X86::VAESDECZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 8809 /* vaesdeclast */, X86::VAESDECLASTrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 8809 /* vaesdeclast */, X86::VAESDECLASTYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 8809 /* vaesdeclast */, X86::VAESDECLASTZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 8809 /* vaesdeclast */, X86::VAESDECLASTZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 8809 /* vaesdeclast */, X86::VAESDECLASTZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 8809 /* vaesdeclast */, X86::VAESDECLASTrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 8809 /* vaesdeclast */, X86::VAESDECLASTZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 8809 /* vaesdeclast */, X86::VAESDECLASTYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 8809 /* vaesdeclast */, X86::VAESDECLASTZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 8809 /* vaesdeclast */, X86::VAESDECLASTZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 8821 /* vaesenc */, X86::VAESENCrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 8821 /* vaesenc */, X86::VAESENCYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 8821 /* vaesenc */, X86::VAESENCZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 8821 /* vaesenc */, X86::VAESENCZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 8821 /* vaesenc */, X86::VAESENCZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 8821 /* vaesenc */, X86::VAESENCrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 8821 /* vaesenc */, X86::VAESENCZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 8821 /* vaesenc */, X86::VAESENCYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 8821 /* vaesenc */, X86::VAESENCZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 8821 /* vaesenc */, X86::VAESENCZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 8829 /* vaesenclast */, X86::VAESENCLASTrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 8829 /* vaesenclast */, X86::VAESENCLASTYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 8829 /* vaesenclast */, X86::VAESENCLASTZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 8829 /* vaesenclast */, X86::VAESENCLASTZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 8829 /* vaesenclast */, X86::VAESENCLASTZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 8829 /* vaesenclast */, X86::VAESENCLASTrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 8829 /* vaesenclast */, X86::VAESENCLASTZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 8829 /* vaesenclast */, X86::VAESENCLASTYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 8829 /* vaesenclast */, X86::VAESENCLASTZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 8829 /* vaesenclast */, X86::VAESENCLASTZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 8841 /* vaesimc */, X86::VAESIMCrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 8841 /* vaesimc */, X86::VAESIMCrm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 8849 /* vaeskeygenassist */, X86::VAESKEYGENASSIST128rr, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_FR16 }, },
{ 8849 /* vaeskeygenassist */, X86::VAESKEYGENASSIST128rm, Convert__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16 }, },
{ 8866 /* valignd */, X86::VALIGNDZ128rri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 8866 /* valignd */, X86::VALIGNDZ256rri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 8866 /* valignd */, X86::VALIGNDZrri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 8866 /* valignd */, X86::VALIGNDZ128rmi, Convert__Reg1_3__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 8866 /* valignd */, X86::VALIGNDZ256rmi, Convert__Reg1_3__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 8866 /* valignd */, X86::VALIGNDZrmi, Convert__Reg1_3__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 8866 /* valignd */, X86::VALIGNDZrmbi, Convert__Reg1_4__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512 }, },
{ 8866 /* valignd */, X86::VALIGNDZ128rmbi, Convert__Reg1_4__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X }, },
{ 8866 /* valignd */, X86::VALIGNDZ256rmbi, Convert__Reg1_4__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X }, },
{ 8866 /* valignd */, X86::VALIGNDZ128rrik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8866 /* valignd */, X86::VALIGNDZ256rrik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8866 /* valignd */, X86::VALIGNDZrrik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8866 /* valignd */, X86::VALIGNDZ128rmik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8866 /* valignd */, X86::VALIGNDZ256rmik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8866 /* valignd */, X86::VALIGNDZrmik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8866 /* valignd */, X86::VALIGNDZ128rrikz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8866 /* valignd */, X86::VALIGNDZ256rrikz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8866 /* valignd */, X86::VALIGNDZrrikz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8866 /* valignd */, X86::VALIGNDZ128rmikz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8866 /* valignd */, X86::VALIGNDZ256rmikz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8866 /* valignd */, X86::VALIGNDZrmbik, Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8866 /* valignd */, X86::VALIGNDZ128rmbik, Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8866 /* valignd */, X86::VALIGNDZ256rmbik, Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8866 /* valignd */, X86::VALIGNDZrmikz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8866 /* valignd */, X86::VALIGNDZrmbikz, Convert__Reg1_4__Reg1_6__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8866 /* valignd */, X86::VALIGNDZ128rmbikz, Convert__Reg1_4__Reg1_6__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8866 /* valignd */, X86::VALIGNDZ256rmbikz, Convert__Reg1_4__Reg1_6__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8874 /* valignq */, X86::VALIGNQZ128rri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 8874 /* valignq */, X86::VALIGNQZ256rri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 8874 /* valignq */, X86::VALIGNQZrri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 8874 /* valignq */, X86::VALIGNQZ128rmi, Convert__Reg1_3__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 8874 /* valignq */, X86::VALIGNQZ256rmi, Convert__Reg1_3__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 8874 /* valignq */, X86::VALIGNQZrmi, Convert__Reg1_3__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 8874 /* valignq */, X86::VALIGNQZ128rmbi, Convert__Reg1_4__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X }, },
{ 8874 /* valignq */, X86::VALIGNQZ256rmbi, Convert__Reg1_4__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X }, },
{ 8874 /* valignq */, X86::VALIGNQZrmbi, Convert__Reg1_4__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512 }, },
{ 8874 /* valignq */, X86::VALIGNQZ128rrik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8874 /* valignq */, X86::VALIGNQZ256rrik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8874 /* valignq */, X86::VALIGNQZrrik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8874 /* valignq */, X86::VALIGNQZ128rmik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8874 /* valignq */, X86::VALIGNQZ256rmik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8874 /* valignq */, X86::VALIGNQZrmik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8874 /* valignq */, X86::VALIGNQZ128rrikz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8874 /* valignq */, X86::VALIGNQZ256rrikz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8874 /* valignq */, X86::VALIGNQZrrikz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8874 /* valignq */, X86::VALIGNQZ128rmikz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8874 /* valignq */, X86::VALIGNQZ256rmikz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8874 /* valignq */, X86::VALIGNQZrmikz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8874 /* valignq */, X86::VALIGNQZ128rmbik, Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8874 /* valignq */, X86::VALIGNQZ256rmbik, Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8874 /* valignq */, X86::VALIGNQZrmbik, Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8874 /* valignq */, X86::VALIGNQZ128rmbikz, Convert__Reg1_4__Reg1_6__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8874 /* valignq */, X86::VALIGNQZ256rmbikz, Convert__Reg1_4__Reg1_6__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8874 /* valignq */, X86::VALIGNQZrmbikz, Convert__Reg1_4__Reg1_6__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8882 /* vandnpd */, X86::VANDNPDrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 8882 /* vandnpd */, X86::VANDNPDYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 8882 /* vandnpd */, X86::VANDNPDZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 8882 /* vandnpd */, X86::VANDNPDZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 8882 /* vandnpd */, X86::VANDNPDZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 8882 /* vandnpd */, X86::VANDNPDrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 8882 /* vandnpd */, X86::VANDNPDZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 8882 /* vandnpd */, X86::VANDNPDYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 8882 /* vandnpd */, X86::VANDNPDZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 8882 /* vandnpd */, X86::VANDNPDZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 8882 /* vandnpd */, X86::VANDNPDZ128rmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X }, },
{ 8882 /* vandnpd */, X86::VANDNPDZ256rmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X }, },
{ 8882 /* vandnpd */, X86::VANDNPDZrmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512 }, },
{ 8882 /* vandnpd */, X86::VANDNPDZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8882 /* vandnpd */, X86::VANDNPDZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8882 /* vandnpd */, X86::VANDNPDZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8882 /* vandnpd */, X86::VANDNPDZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8882 /* vandnpd */, X86::VANDNPDZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8882 /* vandnpd */, X86::VANDNPDZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8882 /* vandnpd */, X86::VANDNPDZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8882 /* vandnpd */, X86::VANDNPDZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8882 /* vandnpd */, X86::VANDNPDZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8882 /* vandnpd */, X86::VANDNPDZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8882 /* vandnpd */, X86::VANDNPDZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8882 /* vandnpd */, X86::VANDNPDZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8882 /* vandnpd */, X86::VANDNPDZ128rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8882 /* vandnpd */, X86::VANDNPDZ256rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8882 /* vandnpd */, X86::VANDNPDZrmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8882 /* vandnpd */, X86::VANDNPDZ128rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8882 /* vandnpd */, X86::VANDNPDZ256rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8882 /* vandnpd */, X86::VANDNPDZrmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8890 /* vandnps */, X86::VANDNPSrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 8890 /* vandnps */, X86::VANDNPSYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 8890 /* vandnps */, X86::VANDNPSZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 8890 /* vandnps */, X86::VANDNPSZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 8890 /* vandnps */, X86::VANDNPSZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 8890 /* vandnps */, X86::VANDNPSrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 8890 /* vandnps */, X86::VANDNPSZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 8890 /* vandnps */, X86::VANDNPSYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 8890 /* vandnps */, X86::VANDNPSZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 8890 /* vandnps */, X86::VANDNPSZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 8890 /* vandnps */, X86::VANDNPSZrmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512 }, },
{ 8890 /* vandnps */, X86::VANDNPSZ128rmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X }, },
{ 8890 /* vandnps */, X86::VANDNPSZ256rmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X }, },
{ 8890 /* vandnps */, X86::VANDNPSZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8890 /* vandnps */, X86::VANDNPSZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8890 /* vandnps */, X86::VANDNPSZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8890 /* vandnps */, X86::VANDNPSZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8890 /* vandnps */, X86::VANDNPSZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8890 /* vandnps */, X86::VANDNPSZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8890 /* vandnps */, X86::VANDNPSZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8890 /* vandnps */, X86::VANDNPSZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8890 /* vandnps */, X86::VANDNPSZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8890 /* vandnps */, X86::VANDNPSZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8890 /* vandnps */, X86::VANDNPSZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8890 /* vandnps */, X86::VANDNPSZrmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8890 /* vandnps */, X86::VANDNPSZ128rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8890 /* vandnps */, X86::VANDNPSZ256rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8890 /* vandnps */, X86::VANDNPSZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8890 /* vandnps */, X86::VANDNPSZrmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8890 /* vandnps */, X86::VANDNPSZ128rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8890 /* vandnps */, X86::VANDNPSZ256rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8898 /* vandpd */, X86::VANDPDrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 8898 /* vandpd */, X86::VANDPDYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 8898 /* vandpd */, X86::VANDPDZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 8898 /* vandpd */, X86::VANDPDZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 8898 /* vandpd */, X86::VANDPDZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 8898 /* vandpd */, X86::VANDPDrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 8898 /* vandpd */, X86::VANDPDZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 8898 /* vandpd */, X86::VANDPDYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 8898 /* vandpd */, X86::VANDPDZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 8898 /* vandpd */, X86::VANDPDZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 8898 /* vandpd */, X86::VANDPDZ128rmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X }, },
{ 8898 /* vandpd */, X86::VANDPDZ256rmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X }, },
{ 8898 /* vandpd */, X86::VANDPDZrmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512 }, },
{ 8898 /* vandpd */, X86::VANDPDZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8898 /* vandpd */, X86::VANDPDZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8898 /* vandpd */, X86::VANDPDZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8898 /* vandpd */, X86::VANDPDZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8898 /* vandpd */, X86::VANDPDZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8898 /* vandpd */, X86::VANDPDZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8898 /* vandpd */, X86::VANDPDZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8898 /* vandpd */, X86::VANDPDZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8898 /* vandpd */, X86::VANDPDZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8898 /* vandpd */, X86::VANDPDZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8898 /* vandpd */, X86::VANDPDZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8898 /* vandpd */, X86::VANDPDZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8898 /* vandpd */, X86::VANDPDZ128rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8898 /* vandpd */, X86::VANDPDZ256rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8898 /* vandpd */, X86::VANDPDZrmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8898 /* vandpd */, X86::VANDPDZ128rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8898 /* vandpd */, X86::VANDPDZ256rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8898 /* vandpd */, X86::VANDPDZrmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8905 /* vandps */, X86::VANDPSrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 8905 /* vandps */, X86::VANDPSYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 8905 /* vandps */, X86::VANDPSZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 8905 /* vandps */, X86::VANDPSZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 8905 /* vandps */, X86::VANDPSZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 8905 /* vandps */, X86::VANDPSrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 8905 /* vandps */, X86::VANDPSZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 8905 /* vandps */, X86::VANDPSYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 8905 /* vandps */, X86::VANDPSZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 8905 /* vandps */, X86::VANDPSZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 8905 /* vandps */, X86::VANDPSZrmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512 }, },
{ 8905 /* vandps */, X86::VANDPSZ128rmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X }, },
{ 8905 /* vandps */, X86::VANDPSZ256rmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X }, },
{ 8905 /* vandps */, X86::VANDPSZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8905 /* vandps */, X86::VANDPSZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8905 /* vandps */, X86::VANDPSZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8905 /* vandps */, X86::VANDPSZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8905 /* vandps */, X86::VANDPSZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8905 /* vandps */, X86::VANDPSZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8905 /* vandps */, X86::VANDPSZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8905 /* vandps */, X86::VANDPSZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8905 /* vandps */, X86::VANDPSZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8905 /* vandps */, X86::VANDPSZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8905 /* vandps */, X86::VANDPSZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8905 /* vandps */, X86::VANDPSZrmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8905 /* vandps */, X86::VANDPSZ128rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8905 /* vandps */, X86::VANDPSZ256rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8905 /* vandps */, X86::VANDPSZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8905 /* vandps */, X86::VANDPSZrmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8905 /* vandps */, X86::VANDPSZ128rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8905 /* vandps */, X86::VANDPSZ256rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8912 /* vbcstnebf162ps */, X86::VBCSTNEBF162PSrm, Convert__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16 }, },
{ 8912 /* vbcstnebf162ps */, X86::VBCSTNEBF162PSYrm, Convert__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_VR256 }, },
{ 8927 /* vbcstnesh2ps */, X86::VBCSTNESH2PSrm, Convert__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16 }, },
{ 8927 /* vbcstnesh2ps */, X86::VBCSTNESH2PSYrm, Convert__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_VR256 }, },
{ 8940 /* vblendmpd */, X86::VBLENDMPDZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 8940 /* vblendmpd */, X86::VBLENDMPDZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 8940 /* vblendmpd */, X86::VBLENDMPDZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 8940 /* vblendmpd */, X86::VBLENDMPDZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 8940 /* vblendmpd */, X86::VBLENDMPDZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 8940 /* vblendmpd */, X86::VBLENDMPDZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 8940 /* vblendmpd */, X86::VBLENDMPDZ128rmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X }, },
{ 8940 /* vblendmpd */, X86::VBLENDMPDZ256rmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X }, },
{ 8940 /* vblendmpd */, X86::VBLENDMPDZrmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512 }, },
{ 8940 /* vblendmpd */, X86::VBLENDMPDZ128rrk, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8940 /* vblendmpd */, X86::VBLENDMPDZ256rrk, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8940 /* vblendmpd */, X86::VBLENDMPDZrrk, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8940 /* vblendmpd */, X86::VBLENDMPDZ128rmk, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8940 /* vblendmpd */, X86::VBLENDMPDZ256rmk, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8940 /* vblendmpd */, X86::VBLENDMPDZrmk, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8940 /* vblendmpd */, X86::VBLENDMPDZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8940 /* vblendmpd */, X86::VBLENDMPDZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8940 /* vblendmpd */, X86::VBLENDMPDZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8940 /* vblendmpd */, X86::VBLENDMPDZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8940 /* vblendmpd */, X86::VBLENDMPDZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8940 /* vblendmpd */, X86::VBLENDMPDZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8940 /* vblendmpd */, X86::VBLENDMPDZ128rmbk, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8940 /* vblendmpd */, X86::VBLENDMPDZ256rmbk, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8940 /* vblendmpd */, X86::VBLENDMPDZrmbk, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8940 /* vblendmpd */, X86::VBLENDMPDZ128rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8940 /* vblendmpd */, X86::VBLENDMPDZ256rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8940 /* vblendmpd */, X86::VBLENDMPDZrmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8950 /* vblendmps */, X86::VBLENDMPSZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 8950 /* vblendmps */, X86::VBLENDMPSZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 8950 /* vblendmps */, X86::VBLENDMPSZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 8950 /* vblendmps */, X86::VBLENDMPSZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 8950 /* vblendmps */, X86::VBLENDMPSZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 8950 /* vblendmps */, X86::VBLENDMPSZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 8950 /* vblendmps */, X86::VBLENDMPSZrmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512 }, },
{ 8950 /* vblendmps */, X86::VBLENDMPSZ128rmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X }, },
{ 8950 /* vblendmps */, X86::VBLENDMPSZ256rmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X }, },
{ 8950 /* vblendmps */, X86::VBLENDMPSZ128rrk, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8950 /* vblendmps */, X86::VBLENDMPSZ256rrk, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8950 /* vblendmps */, X86::VBLENDMPSZrrk, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8950 /* vblendmps */, X86::VBLENDMPSZ128rmk, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8950 /* vblendmps */, X86::VBLENDMPSZ256rmk, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8950 /* vblendmps */, X86::VBLENDMPSZrmk, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8950 /* vblendmps */, X86::VBLENDMPSZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8950 /* vblendmps */, X86::VBLENDMPSZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8950 /* vblendmps */, X86::VBLENDMPSZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8950 /* vblendmps */, X86::VBLENDMPSZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8950 /* vblendmps */, X86::VBLENDMPSZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8950 /* vblendmps */, X86::VBLENDMPSZrmbk, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8950 /* vblendmps */, X86::VBLENDMPSZ128rmbk, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8950 /* vblendmps */, X86::VBLENDMPSZ256rmbk, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 8950 /* vblendmps */, X86::VBLENDMPSZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8950 /* vblendmps */, X86::VBLENDMPSZrmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8950 /* vblendmps */, X86::VBLENDMPSZ128rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8950 /* vblendmps */, X86::VBLENDMPSZ256rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 8960 /* vblendpd */, X86::VBLENDPDrri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 8960 /* vblendpd */, X86::VBLENDPDYrri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 8960 /* vblendpd */, X86::VBLENDPDrmi, Convert__Reg1_3__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 8960 /* vblendpd */, X86::VBLENDPDYrmi, Convert__Reg1_3__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 8969 /* vblendps */, X86::VBLENDPSrri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 8969 /* vblendps */, X86::VBLENDPSYrri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 8969 /* vblendps */, X86::VBLENDPSrmi, Convert__Reg1_3__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 8969 /* vblendps */, X86::VBLENDPSYrmi, Convert__Reg1_3__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 8978 /* vblendvpd */, X86::VBLENDVPDrr, Convert__Reg1_3__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 8978 /* vblendvpd */, X86::VBLENDVPDrm, Convert__Reg1_3__Reg1_2__Mem1285_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 8978 /* vblendvpd */, X86::VBLENDVPDYrr, Convert__Reg1_3__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 8978 /* vblendvpd */, X86::VBLENDVPDYrm, Convert__Reg1_3__Reg1_2__Mem2565_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 8988 /* vblendvps */, X86::VBLENDVPSrr, Convert__Reg1_3__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 8988 /* vblendvps */, X86::VBLENDVPSrm, Convert__Reg1_3__Reg1_2__Mem1285_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 8988 /* vblendvps */, X86::VBLENDVPSYrr, Convert__Reg1_3__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 8988 /* vblendvps */, X86::VBLENDVPSYrm, Convert__Reg1_3__Reg1_2__Mem2565_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 8998 /* vbroadcastf128 */, X86::VBROADCASTF128, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256 }, },
{ 9013 /* vbroadcastf32x2 */, X86::VBROADCASTF32X2Z256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X }, },
{ 9013 /* vbroadcastf32x2 */, X86::VBROADCASTF32X2Zrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR512 }, },
{ 9013 /* vbroadcastf32x2 */, X86::VBROADCASTF32X2Z256rm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR256X }, },
{ 9013 /* vbroadcastf32x2 */, X86::VBROADCASTF32X2Zrm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR512 }, },
{ 9013 /* vbroadcastf32x2 */, X86::VBROADCASTF32X2Z256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9013 /* vbroadcastf32x2 */, X86::VBROADCASTF32X2Zrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9013 /* vbroadcastf32x2 */, X86::VBROADCASTF32X2Z256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9013 /* vbroadcastf32x2 */, X86::VBROADCASTF32X2Zrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9013 /* vbroadcastf32x2 */, X86::VBROADCASTF32X2Z256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9013 /* vbroadcastf32x2 */, X86::VBROADCASTF32X2Zrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9013 /* vbroadcastf32x2 */, X86::VBROADCASTF32X2Z256rmkz, Convert__Reg1_1__Reg1_3__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9013 /* vbroadcastf32x2 */, X86::VBROADCASTF32X2Zrmkz, Convert__Reg1_1__Reg1_3__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9029 /* vbroadcastf32x4 */, X86::VBROADCASTF32X4Z256rm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256X }, },
{ 9029 /* vbroadcastf32x4 */, X86::VBROADCASTF32X4rm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR512 }, },
{ 9029 /* vbroadcastf32x4 */, X86::VBROADCASTF32X4Z256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9029 /* vbroadcastf32x4 */, X86::VBROADCASTF32X4rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9029 /* vbroadcastf32x4 */, X86::VBROADCASTF32X4Z256rmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9029 /* vbroadcastf32x4 */, X86::VBROADCASTF32X4rmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9045 /* vbroadcastf32x8 */, X86::VBROADCASTF32X8rm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR512 }, },
{ 9045 /* vbroadcastf32x8 */, X86::VBROADCASTF32X8rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9045 /* vbroadcastf32x8 */, X86::VBROADCASTF32X8rmkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9061 /* vbroadcastf64x2 */, X86::VBROADCASTF64X2Z128rm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256X }, },
{ 9061 /* vbroadcastf64x2 */, X86::VBROADCASTF64X2rm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR512 }, },
{ 9061 /* vbroadcastf64x2 */, X86::VBROADCASTF64X2Z128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9061 /* vbroadcastf64x2 */, X86::VBROADCASTF64X2rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9061 /* vbroadcastf64x2 */, X86::VBROADCASTF64X2Z128rmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9061 /* vbroadcastf64x2 */, X86::VBROADCASTF64X2rmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9077 /* vbroadcastf64x4 */, X86::VBROADCASTF64X4rm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR512 }, },
{ 9077 /* vbroadcastf64x4 */, X86::VBROADCASTF64X4rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9077 /* vbroadcastf64x4 */, X86::VBROADCASTF64X4rmkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9093 /* vbroadcasti128 */, X86::VBROADCASTI128, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256 }, },
{ 9108 /* vbroadcasti32x2 */, X86::VBROADCASTI32X2Z128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 9108 /* vbroadcasti32x2 */, X86::VBROADCASTI32X2Z256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X }, },
{ 9108 /* vbroadcasti32x2 */, X86::VBROADCASTI32X2Zrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR512 }, },
{ 9108 /* vbroadcasti32x2 */, X86::VBROADCASTI32X2Z128rm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X }, },
{ 9108 /* vbroadcasti32x2 */, X86::VBROADCASTI32X2Z256rm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR256X }, },
{ 9108 /* vbroadcasti32x2 */, X86::VBROADCASTI32X2Zrm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR512 }, },
{ 9108 /* vbroadcasti32x2 */, X86::VBROADCASTI32X2Z128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9108 /* vbroadcasti32x2 */, X86::VBROADCASTI32X2Z256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9108 /* vbroadcasti32x2 */, X86::VBROADCASTI32X2Zrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9108 /* vbroadcasti32x2 */, X86::VBROADCASTI32X2Z128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9108 /* vbroadcasti32x2 */, X86::VBROADCASTI32X2Z256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9108 /* vbroadcasti32x2 */, X86::VBROADCASTI32X2Zrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9108 /* vbroadcasti32x2 */, X86::VBROADCASTI32X2Z128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9108 /* vbroadcasti32x2 */, X86::VBROADCASTI32X2Z256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9108 /* vbroadcasti32x2 */, X86::VBROADCASTI32X2Zrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9108 /* vbroadcasti32x2 */, X86::VBROADCASTI32X2Z128rmkz, Convert__Reg1_1__Reg1_3__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9108 /* vbroadcasti32x2 */, X86::VBROADCASTI32X2Z256rmkz, Convert__Reg1_1__Reg1_3__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9108 /* vbroadcasti32x2 */, X86::VBROADCASTI32X2Zrmkz, Convert__Reg1_1__Reg1_3__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9124 /* vbroadcasti32x4 */, X86::VBROADCASTI32X4Z256rm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256X }, },
{ 9124 /* vbroadcasti32x4 */, X86::VBROADCASTI32X4rm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR512 }, },
{ 9124 /* vbroadcasti32x4 */, X86::VBROADCASTI32X4Z256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9124 /* vbroadcasti32x4 */, X86::VBROADCASTI32X4rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9124 /* vbroadcasti32x4 */, X86::VBROADCASTI32X4Z256rmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9124 /* vbroadcasti32x4 */, X86::VBROADCASTI32X4rmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9140 /* vbroadcasti32x8 */, X86::VBROADCASTI32X8rm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR512 }, },
{ 9140 /* vbroadcasti32x8 */, X86::VBROADCASTI32X8rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9140 /* vbroadcasti32x8 */, X86::VBROADCASTI32X8rmkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9156 /* vbroadcasti64x2 */, X86::VBROADCASTI64X2Z128rm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256X }, },
{ 9156 /* vbroadcasti64x2 */, X86::VBROADCASTI64X2rm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR512 }, },
{ 9156 /* vbroadcasti64x2 */, X86::VBROADCASTI64X2Z128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9156 /* vbroadcasti64x2 */, X86::VBROADCASTI64X2rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9156 /* vbroadcasti64x2 */, X86::VBROADCASTI64X2Z128rmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9156 /* vbroadcasti64x2 */, X86::VBROADCASTI64X2rmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9172 /* vbroadcasti64x4 */, X86::VBROADCASTI64X4rm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR512 }, },
{ 9172 /* vbroadcasti64x4 */, X86::VBROADCASTI64X4rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9172 /* vbroadcasti64x4 */, X86::VBROADCASTI64X4rmkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9188 /* vbroadcastsd */, X86::VBROADCASTSDYrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_VR256 }, },
{ 9188 /* vbroadcastsd */, X86::VBROADCASTSDZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X }, },
{ 9188 /* vbroadcastsd */, X86::VBROADCASTSDZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR512 }, },
{ 9188 /* vbroadcastsd */, X86::VBROADCASTSDYrm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR256 }, },
{ 9188 /* vbroadcastsd */, X86::VBROADCASTSDZ256rm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR256X }, },
{ 9188 /* vbroadcastsd */, X86::VBROADCASTSDZrm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR512 }, },
{ 9188 /* vbroadcastsd */, X86::VBROADCASTSDZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9188 /* vbroadcastsd */, X86::VBROADCASTSDZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9188 /* vbroadcastsd */, X86::VBROADCASTSDZ256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9188 /* vbroadcastsd */, X86::VBROADCASTSDZrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9188 /* vbroadcastsd */, X86::VBROADCASTSDZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9188 /* vbroadcastsd */, X86::VBROADCASTSDZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9188 /* vbroadcastsd */, X86::VBROADCASTSDZ256rmkz, Convert__Reg1_1__Reg1_3__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9188 /* vbroadcastsd */, X86::VBROADCASTSDZrmkz, Convert__Reg1_1__Reg1_3__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9201 /* vbroadcastss */, X86::VBROADCASTSSrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 9201 /* vbroadcastss */, X86::VBROADCASTSSYrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_VR256 }, },
{ 9201 /* vbroadcastss */, X86::VBROADCASTSSZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 9201 /* vbroadcastss */, X86::VBROADCASTSSZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X }, },
{ 9201 /* vbroadcastss */, X86::VBROADCASTSSZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR512 }, },
{ 9201 /* vbroadcastss */, X86::VBROADCASTSSrm, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16 }, },
{ 9201 /* vbroadcastss */, X86::VBROADCASTSSYrm, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_VR256 }, },
{ 9201 /* vbroadcastss */, X86::VBROADCASTSSZ128rm, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X }, },
{ 9201 /* vbroadcastss */, X86::VBROADCASTSSZ256rm, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_VR256X }, },
{ 9201 /* vbroadcastss */, X86::VBROADCASTSSZrm, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_VR512 }, },
{ 9201 /* vbroadcastss */, X86::VBROADCASTSSZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9201 /* vbroadcastss */, X86::VBROADCASTSSZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9201 /* vbroadcastss */, X86::VBROADCASTSSZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9201 /* vbroadcastss */, X86::VBROADCASTSSZ128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9201 /* vbroadcastss */, X86::VBROADCASTSSZ256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9201 /* vbroadcastss */, X86::VBROADCASTSSZrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9201 /* vbroadcastss */, X86::VBROADCASTSSZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9201 /* vbroadcastss */, X86::VBROADCASTSSZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9201 /* vbroadcastss */, X86::VBROADCASTSSZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9201 /* vbroadcastss */, X86::VBROADCASTSSZ128rmkz, Convert__Reg1_1__Reg1_3__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9201 /* vbroadcastss */, X86::VBROADCASTSSZ256rmkz, Convert__Reg1_1__Reg1_3__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9201 /* vbroadcastss */, X86::VBROADCASTSSZrmkz, Convert__Reg1_1__Reg1_3__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9214 /* vcmppd */, X86::VCMPPDrri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 9214 /* vcmppd */, X86::VCMPPDYrri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 9214 /* vcmppd */, X86::VCMPPDZ128rri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_VK1 }, },
{ 9214 /* vcmppd */, X86::VCMPPDZ256rri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK_VK1 }, },
{ 9214 /* vcmppd */, X86::VCMPPDZrri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK_VK1 }, },
{ 9214 /* vcmppd */, X86::VCMPPDrmi, Convert__Reg1_3__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 9214 /* vcmppd */, X86::VCMPPDZ128rmi, Convert__Reg1_3__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK_VK1 }, },
{ 9214 /* vcmppd */, X86::VCMPPDYrmi, Convert__Reg1_3__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 9214 /* vcmppd */, X86::VCMPPDZ256rmi, Convert__Reg1_3__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK_VK1 }, },
{ 9214 /* vcmppd */, X86::VCMPPDZrmi, Convert__Reg1_3__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK_VK1 }, },
{ 9214 /* vcmppd */, X86::VCMPPDZrrib, Convert__Reg1_4__Reg1_3__Reg1_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK__123_sae_125_, MCK_VR512, MCK_VR512, MCK_VK1 }, },
{ 9214 /* vcmppd */, X86::VCMPPDZ128rmbi, Convert__Reg1_4__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_VK1 }, },
{ 9214 /* vcmppd */, X86::VCMPPDZ256rmbi, Convert__Reg1_4__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VK1 }, },
{ 9214 /* vcmppd */, X86::VCMPPDZrmbi, Convert__Reg1_4__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VK1 }, },
{ 9214 /* vcmppd */, X86::VCMPPDZ128rrik, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9214 /* vcmppd */, X86::VCMPPDZ256rrik, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9214 /* vcmppd */, X86::VCMPPDZrrik, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9214 /* vcmppd */, X86::VCMPPDZ128rmik, Convert__Reg1_3__Reg1_5__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9214 /* vcmppd */, X86::VCMPPDZ256rmik, Convert__Reg1_3__Reg1_5__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9214 /* vcmppd */, X86::VCMPPDZrmik, Convert__Reg1_3__Reg1_5__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9214 /* vcmppd */, X86::VCMPPDZrribk, Convert__Reg1_4__Reg1_6__Reg1_3__Reg1_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK__123_sae_125_, MCK_VR512, MCK_VR512, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9214 /* vcmppd */, X86::VCMPPDZ128rmbik, Convert__Reg1_4__Reg1_6__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9214 /* vcmppd */, X86::VCMPPDZ256rmbik, Convert__Reg1_4__Reg1_6__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9214 /* vcmppd */, X86::VCMPPDZrmbik, Convert__Reg1_4__Reg1_6__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9221 /* vcmpph */, X86::VCMPPHZ128rri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_VK1 }, },
{ 9221 /* vcmpph */, X86::VCMPPHZ256rri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK_VK1 }, },
{ 9221 /* vcmpph */, X86::VCMPPHZrri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK_VK1 }, },
{ 9221 /* vcmpph */, X86::VCMPPHZ128rmi, Convert__Reg1_3__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK_VK1 }, },
{ 9221 /* vcmpph */, X86::VCMPPHZ256rmi, Convert__Reg1_3__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK_VK1 }, },
{ 9221 /* vcmpph */, X86::VCMPPHZrmi, Convert__Reg1_3__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK_VK1 }, },
{ 9221 /* vcmpph */, X86::VCMPPHZrrib, Convert__Reg1_4__Reg1_3__Reg1_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK__123_sae_125_, MCK_VR512, MCK_VR512, MCK_VK1 }, },
{ 9221 /* vcmpph */, X86::VCMPPHZ256rmbi, Convert__Reg1_4__Reg1_3__Mem165_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK_VK1 }, },
{ 9221 /* vcmpph */, X86::VCMPPHZrmbi, Convert__Reg1_4__Reg1_3__Mem165_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK_VK1 }, },
{ 9221 /* vcmpph */, X86::VCMPPHZ128rmbi, Convert__Reg1_4__Reg1_3__Mem165_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK_VK1 }, },
{ 9221 /* vcmpph */, X86::VCMPPHZ128rrik, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9221 /* vcmpph */, X86::VCMPPHZ256rrik, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9221 /* vcmpph */, X86::VCMPPHZrrik, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9221 /* vcmpph */, X86::VCMPPHZ128rmik, Convert__Reg1_3__Reg1_5__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9221 /* vcmpph */, X86::VCMPPHZ256rmik, Convert__Reg1_3__Reg1_5__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9221 /* vcmpph */, X86::VCMPPHZrmik, Convert__Reg1_3__Reg1_5__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9221 /* vcmpph */, X86::VCMPPHZrribk, Convert__Reg1_4__Reg1_6__Reg1_3__Reg1_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK__123_sae_125_, MCK_VR512, MCK_VR512, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9221 /* vcmpph */, X86::VCMPPHZ256rmbik, Convert__Reg1_4__Reg1_6__Reg1_3__Mem165_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9221 /* vcmpph */, X86::VCMPPHZrmbik, Convert__Reg1_4__Reg1_6__Reg1_3__Mem165_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9221 /* vcmpph */, X86::VCMPPHZ128rmbik, Convert__Reg1_4__Reg1_6__Reg1_3__Mem165_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9228 /* vcmpps */, X86::VCMPPSrri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 9228 /* vcmpps */, X86::VCMPPSYrri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 9228 /* vcmpps */, X86::VCMPPSZ128rri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_VK1 }, },
{ 9228 /* vcmpps */, X86::VCMPPSZ256rri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK_VK1 }, },
{ 9228 /* vcmpps */, X86::VCMPPSZrri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK_VK1 }, },
{ 9228 /* vcmpps */, X86::VCMPPSrmi, Convert__Reg1_3__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 9228 /* vcmpps */, X86::VCMPPSZ128rmi, Convert__Reg1_3__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK_VK1 }, },
{ 9228 /* vcmpps */, X86::VCMPPSYrmi, Convert__Reg1_3__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 9228 /* vcmpps */, X86::VCMPPSZ256rmi, Convert__Reg1_3__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK_VK1 }, },
{ 9228 /* vcmpps */, X86::VCMPPSZrmi, Convert__Reg1_3__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK_VK1 }, },
{ 9228 /* vcmpps */, X86::VCMPPSZrrib, Convert__Reg1_4__Reg1_3__Reg1_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK__123_sae_125_, MCK_VR512, MCK_VR512, MCK_VK1 }, },
{ 9228 /* vcmpps */, X86::VCMPPSZrmbi, Convert__Reg1_4__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VK1 }, },
{ 9228 /* vcmpps */, X86::VCMPPSZ128rmbi, Convert__Reg1_4__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_VK1 }, },
{ 9228 /* vcmpps */, X86::VCMPPSZ256rmbi, Convert__Reg1_4__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VK1 }, },
{ 9228 /* vcmpps */, X86::VCMPPSZ128rrik, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9228 /* vcmpps */, X86::VCMPPSZ256rrik, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9228 /* vcmpps */, X86::VCMPPSZrrik, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9228 /* vcmpps */, X86::VCMPPSZ128rmik, Convert__Reg1_3__Reg1_5__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9228 /* vcmpps */, X86::VCMPPSZ256rmik, Convert__Reg1_3__Reg1_5__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9228 /* vcmpps */, X86::VCMPPSZrmik, Convert__Reg1_3__Reg1_5__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9228 /* vcmpps */, X86::VCMPPSZrribk, Convert__Reg1_4__Reg1_6__Reg1_3__Reg1_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK__123_sae_125_, MCK_VR512, MCK_VR512, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9228 /* vcmpps */, X86::VCMPPSZrmbik, Convert__Reg1_4__Reg1_6__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9228 /* vcmpps */, X86::VCMPPSZ128rmbik, Convert__Reg1_4__Reg1_6__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9228 /* vcmpps */, X86::VCMPPSZ256rmbik, Convert__Reg1_4__Reg1_6__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9235 /* vcmpsd */, X86::VCMPSDrr_Int, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 9235 /* vcmpsd */, X86::VCMPSDZrr_Int, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_VK1 }, },
{ 9235 /* vcmpsd */, X86::VCMPSDrm_Int, Convert__Reg1_3__Reg1_2__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK_FR16, MCK_FR16 }, },
{ 9235 /* vcmpsd */, X86::VCMPSDZrm_Int, Convert__Reg1_3__Reg1_2__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK_FR16X, MCK_VK1 }, },
{ 9235 /* vcmpsd */, X86::VCMPSDZrrb_Int, Convert__Reg1_4__Reg1_3__Reg1_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK__123_sae_125_, MCK_FR16X, MCK_FR16X, MCK_VK1 }, },
{ 9235 /* vcmpsd */, X86::VCMPSDZrr_Intk, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9235 /* vcmpsd */, X86::VCMPSDZrm_Intk, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK_FR16X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9235 /* vcmpsd */, X86::VCMPSDZrrb_Intk, Convert__Reg1_4__Reg1_6__Reg1_3__Reg1_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK__123_sae_125_, MCK_FR16X, MCK_FR16X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9242 /* vcmpsh */, X86::VCMPSHZrr_Int, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_VK1 }, },
{ 9242 /* vcmpsh */, X86::VCMPSHZrm_Int, Convert__Reg1_3__Reg1_2__Mem165_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem16, MCK_FR16X, MCK_VK1 }, },
{ 9242 /* vcmpsh */, X86::VCMPSHZrrb_Int, Convert__Reg1_4__Reg1_3__Reg1_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK__123_sae_125_, MCK_FR16X, MCK_FR16X, MCK_VK1 }, },
{ 9242 /* vcmpsh */, X86::VCMPSHZrr_Intk, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9242 /* vcmpsh */, X86::VCMPSHZrm_Intk, Convert__Reg1_3__Reg1_5__Reg1_2__Mem165_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem16, MCK_FR16X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9242 /* vcmpsh */, X86::VCMPSHZrrb_Intk, Convert__Reg1_4__Reg1_6__Reg1_3__Reg1_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK__123_sae_125_, MCK_FR16X, MCK_FR16X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9249 /* vcmpss */, X86::VCMPSSrr_Int, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 9249 /* vcmpss */, X86::VCMPSSZrr_Int, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_VK1 }, },
{ 9249 /* vcmpss */, X86::VCMPSSrm_Int, Convert__Reg1_3__Reg1_2__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK_FR16, MCK_FR16 }, },
{ 9249 /* vcmpss */, X86::VCMPSSZrm_Int, Convert__Reg1_3__Reg1_2__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK_FR16X, MCK_VK1 }, },
{ 9249 /* vcmpss */, X86::VCMPSSZrrb_Int, Convert__Reg1_4__Reg1_3__Reg1_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK__123_sae_125_, MCK_FR16X, MCK_FR16X, MCK_VK1 }, },
{ 9249 /* vcmpss */, X86::VCMPSSZrr_Intk, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9249 /* vcmpss */, X86::VCMPSSZrm_Intk, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK_FR16X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9249 /* vcmpss */, X86::VCMPSSZrrb_Intk, Convert__Reg1_4__Reg1_6__Reg1_3__Reg1_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK__123_sae_125_, MCK_FR16X, MCK_FR16X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9256 /* vcomisd */, X86::VCOMISDrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 9256 /* vcomisd */, X86::VCOMISDZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 9256 /* vcomisd */, X86::VCOMISDrm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16 }, },
{ 9256 /* vcomisd */, X86::VCOMISDZrm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X }, },
{ 9256 /* vcomisd */, X86::VCOMISDZrrb, Convert__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_FR16X, MCK_FR16X }, },
{ 9264 /* vcomish */, X86::VCOMISHZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 9264 /* vcomish */, X86::VCOMISHZrm, Convert__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16X }, },
{ 9264 /* vcomish */, X86::VCOMISHZrrb, Convert__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_FR16X, MCK_FR16X }, },
{ 9272 /* vcomiss */, X86::VCOMISSrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 9272 /* vcomiss */, X86::VCOMISSZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 9272 /* vcomiss */, X86::VCOMISSrm, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16 }, },
{ 9272 /* vcomiss */, X86::VCOMISSZrm, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X }, },
{ 9272 /* vcomiss */, X86::VCOMISSZrrb, Convert__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_FR16X, MCK_FR16X }, },
{ 9280 /* vcompresspd */, X86::VCOMPRESSPDZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 9280 /* vcompresspd */, X86::VCOMPRESSPDZ128mr, Convert__Mem1285_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_Mem128 }, },
{ 9280 /* vcompresspd */, X86::VCOMPRESSPDZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 9280 /* vcompresspd */, X86::VCOMPRESSPDZ256mr, Convert__Mem2565_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_Mem256 }, },
{ 9280 /* vcompresspd */, X86::VCOMPRESSPDZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 9280 /* vcompresspd */, X86::VCOMPRESSPDZmr, Convert__Mem5125_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_Mem512 }, },
{ 9280 /* vcompresspd */, X86::VCOMPRESSPDZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9280 /* vcompresspd */, X86::VCOMPRESSPDZ128mrk, Convert__Mem1285_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_Mem128, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9280 /* vcompresspd */, X86::VCOMPRESSPDZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9280 /* vcompresspd */, X86::VCOMPRESSPDZ256mrk, Convert__Mem2565_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_Mem256, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9280 /* vcompresspd */, X86::VCOMPRESSPDZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9280 /* vcompresspd */, X86::VCOMPRESSPDZmrk, Convert__Mem5125_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_Mem512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9280 /* vcompresspd */, X86::VCOMPRESSPDZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9280 /* vcompresspd */, X86::VCOMPRESSPDZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9280 /* vcompresspd */, X86::VCOMPRESSPDZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9292 /* vcompressps */, X86::VCOMPRESSPSZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 9292 /* vcompressps */, X86::VCOMPRESSPSZ128mr, Convert__Mem1285_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_Mem128 }, },
{ 9292 /* vcompressps */, X86::VCOMPRESSPSZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 9292 /* vcompressps */, X86::VCOMPRESSPSZ256mr, Convert__Mem2565_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_Mem256 }, },
{ 9292 /* vcompressps */, X86::VCOMPRESSPSZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 9292 /* vcompressps */, X86::VCOMPRESSPSZmr, Convert__Mem5125_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_Mem512 }, },
{ 9292 /* vcompressps */, X86::VCOMPRESSPSZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9292 /* vcompressps */, X86::VCOMPRESSPSZ128mrk, Convert__Mem1285_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_Mem128, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9292 /* vcompressps */, X86::VCOMPRESSPSZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9292 /* vcompressps */, X86::VCOMPRESSPSZ256mrk, Convert__Mem2565_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_Mem256, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9292 /* vcompressps */, X86::VCOMPRESSPSZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9292 /* vcompressps */, X86::VCOMPRESSPSZmrk, Convert__Mem5125_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_Mem512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9292 /* vcompressps */, X86::VCOMPRESSPSZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9292 /* vcompressps */, X86::VCOMPRESSPSZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9292 /* vcompressps */, X86::VCOMPRESSPSZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9304 /* vcvtdq2pd */, X86::VCVTDQ2PDrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 9304 /* vcvtdq2pd */, X86::VCVTDQ2PDYrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_VR256 }, },
{ 9304 /* vcvtdq2pd */, X86::VCVTDQ2PDZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 9304 /* vcvtdq2pd */, X86::VCVTDQ2PDZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X }, },
{ 9304 /* vcvtdq2pd */, X86::VCVTDQ2PDZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR512 }, },
{ 9304 /* vcvtdq2pd */, X86::VCVTDQ2PDYrm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256 }, },
{ 9304 /* vcvtdq2pd */, X86::VCVTDQ2PDZ256rm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256X }, },
{ 9304 /* vcvtdq2pd */, X86::VCVTDQ2PDZrm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR512 }, },
{ 9304 /* vcvtdq2pd */, X86::VCVTDQ2PDrm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16 }, },
{ 9304 /* vcvtdq2pd */, X86::VCVTDQ2PDZ128rm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X }, },
{ 9304 /* vcvtdq2pd */, X86::VCVTDQ2PDZ128rmb, Convert__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to2_125_, MCK_FR16X }, },
{ 9304 /* vcvtdq2pd */, X86::VCVTDQ2PDZ256rmb, Convert__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_VR256X }, },
{ 9304 /* vcvtdq2pd */, X86::VCVTDQ2PDZrmb, Convert__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR512 }, },
{ 9304 /* vcvtdq2pd */, X86::VCVTDQ2PDZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9304 /* vcvtdq2pd */, X86::VCVTDQ2PDZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9304 /* vcvtdq2pd */, X86::VCVTDQ2PDZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9304 /* vcvtdq2pd */, X86::VCVTDQ2PDZ256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9304 /* vcvtdq2pd */, X86::VCVTDQ2PDZrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9304 /* vcvtdq2pd */, X86::VCVTDQ2PDZ128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9304 /* vcvtdq2pd */, X86::VCVTDQ2PDZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9304 /* vcvtdq2pd */, X86::VCVTDQ2PDZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9304 /* vcvtdq2pd */, X86::VCVTDQ2PDZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9304 /* vcvtdq2pd */, X86::VCVTDQ2PDZ256rmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9304 /* vcvtdq2pd */, X86::VCVTDQ2PDZrmkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9304 /* vcvtdq2pd */, X86::VCVTDQ2PDZ128rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9304 /* vcvtdq2pd */, X86::VCVTDQ2PDZ256rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9304 /* vcvtdq2pd */, X86::VCVTDQ2PDZrmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9304 /* vcvtdq2pd */, X86::VCVTDQ2PDZ128rmkz, Convert__Reg1_1__Reg1_3__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9304 /* vcvtdq2pd */, X86::VCVTDQ2PDZ128rmbkz, Convert__Reg1_2__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9304 /* vcvtdq2pd */, X86::VCVTDQ2PDZ256rmbkz, Convert__Reg1_2__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9304 /* vcvtdq2pd */, X86::VCVTDQ2PDZrmbkz, Convert__Reg1_2__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9314 /* vcvtdq2ph */, X86::VCVTDQ2PHZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 9314 /* vcvtdq2ph */, X86::VCVTDQ2PHZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X }, },
{ 9314 /* vcvtdq2ph */, X86::VCVTDQ2PHZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR256X }, },
{ 9314 /* vcvtdq2ph */, X86::VCVTDQ2PHZrm, Convert__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR256X }, },
{ 9314 /* vcvtdq2ph */, X86::VCVTDQ2PHZrrb, Convert__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR256X }, },
{ 9314 /* vcvtdq2ph */, X86::VCVTDQ2PHZrmb, Convert__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR256X }, },
{ 9314 /* vcvtdq2ph */, X86::VCVTDQ2PHZ128rmb, Convert__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X }, },
{ 9314 /* vcvtdq2ph */, X86::VCVTDQ2PHZ256rmb, Convert__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_FR16X }, },
{ 9314 /* vcvtdq2ph */, X86::VCVTDQ2PHZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9314 /* vcvtdq2ph */, X86::VCVTDQ2PHZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9314 /* vcvtdq2ph */, X86::VCVTDQ2PHZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9314 /* vcvtdq2ph */, X86::VCVTDQ2PHZrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9314 /* vcvtdq2ph */, X86::VCVTDQ2PHZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9314 /* vcvtdq2ph */, X86::VCVTDQ2PHZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9314 /* vcvtdq2ph */, X86::VCVTDQ2PHZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9314 /* vcvtdq2ph */, X86::VCVTDQ2PHZrrbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9314 /* vcvtdq2ph */, X86::VCVTDQ2PHZrmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9314 /* vcvtdq2ph */, X86::VCVTDQ2PHZ128rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9314 /* vcvtdq2ph */, X86::VCVTDQ2PHZ256rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9314 /* vcvtdq2ph */, X86::VCVTDQ2PHZrmkz, Convert__Reg1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9314 /* vcvtdq2ph */, X86::VCVTDQ2PHZrrbkz, Convert__Reg1_2__Reg1_4__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9314 /* vcvtdq2ph */, X86::VCVTDQ2PHZrmbkz, Convert__Reg1_2__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9314 /* vcvtdq2ph */, X86::VCVTDQ2PHZ128rmbkz, Convert__Reg1_2__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9314 /* vcvtdq2ph */, X86::VCVTDQ2PHZ256rmbkz, Convert__Reg1_2__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9324 /* vcvtdq2phx */, X86::VCVTDQ2PHZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 9324 /* vcvtdq2phx */, X86::VCVTDQ2PHZ128rm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X }, },
{ 9324 /* vcvtdq2phx */, X86::VCVTDQ2PHZ128rmb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X }, },
{ 9324 /* vcvtdq2phx */, X86::VCVTDQ2PHZ128rrk, Convert__Reg1_1__Tie0_2_2__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9324 /* vcvtdq2phx */, X86::VCVTDQ2PHZ128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9324 /* vcvtdq2phx */, X86::VCVTDQ2PHZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9324 /* vcvtdq2phx */, X86::VCVTDQ2PHZ128rmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9324 /* vcvtdq2phx */, X86::VCVTDQ2PHZ128rmbk, Convert__Reg1_2__Tie0_3_3__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9324 /* vcvtdq2phx */, X86::VCVTDQ2PHZ128rmbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9335 /* vcvtdq2phy */, X86::VCVTDQ2PHZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X }, },
{ 9335 /* vcvtdq2phy */, X86::VCVTDQ2PHZ256rm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_FR16X }, },
{ 9335 /* vcvtdq2phy */, X86::VCVTDQ2PHZ256rmb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_FR16X }, },
{ 9335 /* vcvtdq2phy */, X86::VCVTDQ2PHZ256rrk, Convert__Reg1_1__Tie0_2_2__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9335 /* vcvtdq2phy */, X86::VCVTDQ2PHZ256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9335 /* vcvtdq2phy */, X86::VCVTDQ2PHZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9335 /* vcvtdq2phy */, X86::VCVTDQ2PHZ256rmkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9335 /* vcvtdq2phy */, X86::VCVTDQ2PHZ256rmbk, Convert__Reg1_2__Tie0_3_3__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9335 /* vcvtdq2phy */, X86::VCVTDQ2PHZ256rmbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9346 /* vcvtdq2ps */, X86::VCVTDQ2PSrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 9346 /* vcvtdq2ps */, X86::VCVTDQ2PSYrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256 }, },
{ 9346 /* vcvtdq2ps */, X86::VCVTDQ2PSZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 9346 /* vcvtdq2ps */, X86::VCVTDQ2PSZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 9346 /* vcvtdq2ps */, X86::VCVTDQ2PSZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 9346 /* vcvtdq2ps */, X86::VCVTDQ2PSrm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 9346 /* vcvtdq2ps */, X86::VCVTDQ2PSZ128rm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X }, },
{ 9346 /* vcvtdq2ps */, X86::VCVTDQ2PSYrm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256 }, },
{ 9346 /* vcvtdq2ps */, X86::VCVTDQ2PSZ256rm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X }, },
{ 9346 /* vcvtdq2ps */, X86::VCVTDQ2PSZrm, Convert__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512 }, },
{ 9346 /* vcvtdq2ps */, X86::VCVTDQ2PSZrrb, Convert__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512 }, },
{ 9346 /* vcvtdq2ps */, X86::VCVTDQ2PSZrmb, Convert__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512 }, },
{ 9346 /* vcvtdq2ps */, X86::VCVTDQ2PSZ128rmb, Convert__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X }, },
{ 9346 /* vcvtdq2ps */, X86::VCVTDQ2PSZ256rmb, Convert__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X }, },
{ 9346 /* vcvtdq2ps */, X86::VCVTDQ2PSZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9346 /* vcvtdq2ps */, X86::VCVTDQ2PSZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9346 /* vcvtdq2ps */, X86::VCVTDQ2PSZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9346 /* vcvtdq2ps */, X86::VCVTDQ2PSZ128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9346 /* vcvtdq2ps */, X86::VCVTDQ2PSZ256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9346 /* vcvtdq2ps */, X86::VCVTDQ2PSZrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9346 /* vcvtdq2ps */, X86::VCVTDQ2PSZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9346 /* vcvtdq2ps */, X86::VCVTDQ2PSZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9346 /* vcvtdq2ps */, X86::VCVTDQ2PSZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9346 /* vcvtdq2ps */, X86::VCVTDQ2PSZrrbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9346 /* vcvtdq2ps */, X86::VCVTDQ2PSZ128rmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9346 /* vcvtdq2ps */, X86::VCVTDQ2PSZ256rmkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9346 /* vcvtdq2ps */, X86::VCVTDQ2PSZrmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9346 /* vcvtdq2ps */, X86::VCVTDQ2PSZ128rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9346 /* vcvtdq2ps */, X86::VCVTDQ2PSZ256rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9346 /* vcvtdq2ps */, X86::VCVTDQ2PSZrmkz, Convert__Reg1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9346 /* vcvtdq2ps */, X86::VCVTDQ2PSZrrbkz, Convert__Reg1_2__Reg1_4__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9346 /* vcvtdq2ps */, X86::VCVTDQ2PSZrmbkz, Convert__Reg1_2__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9346 /* vcvtdq2ps */, X86::VCVTDQ2PSZ128rmbkz, Convert__Reg1_2__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9346 /* vcvtdq2ps */, X86::VCVTDQ2PSZ256rmbkz, Convert__Reg1_2__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9356 /* vcvtne2ps2bf16 */, X86::VCVTNE2PS2BF16Z128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 9356 /* vcvtne2ps2bf16 */, X86::VCVTNE2PS2BF16Z256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 9356 /* vcvtne2ps2bf16 */, X86::VCVTNE2PS2BF16Zrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 9356 /* vcvtne2ps2bf16 */, X86::VCVTNE2PS2BF16Z128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 9356 /* vcvtne2ps2bf16 */, X86::VCVTNE2PS2BF16Z256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 9356 /* vcvtne2ps2bf16 */, X86::VCVTNE2PS2BF16Zrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 9356 /* vcvtne2ps2bf16 */, X86::VCVTNE2PS2BF16Zrmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512 }, },
{ 9356 /* vcvtne2ps2bf16 */, X86::VCVTNE2PS2BF16Z128rmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X }, },
{ 9356 /* vcvtne2ps2bf16 */, X86::VCVTNE2PS2BF16Z256rmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X }, },
{ 9356 /* vcvtne2ps2bf16 */, X86::VCVTNE2PS2BF16Z128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9356 /* vcvtne2ps2bf16 */, X86::VCVTNE2PS2BF16Z256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9356 /* vcvtne2ps2bf16 */, X86::VCVTNE2PS2BF16Zrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9356 /* vcvtne2ps2bf16 */, X86::VCVTNE2PS2BF16Z128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9356 /* vcvtne2ps2bf16 */, X86::VCVTNE2PS2BF16Z256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9356 /* vcvtne2ps2bf16 */, X86::VCVTNE2PS2BF16Zrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9356 /* vcvtne2ps2bf16 */, X86::VCVTNE2PS2BF16Z128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9356 /* vcvtne2ps2bf16 */, X86::VCVTNE2PS2BF16Z256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9356 /* vcvtne2ps2bf16 */, X86::VCVTNE2PS2BF16Zrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9356 /* vcvtne2ps2bf16 */, X86::VCVTNE2PS2BF16Z128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9356 /* vcvtne2ps2bf16 */, X86::VCVTNE2PS2BF16Z256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9356 /* vcvtne2ps2bf16 */, X86::VCVTNE2PS2BF16Zrmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9356 /* vcvtne2ps2bf16 */, X86::VCVTNE2PS2BF16Z128rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9356 /* vcvtne2ps2bf16 */, X86::VCVTNE2PS2BF16Z256rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9356 /* vcvtne2ps2bf16 */, X86::VCVTNE2PS2BF16Zrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9356 /* vcvtne2ps2bf16 */, X86::VCVTNE2PS2BF16Zrmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9356 /* vcvtne2ps2bf16 */, X86::VCVTNE2PS2BF16Z128rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9356 /* vcvtne2ps2bf16 */, X86::VCVTNE2PS2BF16Z256rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9371 /* vcvtneebf162ps */, X86::VCVTNEEBF162PSrm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 9371 /* vcvtneebf162ps */, X86::VCVTNEEBF162PSYrm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256 }, },
{ 9386 /* vcvtneeph2ps */, X86::VCVTNEEPH2PSrm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 9386 /* vcvtneeph2ps */, X86::VCVTNEEPH2PSYrm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256 }, },
{ 9399 /* vcvtneobf162ps */, X86::VCVTNEOBF162PSrm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 9399 /* vcvtneobf162ps */, X86::VCVTNEOBF162PSYrm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256 }, },
{ 9414 /* vcvtneoph2ps */, X86::VCVTNEOPH2PSrm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 9414 /* vcvtneoph2ps */, X86::VCVTNEOPH2PSYrm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256 }, },
{ 9427 /* vcvtneps2bf16 */, X86::VCVTNEPS2BF16rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 9427 /* vcvtneps2bf16 */, X86::VCVTNEPS2BF16Yrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_FR16 }, },
{ 9427 /* vcvtneps2bf16 */, X86::VCVTNEPS2BF16Z128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 9427 /* vcvtneps2bf16 */, X86::VCVTNEPS2BF16Z256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X }, },
{ 9427 /* vcvtneps2bf16 */, X86::VCVTNEPS2BF16Zrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR256X }, },
{ 9427 /* vcvtneps2bf16 */, X86::VCVTNEPS2BF16Zrm, Convert__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR256X }, },
{ 9427 /* vcvtneps2bf16 */, X86::VCVTNEPS2BF16Zrmb, Convert__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR256X }, },
{ 9427 /* vcvtneps2bf16 */, X86::VCVTNEPS2BF16Z128rmb, Convert__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X }, },
{ 9427 /* vcvtneps2bf16 */, X86::VCVTNEPS2BF16Z256rmb, Convert__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_FR16X }, },
{ 9427 /* vcvtneps2bf16 */, X86::VCVTNEPS2BF16Z128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9427 /* vcvtneps2bf16 */, X86::VCVTNEPS2BF16Z256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9427 /* vcvtneps2bf16 */, X86::VCVTNEPS2BF16Zrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9427 /* vcvtneps2bf16 */, X86::VCVTNEPS2BF16Zrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9427 /* vcvtneps2bf16 */, X86::VCVTNEPS2BF16Z128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9427 /* vcvtneps2bf16 */, X86::VCVTNEPS2BF16Z256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9427 /* vcvtneps2bf16 */, X86::VCVTNEPS2BF16Zrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9427 /* vcvtneps2bf16 */, X86::VCVTNEPS2BF16Zrmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9427 /* vcvtneps2bf16 */, X86::VCVTNEPS2BF16Z128rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9427 /* vcvtneps2bf16 */, X86::VCVTNEPS2BF16Z256rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9427 /* vcvtneps2bf16 */, X86::VCVTNEPS2BF16Zrmkz, Convert__Reg1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9427 /* vcvtneps2bf16 */, X86::VCVTNEPS2BF16Zrmbkz, Convert__Reg1_2__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9427 /* vcvtneps2bf16 */, X86::VCVTNEPS2BF16Z128rmbkz, Convert__Reg1_2__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9427 /* vcvtneps2bf16 */, X86::VCVTNEPS2BF16Z256rmbkz, Convert__Reg1_2__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9441 /* vcvtneps2bf16x */, X86::VCVTNEPS2BF16rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 9441 /* vcvtneps2bf16x */, X86::VCVTNEPS2BF16Z128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 9441 /* vcvtneps2bf16x */, X86::VCVTNEPS2BF16rm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 9441 /* vcvtneps2bf16x */, X86::VCVTNEPS2BF16Z128rm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X }, },
{ 9441 /* vcvtneps2bf16x */, X86::VCVTNEPS2BF16Z128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9441 /* vcvtneps2bf16x */, X86::VCVTNEPS2BF16Z128rmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9456 /* vcvtneps2bf16y */, X86::VCVTNEPS2BF16Yrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_FR16 }, },
{ 9456 /* vcvtneps2bf16y */, X86::VCVTNEPS2BF16Z256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X }, },
{ 9456 /* vcvtneps2bf16y */, X86::VCVTNEPS2BF16Yrm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_FR16 }, },
{ 9456 /* vcvtneps2bf16y */, X86::VCVTNEPS2BF16Z256rm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_FR16X }, },
{ 9456 /* vcvtneps2bf16y */, X86::VCVTNEPS2BF16Z256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9456 /* vcvtneps2bf16y */, X86::VCVTNEPS2BF16Z256rmkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9471 /* vcvtpd2dq */, X86::VCVTPD2DQrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 9471 /* vcvtpd2dq */, X86::VCVTPD2DQYrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_FR16 }, },
{ 9471 /* vcvtpd2dq */, X86::VCVTPD2DQZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 9471 /* vcvtpd2dq */, X86::VCVTPD2DQZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X }, },
{ 9471 /* vcvtpd2dq */, X86::VCVTPD2DQZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR256X }, },
{ 9471 /* vcvtpd2dq */, X86::VCVTPD2DQZrm, Convert__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR256X }, },
{ 9471 /* vcvtpd2dq */, X86::VCVTPD2DQZrrb, Convert__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR256X }, },
{ 9471 /* vcvtpd2dq */, X86::VCVTPD2DQZ128rmb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X }, },
{ 9471 /* vcvtpd2dq */, X86::VCVTPD2DQZ256rmb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_FR16X }, },
{ 9471 /* vcvtpd2dq */, X86::VCVTPD2DQZrmb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR256X }, },
{ 9471 /* vcvtpd2dq */, X86::VCVTPD2DQZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9471 /* vcvtpd2dq */, X86::VCVTPD2DQZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9471 /* vcvtpd2dq */, X86::VCVTPD2DQZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9471 /* vcvtpd2dq */, X86::VCVTPD2DQZrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9471 /* vcvtpd2dq */, X86::VCVTPD2DQZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9471 /* vcvtpd2dq */, X86::VCVTPD2DQZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9471 /* vcvtpd2dq */, X86::VCVTPD2DQZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9471 /* vcvtpd2dq */, X86::VCVTPD2DQZrrbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9471 /* vcvtpd2dq */, X86::VCVTPD2DQZrmkz, Convert__Reg1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9471 /* vcvtpd2dq */, X86::VCVTPD2DQZ128rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9471 /* vcvtpd2dq */, X86::VCVTPD2DQZ256rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9471 /* vcvtpd2dq */, X86::VCVTPD2DQZrmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9471 /* vcvtpd2dq */, X86::VCVTPD2DQZrrbkz, Convert__Reg1_2__Reg1_4__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9471 /* vcvtpd2dq */, X86::VCVTPD2DQZ128rmbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9471 /* vcvtpd2dq */, X86::VCVTPD2DQZ256rmbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9471 /* vcvtpd2dq */, X86::VCVTPD2DQZrmbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9481 /* vcvtpd2dqx */, X86::VCVTPD2DQrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 9481 /* vcvtpd2dqx */, X86::VCVTPD2DQZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 9481 /* vcvtpd2dqx */, X86::VCVTPD2DQrm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 9481 /* vcvtpd2dqx */, X86::VCVTPD2DQZ128rm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X }, },
{ 9481 /* vcvtpd2dqx */, X86::VCVTPD2DQZ128rmb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X }, },
{ 9481 /* vcvtpd2dqx */, X86::VCVTPD2DQZ128rrk, Convert__Reg1_1__Tie0_2_2__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9481 /* vcvtpd2dqx */, X86::VCVTPD2DQZ128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9481 /* vcvtpd2dqx */, X86::VCVTPD2DQZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9481 /* vcvtpd2dqx */, X86::VCVTPD2DQZ128rmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9481 /* vcvtpd2dqx */, X86::VCVTPD2DQZ128rmbk, Convert__Reg1_2__Tie0_3_3__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9481 /* vcvtpd2dqx */, X86::VCVTPD2DQZ128rmbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9492 /* vcvtpd2dqy */, X86::VCVTPD2DQYrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_FR16 }, },
{ 9492 /* vcvtpd2dqy */, X86::VCVTPD2DQZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X }, },
{ 9492 /* vcvtpd2dqy */, X86::VCVTPD2DQYrm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_FR16 }, },
{ 9492 /* vcvtpd2dqy */, X86::VCVTPD2DQZ256rm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_FR16X }, },
{ 9492 /* vcvtpd2dqy */, X86::VCVTPD2DQZ256rmb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_FR16X }, },
{ 9492 /* vcvtpd2dqy */, X86::VCVTPD2DQZ256rrk, Convert__Reg1_1__Tie0_2_2__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9492 /* vcvtpd2dqy */, X86::VCVTPD2DQZ256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9492 /* vcvtpd2dqy */, X86::VCVTPD2DQZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9492 /* vcvtpd2dqy */, X86::VCVTPD2DQZ256rmkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9492 /* vcvtpd2dqy */, X86::VCVTPD2DQZ256rmbk, Convert__Reg1_2__Tie0_3_3__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9492 /* vcvtpd2dqy */, X86::VCVTPD2DQZ256rmbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9503 /* vcvtpd2ph */, X86::VCVTPD2PHZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 9503 /* vcvtpd2ph */, X86::VCVTPD2PHZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X }, },
{ 9503 /* vcvtpd2ph */, X86::VCVTPD2PHZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_FR16X }, },
{ 9503 /* vcvtpd2ph */, X86::VCVTPD2PHZrrb, Convert__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_FR16X }, },
{ 9503 /* vcvtpd2ph */, X86::VCVTPD2PHZ128rmb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X }, },
{ 9503 /* vcvtpd2ph */, X86::VCVTPD2PHZ256rmb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_FR16X }, },
{ 9503 /* vcvtpd2ph */, X86::VCVTPD2PHZrmb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_FR16X }, },
{ 9503 /* vcvtpd2ph */, X86::VCVTPD2PHZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9503 /* vcvtpd2ph */, X86::VCVTPD2PHZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9503 /* vcvtpd2ph */, X86::VCVTPD2PHZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9503 /* vcvtpd2ph */, X86::VCVTPD2PHZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9503 /* vcvtpd2ph */, X86::VCVTPD2PHZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9503 /* vcvtpd2ph */, X86::VCVTPD2PHZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9503 /* vcvtpd2ph */, X86::VCVTPD2PHZrrbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9503 /* vcvtpd2ph */, X86::VCVTPD2PHZ128rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9503 /* vcvtpd2ph */, X86::VCVTPD2PHZ256rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9503 /* vcvtpd2ph */, X86::VCVTPD2PHZrmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9503 /* vcvtpd2ph */, X86::VCVTPD2PHZrrbkz, Convert__Reg1_2__Reg1_4__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9503 /* vcvtpd2ph */, X86::VCVTPD2PHZ128rmbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9503 /* vcvtpd2ph */, X86::VCVTPD2PHZ256rmbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9503 /* vcvtpd2ph */, X86::VCVTPD2PHZrmbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9513 /* vcvtpd2phx */, X86::VCVTPD2PHZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 9513 /* vcvtpd2phx */, X86::VCVTPD2PHZ128rm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X }, },
{ 9513 /* vcvtpd2phx */, X86::VCVTPD2PHZ128rmb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X }, },
{ 9513 /* vcvtpd2phx */, X86::VCVTPD2PHZ128rrk, Convert__Reg1_1__Tie0_2_2__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9513 /* vcvtpd2phx */, X86::VCVTPD2PHZ128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9513 /* vcvtpd2phx */, X86::VCVTPD2PHZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9513 /* vcvtpd2phx */, X86::VCVTPD2PHZ128rmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9513 /* vcvtpd2phx */, X86::VCVTPD2PHZ128rmbk, Convert__Reg1_2__Tie0_3_3__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9513 /* vcvtpd2phx */, X86::VCVTPD2PHZ128rmbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9524 /* vcvtpd2phy */, X86::VCVTPD2PHZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X }, },
{ 9524 /* vcvtpd2phy */, X86::VCVTPD2PHZ256rm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_FR16X }, },
{ 9524 /* vcvtpd2phy */, X86::VCVTPD2PHZ256rmb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_FR16X }, },
{ 9524 /* vcvtpd2phy */, X86::VCVTPD2PHZ256rrk, Convert__Reg1_1__Tie0_2_2__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9524 /* vcvtpd2phy */, X86::VCVTPD2PHZ256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9524 /* vcvtpd2phy */, X86::VCVTPD2PHZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9524 /* vcvtpd2phy */, X86::VCVTPD2PHZ256rmkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9524 /* vcvtpd2phy */, X86::VCVTPD2PHZ256rmbk, Convert__Reg1_2__Tie0_3_3__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9524 /* vcvtpd2phy */, X86::VCVTPD2PHZ256rmbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9535 /* vcvtpd2phz */, X86::VCVTPD2PHZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_FR16X }, },
{ 9535 /* vcvtpd2phz */, X86::VCVTPD2PHZrm, Convert__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_FR16X }, },
{ 9535 /* vcvtpd2phz */, X86::VCVTPD2PHZrmb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_FR16X }, },
{ 9535 /* vcvtpd2phz */, X86::VCVTPD2PHZrrk, Convert__Reg1_1__Tie0_2_2__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9535 /* vcvtpd2phz */, X86::VCVTPD2PHZrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9535 /* vcvtpd2phz */, X86::VCVTPD2PHZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9535 /* vcvtpd2phz */, X86::VCVTPD2PHZrmkz, Convert__Reg1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9535 /* vcvtpd2phz */, X86::VCVTPD2PHZrmbk, Convert__Reg1_2__Tie0_3_3__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9535 /* vcvtpd2phz */, X86::VCVTPD2PHZrmbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9546 /* vcvtpd2ps */, X86::VCVTPD2PSrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 9546 /* vcvtpd2ps */, X86::VCVTPD2PSYrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_FR16 }, },
{ 9546 /* vcvtpd2ps */, X86::VCVTPD2PSZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 9546 /* vcvtpd2ps */, X86::VCVTPD2PSZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X }, },
{ 9546 /* vcvtpd2ps */, X86::VCVTPD2PSZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR256X }, },
{ 9546 /* vcvtpd2ps */, X86::VCVTPD2PSZrm, Convert__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR256X }, },
{ 9546 /* vcvtpd2ps */, X86::VCVTPD2PSZrrb, Convert__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR256X }, },
{ 9546 /* vcvtpd2ps */, X86::VCVTPD2PSZ128rmb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X }, },
{ 9546 /* vcvtpd2ps */, X86::VCVTPD2PSZ256rmb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_FR16X }, },
{ 9546 /* vcvtpd2ps */, X86::VCVTPD2PSZrmb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR256X }, },
{ 9546 /* vcvtpd2ps */, X86::VCVTPD2PSZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9546 /* vcvtpd2ps */, X86::VCVTPD2PSZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9546 /* vcvtpd2ps */, X86::VCVTPD2PSZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9546 /* vcvtpd2ps */, X86::VCVTPD2PSZrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9546 /* vcvtpd2ps */, X86::VCVTPD2PSZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9546 /* vcvtpd2ps */, X86::VCVTPD2PSZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9546 /* vcvtpd2ps */, X86::VCVTPD2PSZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9546 /* vcvtpd2ps */, X86::VCVTPD2PSZrrbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9546 /* vcvtpd2ps */, X86::VCVTPD2PSZrmkz, Convert__Reg1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9546 /* vcvtpd2ps */, X86::VCVTPD2PSZ128rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9546 /* vcvtpd2ps */, X86::VCVTPD2PSZ256rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9546 /* vcvtpd2ps */, X86::VCVTPD2PSZrmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9546 /* vcvtpd2ps */, X86::VCVTPD2PSZrrbkz, Convert__Reg1_2__Reg1_4__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9546 /* vcvtpd2ps */, X86::VCVTPD2PSZ128rmbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9546 /* vcvtpd2ps */, X86::VCVTPD2PSZ256rmbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9546 /* vcvtpd2ps */, X86::VCVTPD2PSZrmbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9556 /* vcvtpd2psx */, X86::VCVTPD2PSrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 9556 /* vcvtpd2psx */, X86::VCVTPD2PSZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 9556 /* vcvtpd2psx */, X86::VCVTPD2PSrm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 9556 /* vcvtpd2psx */, X86::VCVTPD2PSZ128rm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X }, },
{ 9556 /* vcvtpd2psx */, X86::VCVTPD2PSZ128rmb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X }, },
{ 9556 /* vcvtpd2psx */, X86::VCVTPD2PSZ128rrk, Convert__Reg1_1__Tie0_2_2__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9556 /* vcvtpd2psx */, X86::VCVTPD2PSZ128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9556 /* vcvtpd2psx */, X86::VCVTPD2PSZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9556 /* vcvtpd2psx */, X86::VCVTPD2PSZ128rmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9556 /* vcvtpd2psx */, X86::VCVTPD2PSZ128rmbk, Convert__Reg1_2__Tie0_3_3__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9556 /* vcvtpd2psx */, X86::VCVTPD2PSZ128rmbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9567 /* vcvtpd2psy */, X86::VCVTPD2PSYrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_FR16 }, },
{ 9567 /* vcvtpd2psy */, X86::VCVTPD2PSZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X }, },
{ 9567 /* vcvtpd2psy */, X86::VCVTPD2PSYrm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_FR16 }, },
{ 9567 /* vcvtpd2psy */, X86::VCVTPD2PSZ256rm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_FR16X }, },
{ 9567 /* vcvtpd2psy */, X86::VCVTPD2PSZ256rmb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_FR16X }, },
{ 9567 /* vcvtpd2psy */, X86::VCVTPD2PSZ256rrk, Convert__Reg1_1__Tie0_2_2__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9567 /* vcvtpd2psy */, X86::VCVTPD2PSZ256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9567 /* vcvtpd2psy */, X86::VCVTPD2PSZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9567 /* vcvtpd2psy */, X86::VCVTPD2PSZ256rmkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9567 /* vcvtpd2psy */, X86::VCVTPD2PSZ256rmbk, Convert__Reg1_2__Tie0_3_3__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9567 /* vcvtpd2psy */, X86::VCVTPD2PSZ256rmbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9578 /* vcvtpd2qq */, X86::VCVTPD2QQZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 9578 /* vcvtpd2qq */, X86::VCVTPD2QQZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 9578 /* vcvtpd2qq */, X86::VCVTPD2QQZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 9578 /* vcvtpd2qq */, X86::VCVTPD2QQZ128rm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X }, },
{ 9578 /* vcvtpd2qq */, X86::VCVTPD2QQZ256rm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X }, },
{ 9578 /* vcvtpd2qq */, X86::VCVTPD2QQZrm, Convert__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512 }, },
{ 9578 /* vcvtpd2qq */, X86::VCVTPD2QQZrrb, Convert__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512 }, },
{ 9578 /* vcvtpd2qq */, X86::VCVTPD2QQZ128rmb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X }, },
{ 9578 /* vcvtpd2qq */, X86::VCVTPD2QQZ256rmb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X }, },
{ 9578 /* vcvtpd2qq */, X86::VCVTPD2QQZrmb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512 }, },
{ 9578 /* vcvtpd2qq */, X86::VCVTPD2QQZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9578 /* vcvtpd2qq */, X86::VCVTPD2QQZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9578 /* vcvtpd2qq */, X86::VCVTPD2QQZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9578 /* vcvtpd2qq */, X86::VCVTPD2QQZ128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9578 /* vcvtpd2qq */, X86::VCVTPD2QQZ256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9578 /* vcvtpd2qq */, X86::VCVTPD2QQZrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9578 /* vcvtpd2qq */, X86::VCVTPD2QQZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9578 /* vcvtpd2qq */, X86::VCVTPD2QQZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9578 /* vcvtpd2qq */, X86::VCVTPD2QQZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9578 /* vcvtpd2qq */, X86::VCVTPD2QQZrrbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9578 /* vcvtpd2qq */, X86::VCVTPD2QQZ128rmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9578 /* vcvtpd2qq */, X86::VCVTPD2QQZ256rmkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9578 /* vcvtpd2qq */, X86::VCVTPD2QQZrmkz, Convert__Reg1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9578 /* vcvtpd2qq */, X86::VCVTPD2QQZ128rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9578 /* vcvtpd2qq */, X86::VCVTPD2QQZ256rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9578 /* vcvtpd2qq */, X86::VCVTPD2QQZrmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9578 /* vcvtpd2qq */, X86::VCVTPD2QQZrrbkz, Convert__Reg1_2__Reg1_4__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9578 /* vcvtpd2qq */, X86::VCVTPD2QQZ128rmbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9578 /* vcvtpd2qq */, X86::VCVTPD2QQZ256rmbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9578 /* vcvtpd2qq */, X86::VCVTPD2QQZrmbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9588 /* vcvtpd2udq */, X86::VCVTPD2UDQZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 9588 /* vcvtpd2udq */, X86::VCVTPD2UDQZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X }, },
{ 9588 /* vcvtpd2udq */, X86::VCVTPD2UDQZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR256X }, },
{ 9588 /* vcvtpd2udq */, X86::VCVTPD2UDQZrm, Convert__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR256X }, },
{ 9588 /* vcvtpd2udq */, X86::VCVTPD2UDQZrrb, Convert__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR256X }, },
{ 9588 /* vcvtpd2udq */, X86::VCVTPD2UDQZ128rmb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X }, },
{ 9588 /* vcvtpd2udq */, X86::VCVTPD2UDQZ256rmb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_FR16X }, },
{ 9588 /* vcvtpd2udq */, X86::VCVTPD2UDQZrmb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR256X }, },
{ 9588 /* vcvtpd2udq */, X86::VCVTPD2UDQZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9588 /* vcvtpd2udq */, X86::VCVTPD2UDQZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9588 /* vcvtpd2udq */, X86::VCVTPD2UDQZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9588 /* vcvtpd2udq */, X86::VCVTPD2UDQZrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9588 /* vcvtpd2udq */, X86::VCVTPD2UDQZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9588 /* vcvtpd2udq */, X86::VCVTPD2UDQZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9588 /* vcvtpd2udq */, X86::VCVTPD2UDQZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9588 /* vcvtpd2udq */, X86::VCVTPD2UDQZrrbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9588 /* vcvtpd2udq */, X86::VCVTPD2UDQZrmkz, Convert__Reg1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9588 /* vcvtpd2udq */, X86::VCVTPD2UDQZ128rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9588 /* vcvtpd2udq */, X86::VCVTPD2UDQZ256rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9588 /* vcvtpd2udq */, X86::VCVTPD2UDQZrmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9588 /* vcvtpd2udq */, X86::VCVTPD2UDQZrrbkz, Convert__Reg1_2__Reg1_4__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9588 /* vcvtpd2udq */, X86::VCVTPD2UDQZ128rmbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9588 /* vcvtpd2udq */, X86::VCVTPD2UDQZ256rmbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9588 /* vcvtpd2udq */, X86::VCVTPD2UDQZrmbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9599 /* vcvtpd2udqx */, X86::VCVTPD2UDQZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 9599 /* vcvtpd2udqx */, X86::VCVTPD2UDQZ128rm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X }, },
{ 9599 /* vcvtpd2udqx */, X86::VCVTPD2UDQZ128rmb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X }, },
{ 9599 /* vcvtpd2udqx */, X86::VCVTPD2UDQZ128rrk, Convert__Reg1_1__Tie0_2_2__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9599 /* vcvtpd2udqx */, X86::VCVTPD2UDQZ128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9599 /* vcvtpd2udqx */, X86::VCVTPD2UDQZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9599 /* vcvtpd2udqx */, X86::VCVTPD2UDQZ128rmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9599 /* vcvtpd2udqx */, X86::VCVTPD2UDQZ128rmbk, Convert__Reg1_2__Tie0_3_3__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9599 /* vcvtpd2udqx */, X86::VCVTPD2UDQZ128rmbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9611 /* vcvtpd2udqy */, X86::VCVTPD2UDQZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X }, },
{ 9611 /* vcvtpd2udqy */, X86::VCVTPD2UDQZ256rm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_FR16X }, },
{ 9611 /* vcvtpd2udqy */, X86::VCVTPD2UDQZ256rmb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_FR16X }, },
{ 9611 /* vcvtpd2udqy */, X86::VCVTPD2UDQZ256rrk, Convert__Reg1_1__Tie0_2_2__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9611 /* vcvtpd2udqy */, X86::VCVTPD2UDQZ256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9611 /* vcvtpd2udqy */, X86::VCVTPD2UDQZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9611 /* vcvtpd2udqy */, X86::VCVTPD2UDQZ256rmkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9611 /* vcvtpd2udqy */, X86::VCVTPD2UDQZ256rmbk, Convert__Reg1_2__Tie0_3_3__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9611 /* vcvtpd2udqy */, X86::VCVTPD2UDQZ256rmbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9623 /* vcvtpd2uqq */, X86::VCVTPD2UQQZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 9623 /* vcvtpd2uqq */, X86::VCVTPD2UQQZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 9623 /* vcvtpd2uqq */, X86::VCVTPD2UQQZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 9623 /* vcvtpd2uqq */, X86::VCVTPD2UQQZ128rm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X }, },
{ 9623 /* vcvtpd2uqq */, X86::VCVTPD2UQQZ256rm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X }, },
{ 9623 /* vcvtpd2uqq */, X86::VCVTPD2UQQZrm, Convert__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512 }, },
{ 9623 /* vcvtpd2uqq */, X86::VCVTPD2UQQZrrb, Convert__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512 }, },
{ 9623 /* vcvtpd2uqq */, X86::VCVTPD2UQQZ128rmb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X }, },
{ 9623 /* vcvtpd2uqq */, X86::VCVTPD2UQQZ256rmb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X }, },
{ 9623 /* vcvtpd2uqq */, X86::VCVTPD2UQQZrmb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512 }, },
{ 9623 /* vcvtpd2uqq */, X86::VCVTPD2UQQZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9623 /* vcvtpd2uqq */, X86::VCVTPD2UQQZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9623 /* vcvtpd2uqq */, X86::VCVTPD2UQQZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9623 /* vcvtpd2uqq */, X86::VCVTPD2UQQZ128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9623 /* vcvtpd2uqq */, X86::VCVTPD2UQQZ256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9623 /* vcvtpd2uqq */, X86::VCVTPD2UQQZrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9623 /* vcvtpd2uqq */, X86::VCVTPD2UQQZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9623 /* vcvtpd2uqq */, X86::VCVTPD2UQQZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9623 /* vcvtpd2uqq */, X86::VCVTPD2UQQZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9623 /* vcvtpd2uqq */, X86::VCVTPD2UQQZrrbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9623 /* vcvtpd2uqq */, X86::VCVTPD2UQQZ128rmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9623 /* vcvtpd2uqq */, X86::VCVTPD2UQQZ256rmkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9623 /* vcvtpd2uqq */, X86::VCVTPD2UQQZrmkz, Convert__Reg1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9623 /* vcvtpd2uqq */, X86::VCVTPD2UQQZ128rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9623 /* vcvtpd2uqq */, X86::VCVTPD2UQQZ256rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9623 /* vcvtpd2uqq */, X86::VCVTPD2UQQZrmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9623 /* vcvtpd2uqq */, X86::VCVTPD2UQQZrrbkz, Convert__Reg1_2__Reg1_4__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9623 /* vcvtpd2uqq */, X86::VCVTPD2UQQZ128rmbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9623 /* vcvtpd2uqq */, X86::VCVTPD2UQQZ256rmbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9623 /* vcvtpd2uqq */, X86::VCVTPD2UQQZrmbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9634 /* vcvtph2dq */, X86::VCVTPH2DQZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 9634 /* vcvtph2dq */, X86::VCVTPH2DQZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X }, },
{ 9634 /* vcvtph2dq */, X86::VCVTPH2DQZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR512 }, },
{ 9634 /* vcvtph2dq */, X86::VCVTPH2DQZ256rm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256X }, },
{ 9634 /* vcvtph2dq */, X86::VCVTPH2DQZrm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR512 }, },
{ 9634 /* vcvtph2dq */, X86::VCVTPH2DQZ128rm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X }, },
{ 9634 /* vcvtph2dq */, X86::VCVTPH2DQZrrb, Convert__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR256X, MCK_VR512 }, },
{ 9634 /* vcvtph2dq */, X86::VCVTPH2DQZrmb, Convert__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR512 }, },
{ 9634 /* vcvtph2dq */, X86::VCVTPH2DQZ128rmb, Convert__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to4_125_, MCK_FR16X }, },
{ 9634 /* vcvtph2dq */, X86::VCVTPH2DQZ256rmb, Convert__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_VR256X }, },
{ 9634 /* vcvtph2dq */, X86::VCVTPH2DQZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9634 /* vcvtph2dq */, X86::VCVTPH2DQZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9634 /* vcvtph2dq */, X86::VCVTPH2DQZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9634 /* vcvtph2dq */, X86::VCVTPH2DQZ256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9634 /* vcvtph2dq */, X86::VCVTPH2DQZrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9634 /* vcvtph2dq */, X86::VCVTPH2DQZ128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9634 /* vcvtph2dq */, X86::VCVTPH2DQZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9634 /* vcvtph2dq */, X86::VCVTPH2DQZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9634 /* vcvtph2dq */, X86::VCVTPH2DQZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9634 /* vcvtph2dq */, X86::VCVTPH2DQZrrbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR256X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9634 /* vcvtph2dq */, X86::VCVTPH2DQZ256rmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9634 /* vcvtph2dq */, X86::VCVTPH2DQZrmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9634 /* vcvtph2dq */, X86::VCVTPH2DQZ128rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9634 /* vcvtph2dq */, X86::VCVTPH2DQZ256rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9634 /* vcvtph2dq */, X86::VCVTPH2DQZrmkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9634 /* vcvtph2dq */, X86::VCVTPH2DQZ128rmkz, Convert__Reg1_1__Reg1_3__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9634 /* vcvtph2dq */, X86::VCVTPH2DQZrrbkz, Convert__Reg1_2__Reg1_4__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR256X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9634 /* vcvtph2dq */, X86::VCVTPH2DQZrmbkz, Convert__Reg1_2__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9634 /* vcvtph2dq */, X86::VCVTPH2DQZ128rmbkz, Convert__Reg1_2__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9634 /* vcvtph2dq */, X86::VCVTPH2DQZ256rmbkz, Convert__Reg1_2__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9644 /* vcvtph2pd */, X86::VCVTPH2PDZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 9644 /* vcvtph2pd */, X86::VCVTPH2PDZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X }, },
{ 9644 /* vcvtph2pd */, X86::VCVTPH2PDZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR512 }, },
{ 9644 /* vcvtph2pd */, X86::VCVTPH2PDZrm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR512 }, },
{ 9644 /* vcvtph2pd */, X86::VCVTPH2PDZ128rm, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X }, },
{ 9644 /* vcvtph2pd */, X86::VCVTPH2PDZ256rm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR256X }, },
{ 9644 /* vcvtph2pd */, X86::VCVTPH2PDZrrb, Convert__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_FR16X, MCK_VR512 }, },
{ 9644 /* vcvtph2pd */, X86::VCVTPH2PDZ128rmb, Convert__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to2_125_, MCK_FR16X }, },
{ 9644 /* vcvtph2pd */, X86::VCVTPH2PDZ256rmb, Convert__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to4_125_, MCK_VR256X }, },
{ 9644 /* vcvtph2pd */, X86::VCVTPH2PDZrmb, Convert__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_VR512 }, },
{ 9644 /* vcvtph2pd */, X86::VCVTPH2PDZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9644 /* vcvtph2pd */, X86::VCVTPH2PDZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9644 /* vcvtph2pd */, X86::VCVTPH2PDZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9644 /* vcvtph2pd */, X86::VCVTPH2PDZrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9644 /* vcvtph2pd */, X86::VCVTPH2PDZ128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9644 /* vcvtph2pd */, X86::VCVTPH2PDZ256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9644 /* vcvtph2pd */, X86::VCVTPH2PDZrrbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_FR16X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9644 /* vcvtph2pd */, X86::VCVTPH2PDZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9644 /* vcvtph2pd */, X86::VCVTPH2PDZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9644 /* vcvtph2pd */, X86::VCVTPH2PDZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9644 /* vcvtph2pd */, X86::VCVTPH2PDZrmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9644 /* vcvtph2pd */, X86::VCVTPH2PDZ128rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9644 /* vcvtph2pd */, X86::VCVTPH2PDZ256rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to4_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9644 /* vcvtph2pd */, X86::VCVTPH2PDZrmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9644 /* vcvtph2pd */, X86::VCVTPH2PDZ128rmkz, Convert__Reg1_1__Reg1_3__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9644 /* vcvtph2pd */, X86::VCVTPH2PDZ256rmkz, Convert__Reg1_1__Reg1_3__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9644 /* vcvtph2pd */, X86::VCVTPH2PDZrrbkz, Convert__Reg1_2__Reg1_4__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_FR16X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9644 /* vcvtph2pd */, X86::VCVTPH2PDZ128rmbkz, Convert__Reg1_2__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9644 /* vcvtph2pd */, X86::VCVTPH2PDZ256rmbkz, Convert__Reg1_2__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to4_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9644 /* vcvtph2pd */, X86::VCVTPH2PDZrmbkz, Convert__Reg1_2__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9654 /* vcvtph2ps */, X86::VCVTPH2PSrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 9654 /* vcvtph2ps */, X86::VCVTPH2PSYrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_VR256 }, },
{ 9654 /* vcvtph2ps */, X86::VCVTPH2PSZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 9654 /* vcvtph2ps */, X86::VCVTPH2PSZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X }, },
{ 9654 /* vcvtph2ps */, X86::VCVTPH2PSZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR512 }, },
{ 9654 /* vcvtph2ps */, X86::VCVTPH2PSYrm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256 }, },
{ 9654 /* vcvtph2ps */, X86::VCVTPH2PSZ256rm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256X }, },
{ 9654 /* vcvtph2ps */, X86::VCVTPH2PSZrm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR512 }, },
{ 9654 /* vcvtph2ps */, X86::VCVTPH2PSrm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16 }, },
{ 9654 /* vcvtph2ps */, X86::VCVTPH2PSZ128rm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X }, },
{ 9654 /* vcvtph2ps */, X86::VCVTPH2PSZrrb, Convert__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_VR256X, MCK_VR512 }, },
{ 9654 /* vcvtph2ps */, X86::VCVTPH2PSZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9654 /* vcvtph2ps */, X86::VCVTPH2PSZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9654 /* vcvtph2ps */, X86::VCVTPH2PSZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9654 /* vcvtph2ps */, X86::VCVTPH2PSZ256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9654 /* vcvtph2ps */, X86::VCVTPH2PSZrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9654 /* vcvtph2ps */, X86::VCVTPH2PSZ128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9654 /* vcvtph2ps */, X86::VCVTPH2PSZrrbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_VR256X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9654 /* vcvtph2ps */, X86::VCVTPH2PSZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9654 /* vcvtph2ps */, X86::VCVTPH2PSZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9654 /* vcvtph2ps */, X86::VCVTPH2PSZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9654 /* vcvtph2ps */, X86::VCVTPH2PSZ256rmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9654 /* vcvtph2ps */, X86::VCVTPH2PSZrmkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9654 /* vcvtph2ps */, X86::VCVTPH2PSZ128rmkz, Convert__Reg1_1__Reg1_3__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9654 /* vcvtph2ps */, X86::VCVTPH2PSZrrbkz, Convert__Reg1_2__Reg1_4__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_VR256X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9664 /* vcvtph2psx */, X86::VCVTPH2PSXZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 9664 /* vcvtph2psx */, X86::VCVTPH2PSXZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X }, },
{ 9664 /* vcvtph2psx */, X86::VCVTPH2PSXZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR512 }, },
{ 9664 /* vcvtph2psx */, X86::VCVTPH2PSXZ256rm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256X }, },
{ 9664 /* vcvtph2psx */, X86::VCVTPH2PSXZrm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR512 }, },
{ 9664 /* vcvtph2psx */, X86::VCVTPH2PSXZ128rm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X }, },
{ 9664 /* vcvtph2psx */, X86::VCVTPH2PSXZrrb, Convert__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_VR256X, MCK_VR512 }, },
{ 9664 /* vcvtph2psx */, X86::VCVTPH2PSXZrmb, Convert__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR512 }, },
{ 9664 /* vcvtph2psx */, X86::VCVTPH2PSXZ128rmb, Convert__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to4_125_, MCK_FR16X }, },
{ 9664 /* vcvtph2psx */, X86::VCVTPH2PSXZ256rmb, Convert__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_VR256X }, },
{ 9664 /* vcvtph2psx */, X86::VCVTPH2PSXZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9664 /* vcvtph2psx */, X86::VCVTPH2PSXZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9664 /* vcvtph2psx */, X86::VCVTPH2PSXZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9664 /* vcvtph2psx */, X86::VCVTPH2PSXZ256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9664 /* vcvtph2psx */, X86::VCVTPH2PSXZrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9664 /* vcvtph2psx */, X86::VCVTPH2PSXZ128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9664 /* vcvtph2psx */, X86::VCVTPH2PSXZrrbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_VR256X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9664 /* vcvtph2psx */, X86::VCVTPH2PSXZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9664 /* vcvtph2psx */, X86::VCVTPH2PSXZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9664 /* vcvtph2psx */, X86::VCVTPH2PSXZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9664 /* vcvtph2psx */, X86::VCVTPH2PSXZ256rmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9664 /* vcvtph2psx */, X86::VCVTPH2PSXZrmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9664 /* vcvtph2psx */, X86::VCVTPH2PSXZ128rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9664 /* vcvtph2psx */, X86::VCVTPH2PSXZ256rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9664 /* vcvtph2psx */, X86::VCVTPH2PSXZrmkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9664 /* vcvtph2psx */, X86::VCVTPH2PSXZ128rmkz, Convert__Reg1_1__Reg1_3__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9664 /* vcvtph2psx */, X86::VCVTPH2PSXZrrbkz, Convert__Reg1_2__Reg1_4__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_VR256X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9664 /* vcvtph2psx */, X86::VCVTPH2PSXZrmbkz, Convert__Reg1_2__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9664 /* vcvtph2psx */, X86::VCVTPH2PSXZ128rmbkz, Convert__Reg1_2__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9664 /* vcvtph2psx */, X86::VCVTPH2PSXZ256rmbkz, Convert__Reg1_2__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9675 /* vcvtph2qq */, X86::VCVTPH2QQZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 9675 /* vcvtph2qq */, X86::VCVTPH2QQZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X }, },
{ 9675 /* vcvtph2qq */, X86::VCVTPH2QQZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR512 }, },
{ 9675 /* vcvtph2qq */, X86::VCVTPH2QQZrm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR512 }, },
{ 9675 /* vcvtph2qq */, X86::VCVTPH2QQZ128rm, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X }, },
{ 9675 /* vcvtph2qq */, X86::VCVTPH2QQZ256rm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR256X }, },
{ 9675 /* vcvtph2qq */, X86::VCVTPH2QQZrrb, Convert__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_VR512 }, },
{ 9675 /* vcvtph2qq */, X86::VCVTPH2QQZ128rmb, Convert__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to2_125_, MCK_FR16X }, },
{ 9675 /* vcvtph2qq */, X86::VCVTPH2QQZ256rmb, Convert__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to4_125_, MCK_VR256X }, },
{ 9675 /* vcvtph2qq */, X86::VCVTPH2QQZrmb, Convert__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_VR512 }, },
{ 9675 /* vcvtph2qq */, X86::VCVTPH2QQZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9675 /* vcvtph2qq */, X86::VCVTPH2QQZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9675 /* vcvtph2qq */, X86::VCVTPH2QQZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9675 /* vcvtph2qq */, X86::VCVTPH2QQZrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9675 /* vcvtph2qq */, X86::VCVTPH2QQZ128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9675 /* vcvtph2qq */, X86::VCVTPH2QQZ256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9675 /* vcvtph2qq */, X86::VCVTPH2QQZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9675 /* vcvtph2qq */, X86::VCVTPH2QQZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9675 /* vcvtph2qq */, X86::VCVTPH2QQZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9675 /* vcvtph2qq */, X86::VCVTPH2QQZrrbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9675 /* vcvtph2qq */, X86::VCVTPH2QQZrmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9675 /* vcvtph2qq */, X86::VCVTPH2QQZ128rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9675 /* vcvtph2qq */, X86::VCVTPH2QQZ256rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to4_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9675 /* vcvtph2qq */, X86::VCVTPH2QQZrmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9675 /* vcvtph2qq */, X86::VCVTPH2QQZ128rmkz, Convert__Reg1_1__Reg1_3__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9675 /* vcvtph2qq */, X86::VCVTPH2QQZ256rmkz, Convert__Reg1_1__Reg1_3__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9675 /* vcvtph2qq */, X86::VCVTPH2QQZrrbkz, Convert__Reg1_2__Reg1_4__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9675 /* vcvtph2qq */, X86::VCVTPH2QQZ128rmbkz, Convert__Reg1_2__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9675 /* vcvtph2qq */, X86::VCVTPH2QQZ256rmbkz, Convert__Reg1_2__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to4_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9675 /* vcvtph2qq */, X86::VCVTPH2QQZrmbkz, Convert__Reg1_2__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9685 /* vcvtph2udq */, X86::VCVTPH2UDQZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 9685 /* vcvtph2udq */, X86::VCVTPH2UDQZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X }, },
{ 9685 /* vcvtph2udq */, X86::VCVTPH2UDQZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR512 }, },
{ 9685 /* vcvtph2udq */, X86::VCVTPH2UDQZ256rm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256X }, },
{ 9685 /* vcvtph2udq */, X86::VCVTPH2UDQZrm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR512 }, },
{ 9685 /* vcvtph2udq */, X86::VCVTPH2UDQZ128rm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X }, },
{ 9685 /* vcvtph2udq */, X86::VCVTPH2UDQZrrb, Convert__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR256X, MCK_VR512 }, },
{ 9685 /* vcvtph2udq */, X86::VCVTPH2UDQZrmb, Convert__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR512 }, },
{ 9685 /* vcvtph2udq */, X86::VCVTPH2UDQZ128rmb, Convert__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to4_125_, MCK_FR16X }, },
{ 9685 /* vcvtph2udq */, X86::VCVTPH2UDQZ256rmb, Convert__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_VR256X }, },
{ 9685 /* vcvtph2udq */, X86::VCVTPH2UDQZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9685 /* vcvtph2udq */, X86::VCVTPH2UDQZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9685 /* vcvtph2udq */, X86::VCVTPH2UDQZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9685 /* vcvtph2udq */, X86::VCVTPH2UDQZ256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9685 /* vcvtph2udq */, X86::VCVTPH2UDQZrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9685 /* vcvtph2udq */, X86::VCVTPH2UDQZ128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9685 /* vcvtph2udq */, X86::VCVTPH2UDQZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9685 /* vcvtph2udq */, X86::VCVTPH2UDQZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9685 /* vcvtph2udq */, X86::VCVTPH2UDQZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9685 /* vcvtph2udq */, X86::VCVTPH2UDQZrrbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR256X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9685 /* vcvtph2udq */, X86::VCVTPH2UDQZ256rmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9685 /* vcvtph2udq */, X86::VCVTPH2UDQZrmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9685 /* vcvtph2udq */, X86::VCVTPH2UDQZ128rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9685 /* vcvtph2udq */, X86::VCVTPH2UDQZ256rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9685 /* vcvtph2udq */, X86::VCVTPH2UDQZrmkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9685 /* vcvtph2udq */, X86::VCVTPH2UDQZ128rmkz, Convert__Reg1_1__Reg1_3__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9685 /* vcvtph2udq */, X86::VCVTPH2UDQZrrbkz, Convert__Reg1_2__Reg1_4__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR256X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9685 /* vcvtph2udq */, X86::VCVTPH2UDQZrmbkz, Convert__Reg1_2__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9685 /* vcvtph2udq */, X86::VCVTPH2UDQZ128rmbkz, Convert__Reg1_2__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9685 /* vcvtph2udq */, X86::VCVTPH2UDQZ256rmbkz, Convert__Reg1_2__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9696 /* vcvtph2uqq */, X86::VCVTPH2UQQZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 9696 /* vcvtph2uqq */, X86::VCVTPH2UQQZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X }, },
{ 9696 /* vcvtph2uqq */, X86::VCVTPH2UQQZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR512 }, },
{ 9696 /* vcvtph2uqq */, X86::VCVTPH2UQQZrm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR512 }, },
{ 9696 /* vcvtph2uqq */, X86::VCVTPH2UQQZ128rm, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X }, },
{ 9696 /* vcvtph2uqq */, X86::VCVTPH2UQQZ256rm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR256X }, },
{ 9696 /* vcvtph2uqq */, X86::VCVTPH2UQQZrrb, Convert__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_VR512 }, },
{ 9696 /* vcvtph2uqq */, X86::VCVTPH2UQQZ128rmb, Convert__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to2_125_, MCK_FR16X }, },
{ 9696 /* vcvtph2uqq */, X86::VCVTPH2UQQZ256rmb, Convert__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to4_125_, MCK_VR256X }, },
{ 9696 /* vcvtph2uqq */, X86::VCVTPH2UQQZrmb, Convert__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_VR512 }, },
{ 9696 /* vcvtph2uqq */, X86::VCVTPH2UQQZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9696 /* vcvtph2uqq */, X86::VCVTPH2UQQZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9696 /* vcvtph2uqq */, X86::VCVTPH2UQQZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9696 /* vcvtph2uqq */, X86::VCVTPH2UQQZrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9696 /* vcvtph2uqq */, X86::VCVTPH2UQQZ128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9696 /* vcvtph2uqq */, X86::VCVTPH2UQQZ256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9696 /* vcvtph2uqq */, X86::VCVTPH2UQQZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9696 /* vcvtph2uqq */, X86::VCVTPH2UQQZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9696 /* vcvtph2uqq */, X86::VCVTPH2UQQZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9696 /* vcvtph2uqq */, X86::VCVTPH2UQQZrrbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9696 /* vcvtph2uqq */, X86::VCVTPH2UQQZrmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9696 /* vcvtph2uqq */, X86::VCVTPH2UQQZ128rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9696 /* vcvtph2uqq */, X86::VCVTPH2UQQZ256rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to4_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9696 /* vcvtph2uqq */, X86::VCVTPH2UQQZrmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9696 /* vcvtph2uqq */, X86::VCVTPH2UQQZ128rmkz, Convert__Reg1_1__Reg1_3__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9696 /* vcvtph2uqq */, X86::VCVTPH2UQQZ256rmkz, Convert__Reg1_1__Reg1_3__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9696 /* vcvtph2uqq */, X86::VCVTPH2UQQZrrbkz, Convert__Reg1_2__Reg1_4__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9696 /* vcvtph2uqq */, X86::VCVTPH2UQQZ128rmbkz, Convert__Reg1_2__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9696 /* vcvtph2uqq */, X86::VCVTPH2UQQZ256rmbkz, Convert__Reg1_2__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to4_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9696 /* vcvtph2uqq */, X86::VCVTPH2UQQZrmbkz, Convert__Reg1_2__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9707 /* vcvtph2uw */, X86::VCVTPH2UWZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 9707 /* vcvtph2uw */, X86::VCVTPH2UWZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 9707 /* vcvtph2uw */, X86::VCVTPH2UWZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 9707 /* vcvtph2uw */, X86::VCVTPH2UWZ128rm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X }, },
{ 9707 /* vcvtph2uw */, X86::VCVTPH2UWZ256rm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X }, },
{ 9707 /* vcvtph2uw */, X86::VCVTPH2UWZrm, Convert__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512 }, },
{ 9707 /* vcvtph2uw */, X86::VCVTPH2UWZrrb, Convert__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512 }, },
{ 9707 /* vcvtph2uw */, X86::VCVTPH2UWZ256rmb, Convert__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X }, },
{ 9707 /* vcvtph2uw */, X86::VCVTPH2UWZrmb, Convert__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512 }, },
{ 9707 /* vcvtph2uw */, X86::VCVTPH2UWZ128rmb, Convert__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X }, },
{ 9707 /* vcvtph2uw */, X86::VCVTPH2UWZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9707 /* vcvtph2uw */, X86::VCVTPH2UWZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9707 /* vcvtph2uw */, X86::VCVTPH2UWZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9707 /* vcvtph2uw */, X86::VCVTPH2UWZ128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9707 /* vcvtph2uw */, X86::VCVTPH2UWZ256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9707 /* vcvtph2uw */, X86::VCVTPH2UWZrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9707 /* vcvtph2uw */, X86::VCVTPH2UWZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9707 /* vcvtph2uw */, X86::VCVTPH2UWZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9707 /* vcvtph2uw */, X86::VCVTPH2UWZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9707 /* vcvtph2uw */, X86::VCVTPH2UWZrrbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9707 /* vcvtph2uw */, X86::VCVTPH2UWZ128rmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9707 /* vcvtph2uw */, X86::VCVTPH2UWZ256rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9707 /* vcvtph2uw */, X86::VCVTPH2UWZrmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9707 /* vcvtph2uw */, X86::VCVTPH2UWZ128rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9707 /* vcvtph2uw */, X86::VCVTPH2UWZ256rmkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9707 /* vcvtph2uw */, X86::VCVTPH2UWZrmkz, Convert__Reg1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9707 /* vcvtph2uw */, X86::VCVTPH2UWZrrbkz, Convert__Reg1_2__Reg1_4__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9707 /* vcvtph2uw */, X86::VCVTPH2UWZ256rmbkz, Convert__Reg1_2__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9707 /* vcvtph2uw */, X86::VCVTPH2UWZrmbkz, Convert__Reg1_2__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9707 /* vcvtph2uw */, X86::VCVTPH2UWZ128rmbkz, Convert__Reg1_2__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9717 /* vcvtph2w */, X86::VCVTPH2WZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 9717 /* vcvtph2w */, X86::VCVTPH2WZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 9717 /* vcvtph2w */, X86::VCVTPH2WZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 9717 /* vcvtph2w */, X86::VCVTPH2WZ128rm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X }, },
{ 9717 /* vcvtph2w */, X86::VCVTPH2WZ256rm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X }, },
{ 9717 /* vcvtph2w */, X86::VCVTPH2WZrm, Convert__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512 }, },
{ 9717 /* vcvtph2w */, X86::VCVTPH2WZrrb, Convert__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512 }, },
{ 9717 /* vcvtph2w */, X86::VCVTPH2WZ256rmb, Convert__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X }, },
{ 9717 /* vcvtph2w */, X86::VCVTPH2WZrmb, Convert__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512 }, },
{ 9717 /* vcvtph2w */, X86::VCVTPH2WZ128rmb, Convert__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X }, },
{ 9717 /* vcvtph2w */, X86::VCVTPH2WZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9717 /* vcvtph2w */, X86::VCVTPH2WZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9717 /* vcvtph2w */, X86::VCVTPH2WZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9717 /* vcvtph2w */, X86::VCVTPH2WZ128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9717 /* vcvtph2w */, X86::VCVTPH2WZ256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9717 /* vcvtph2w */, X86::VCVTPH2WZrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9717 /* vcvtph2w */, X86::VCVTPH2WZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9717 /* vcvtph2w */, X86::VCVTPH2WZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9717 /* vcvtph2w */, X86::VCVTPH2WZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9717 /* vcvtph2w */, X86::VCVTPH2WZrrbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9717 /* vcvtph2w */, X86::VCVTPH2WZ128rmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9717 /* vcvtph2w */, X86::VCVTPH2WZ256rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9717 /* vcvtph2w */, X86::VCVTPH2WZrmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9717 /* vcvtph2w */, X86::VCVTPH2WZ128rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9717 /* vcvtph2w */, X86::VCVTPH2WZ256rmkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9717 /* vcvtph2w */, X86::VCVTPH2WZrmkz, Convert__Reg1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9717 /* vcvtph2w */, X86::VCVTPH2WZrrbkz, Convert__Reg1_2__Reg1_4__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9717 /* vcvtph2w */, X86::VCVTPH2WZ256rmbkz, Convert__Reg1_2__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9717 /* vcvtph2w */, X86::VCVTPH2WZrmbkz, Convert__Reg1_2__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9717 /* vcvtph2w */, X86::VCVTPH2WZ128rmbkz, Convert__Reg1_2__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9726 /* vcvtps2dq */, X86::VCVTPS2DQrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 9726 /* vcvtps2dq */, X86::VCVTPS2DQYrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256 }, },
{ 9726 /* vcvtps2dq */, X86::VCVTPS2DQZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 9726 /* vcvtps2dq */, X86::VCVTPS2DQZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 9726 /* vcvtps2dq */, X86::VCVTPS2DQZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 9726 /* vcvtps2dq */, X86::VCVTPS2DQrm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 9726 /* vcvtps2dq */, X86::VCVTPS2DQZ128rm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X }, },
{ 9726 /* vcvtps2dq */, X86::VCVTPS2DQYrm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256 }, },
{ 9726 /* vcvtps2dq */, X86::VCVTPS2DQZ256rm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X }, },
{ 9726 /* vcvtps2dq */, X86::VCVTPS2DQZrm, Convert__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512 }, },
{ 9726 /* vcvtps2dq */, X86::VCVTPS2DQZrrb, Convert__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512 }, },
{ 9726 /* vcvtps2dq */, X86::VCVTPS2DQZrmb, Convert__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512 }, },
{ 9726 /* vcvtps2dq */, X86::VCVTPS2DQZ128rmb, Convert__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X }, },
{ 9726 /* vcvtps2dq */, X86::VCVTPS2DQZ256rmb, Convert__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X }, },
{ 9726 /* vcvtps2dq */, X86::VCVTPS2DQZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9726 /* vcvtps2dq */, X86::VCVTPS2DQZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9726 /* vcvtps2dq */, X86::VCVTPS2DQZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9726 /* vcvtps2dq */, X86::VCVTPS2DQZ128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9726 /* vcvtps2dq */, X86::VCVTPS2DQZ256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9726 /* vcvtps2dq */, X86::VCVTPS2DQZrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9726 /* vcvtps2dq */, X86::VCVTPS2DQZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9726 /* vcvtps2dq */, X86::VCVTPS2DQZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9726 /* vcvtps2dq */, X86::VCVTPS2DQZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9726 /* vcvtps2dq */, X86::VCVTPS2DQZrrbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9726 /* vcvtps2dq */, X86::VCVTPS2DQZ128rmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9726 /* vcvtps2dq */, X86::VCVTPS2DQZ256rmkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9726 /* vcvtps2dq */, X86::VCVTPS2DQZrmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9726 /* vcvtps2dq */, X86::VCVTPS2DQZ128rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9726 /* vcvtps2dq */, X86::VCVTPS2DQZ256rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9726 /* vcvtps2dq */, X86::VCVTPS2DQZrmkz, Convert__Reg1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9726 /* vcvtps2dq */, X86::VCVTPS2DQZrrbkz, Convert__Reg1_2__Reg1_4__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9726 /* vcvtps2dq */, X86::VCVTPS2DQZrmbkz, Convert__Reg1_2__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9726 /* vcvtps2dq */, X86::VCVTPS2DQZ128rmbkz, Convert__Reg1_2__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9726 /* vcvtps2dq */, X86::VCVTPS2DQZ256rmbkz, Convert__Reg1_2__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9736 /* vcvtps2pd */, X86::VCVTPS2PDrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 9736 /* vcvtps2pd */, X86::VCVTPS2PDYrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_VR256 }, },
{ 9736 /* vcvtps2pd */, X86::VCVTPS2PDZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 9736 /* vcvtps2pd */, X86::VCVTPS2PDZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X }, },
{ 9736 /* vcvtps2pd */, X86::VCVTPS2PDZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR512 }, },
{ 9736 /* vcvtps2pd */, X86::VCVTPS2PDYrm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256 }, },
{ 9736 /* vcvtps2pd */, X86::VCVTPS2PDZ256rm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256X }, },
{ 9736 /* vcvtps2pd */, X86::VCVTPS2PDZrm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR512 }, },
{ 9736 /* vcvtps2pd */, X86::VCVTPS2PDrm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16 }, },
{ 9736 /* vcvtps2pd */, X86::VCVTPS2PDZ128rm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X }, },
{ 9736 /* vcvtps2pd */, X86::VCVTPS2PDZrrb, Convert__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_VR256X, MCK_VR512 }, },
{ 9736 /* vcvtps2pd */, X86::VCVTPS2PDZ128rmb, Convert__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to2_125_, MCK_FR16X }, },
{ 9736 /* vcvtps2pd */, X86::VCVTPS2PDZ256rmb, Convert__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_VR256X }, },
{ 9736 /* vcvtps2pd */, X86::VCVTPS2PDZrmb, Convert__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR512 }, },
{ 9736 /* vcvtps2pd */, X86::VCVTPS2PDZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9736 /* vcvtps2pd */, X86::VCVTPS2PDZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9736 /* vcvtps2pd */, X86::VCVTPS2PDZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9736 /* vcvtps2pd */, X86::VCVTPS2PDZ256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9736 /* vcvtps2pd */, X86::VCVTPS2PDZrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9736 /* vcvtps2pd */, X86::VCVTPS2PDZ128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9736 /* vcvtps2pd */, X86::VCVTPS2PDZrrbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_VR256X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9736 /* vcvtps2pd */, X86::VCVTPS2PDZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9736 /* vcvtps2pd */, X86::VCVTPS2PDZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9736 /* vcvtps2pd */, X86::VCVTPS2PDZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9736 /* vcvtps2pd */, X86::VCVTPS2PDZ256rmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9736 /* vcvtps2pd */, X86::VCVTPS2PDZrmkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9736 /* vcvtps2pd */, X86::VCVTPS2PDZ128rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9736 /* vcvtps2pd */, X86::VCVTPS2PDZ256rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9736 /* vcvtps2pd */, X86::VCVTPS2PDZrmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9736 /* vcvtps2pd */, X86::VCVTPS2PDZ128rmkz, Convert__Reg1_1__Reg1_3__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9736 /* vcvtps2pd */, X86::VCVTPS2PDZrrbkz, Convert__Reg1_2__Reg1_4__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_VR256X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9736 /* vcvtps2pd */, X86::VCVTPS2PDZ128rmbkz, Convert__Reg1_2__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9736 /* vcvtps2pd */, X86::VCVTPS2PDZ256rmbkz, Convert__Reg1_2__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9736 /* vcvtps2pd */, X86::VCVTPS2PDZrmbkz, Convert__Reg1_2__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9746 /* vcvtps2ph */, X86::VCVTPS2PHrr, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_FR16 }, },
{ 9746 /* vcvtps2ph */, X86::VCVTPS2PHmr, Convert__Mem645_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_Mem64 }, },
{ 9746 /* vcvtps2ph */, X86::VCVTPS2PHYrr, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256, MCK_FR16 }, },
{ 9746 /* vcvtps2ph */, X86::VCVTPS2PHYmr, Convert__Mem1285_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256, MCK_Mem128 }, },
{ 9746 /* vcvtps2ph */, X86::VCVTPS2PHZ128rr, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X }, },
{ 9746 /* vcvtps2ph */, X86::VCVTPS2PHZ128mr, Convert__Mem645_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_Mem64 }, },
{ 9746 /* vcvtps2ph */, X86::VCVTPS2PHZ256rr, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_FR16X }, },
{ 9746 /* vcvtps2ph */, X86::VCVTPS2PHZ256mr, Convert__Mem1285_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_Mem128 }, },
{ 9746 /* vcvtps2ph */, X86::VCVTPS2PHZrr, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR256X }, },
{ 9746 /* vcvtps2ph */, X86::VCVTPS2PHZmr, Convert__Mem2565_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_Mem256 }, },
{ 9746 /* vcvtps2ph */, X86::VCVTPS2PHZrrb, Convert__Reg1_3__Reg1_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK__123_sae_125_, MCK_VR512, MCK_VR256X }, },
{ 9746 /* vcvtps2ph */, X86::VCVTPS2PHZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9746 /* vcvtps2ph */, X86::VCVTPS2PHZ128mrk, Convert__Mem645_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_Mem64, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9746 /* vcvtps2ph */, X86::VCVTPS2PHZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9746 /* vcvtps2ph */, X86::VCVTPS2PHZ256mrk, Convert__Mem1285_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_Mem128, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9746 /* vcvtps2ph */, X86::VCVTPS2PHZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9746 /* vcvtps2ph */, X86::VCVTPS2PHZmrk, Convert__Mem2565_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_Mem256, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9746 /* vcvtps2ph */, X86::VCVTPS2PHZrrbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK__123_sae_125_, MCK_VR512, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9746 /* vcvtps2ph */, X86::VCVTPS2PHZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9746 /* vcvtps2ph */, X86::VCVTPS2PHZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9746 /* vcvtps2ph */, X86::VCVTPS2PHZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9746 /* vcvtps2ph */, X86::VCVTPS2PHZrrbkz, Convert__Reg1_3__Reg1_5__Reg1_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK__123_sae_125_, MCK_VR512, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9756 /* vcvtps2phx */, X86::VCVTPS2PHXZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 9756 /* vcvtps2phx */, X86::VCVTPS2PHXZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X }, },
{ 9756 /* vcvtps2phx */, X86::VCVTPS2PHXZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR256X }, },
{ 9756 /* vcvtps2phx */, X86::VCVTPS2PHXZrm, Convert__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR256X }, },
{ 9756 /* vcvtps2phx */, X86::VCVTPS2PHXZrrb, Convert__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR256X }, },
{ 9756 /* vcvtps2phx */, X86::VCVTPS2PHXZrmb, Convert__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR256X }, },
{ 9756 /* vcvtps2phx */, X86::VCVTPS2PHXZ128rmb, Convert__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X }, },
{ 9756 /* vcvtps2phx */, X86::VCVTPS2PHXZ256rmb, Convert__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_FR16X }, },
{ 9756 /* vcvtps2phx */, X86::VCVTPS2PHXZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9756 /* vcvtps2phx */, X86::VCVTPS2PHXZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9756 /* vcvtps2phx */, X86::VCVTPS2PHXZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9756 /* vcvtps2phx */, X86::VCVTPS2PHXZrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9756 /* vcvtps2phx */, X86::VCVTPS2PHXZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9756 /* vcvtps2phx */, X86::VCVTPS2PHXZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9756 /* vcvtps2phx */, X86::VCVTPS2PHXZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9756 /* vcvtps2phx */, X86::VCVTPS2PHXZrrbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9756 /* vcvtps2phx */, X86::VCVTPS2PHXZrmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9756 /* vcvtps2phx */, X86::VCVTPS2PHXZ128rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9756 /* vcvtps2phx */, X86::VCVTPS2PHXZ256rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9756 /* vcvtps2phx */, X86::VCVTPS2PHXZrmkz, Convert__Reg1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9756 /* vcvtps2phx */, X86::VCVTPS2PHXZrrbkz, Convert__Reg1_2__Reg1_4__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9756 /* vcvtps2phx */, X86::VCVTPS2PHXZrmbkz, Convert__Reg1_2__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9756 /* vcvtps2phx */, X86::VCVTPS2PHXZ128rmbkz, Convert__Reg1_2__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9756 /* vcvtps2phx */, X86::VCVTPS2PHXZ256rmbkz, Convert__Reg1_2__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9767 /* vcvtps2phxx */, X86::VCVTPS2PHXZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 9767 /* vcvtps2phxx */, X86::VCVTPS2PHXZ128rm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X }, },
{ 9767 /* vcvtps2phxx */, X86::VCVTPS2PHXZ128rmb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X }, },
{ 9767 /* vcvtps2phxx */, X86::VCVTPS2PHXZ128rrk, Convert__Reg1_1__Tie0_2_2__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9767 /* vcvtps2phxx */, X86::VCVTPS2PHXZ128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9767 /* vcvtps2phxx */, X86::VCVTPS2PHXZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9767 /* vcvtps2phxx */, X86::VCVTPS2PHXZ128rmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9767 /* vcvtps2phxx */, X86::VCVTPS2PHXZ128rmbk, Convert__Reg1_2__Tie0_3_3__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9767 /* vcvtps2phxx */, X86::VCVTPS2PHXZ128rmbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9779 /* vcvtps2phxy */, X86::VCVTPS2PHXZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X }, },
{ 9779 /* vcvtps2phxy */, X86::VCVTPS2PHXZ256rm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_FR16X }, },
{ 9779 /* vcvtps2phxy */, X86::VCVTPS2PHXZ256rmb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_FR16X }, },
{ 9779 /* vcvtps2phxy */, X86::VCVTPS2PHXZ256rrk, Convert__Reg1_1__Tie0_2_2__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9779 /* vcvtps2phxy */, X86::VCVTPS2PHXZ256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9779 /* vcvtps2phxy */, X86::VCVTPS2PHXZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9779 /* vcvtps2phxy */, X86::VCVTPS2PHXZ256rmkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9779 /* vcvtps2phxy */, X86::VCVTPS2PHXZ256rmbk, Convert__Reg1_2__Tie0_3_3__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9779 /* vcvtps2phxy */, X86::VCVTPS2PHXZ256rmbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9791 /* vcvtps2qq */, X86::VCVTPS2QQZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 9791 /* vcvtps2qq */, X86::VCVTPS2QQZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X }, },
{ 9791 /* vcvtps2qq */, X86::VCVTPS2QQZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR512 }, },
{ 9791 /* vcvtps2qq */, X86::VCVTPS2QQZ256rm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256X }, },
{ 9791 /* vcvtps2qq */, X86::VCVTPS2QQZrm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR512 }, },
{ 9791 /* vcvtps2qq */, X86::VCVTPS2QQZ128rm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X }, },
{ 9791 /* vcvtps2qq */, X86::VCVTPS2QQZrrb, Convert__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR256X, MCK_VR512 }, },
{ 9791 /* vcvtps2qq */, X86::VCVTPS2QQZ128rmb, Convert__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to2_125_, MCK_FR16X }, },
{ 9791 /* vcvtps2qq */, X86::VCVTPS2QQZ256rmb, Convert__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_VR256X }, },
{ 9791 /* vcvtps2qq */, X86::VCVTPS2QQZrmb, Convert__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR512 }, },
{ 9791 /* vcvtps2qq */, X86::VCVTPS2QQZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9791 /* vcvtps2qq */, X86::VCVTPS2QQZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9791 /* vcvtps2qq */, X86::VCVTPS2QQZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9791 /* vcvtps2qq */, X86::VCVTPS2QQZ256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9791 /* vcvtps2qq */, X86::VCVTPS2QQZrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9791 /* vcvtps2qq */, X86::VCVTPS2QQZ128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9791 /* vcvtps2qq */, X86::VCVTPS2QQZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9791 /* vcvtps2qq */, X86::VCVTPS2QQZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9791 /* vcvtps2qq */, X86::VCVTPS2QQZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9791 /* vcvtps2qq */, X86::VCVTPS2QQZrrbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR256X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9791 /* vcvtps2qq */, X86::VCVTPS2QQZ256rmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9791 /* vcvtps2qq */, X86::VCVTPS2QQZrmkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9791 /* vcvtps2qq */, X86::VCVTPS2QQZ128rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9791 /* vcvtps2qq */, X86::VCVTPS2QQZ256rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9791 /* vcvtps2qq */, X86::VCVTPS2QQZrmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9791 /* vcvtps2qq */, X86::VCVTPS2QQZ128rmkz, Convert__Reg1_1__Reg1_3__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9791 /* vcvtps2qq */, X86::VCVTPS2QQZrrbkz, Convert__Reg1_2__Reg1_4__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR256X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9791 /* vcvtps2qq */, X86::VCVTPS2QQZ128rmbkz, Convert__Reg1_2__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9791 /* vcvtps2qq */, X86::VCVTPS2QQZ256rmbkz, Convert__Reg1_2__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9791 /* vcvtps2qq */, X86::VCVTPS2QQZrmbkz, Convert__Reg1_2__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9801 /* vcvtps2udq */, X86::VCVTPS2UDQZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 9801 /* vcvtps2udq */, X86::VCVTPS2UDQZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 9801 /* vcvtps2udq */, X86::VCVTPS2UDQZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 9801 /* vcvtps2udq */, X86::VCVTPS2UDQZ128rm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X }, },
{ 9801 /* vcvtps2udq */, X86::VCVTPS2UDQZ256rm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X }, },
{ 9801 /* vcvtps2udq */, X86::VCVTPS2UDQZrm, Convert__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512 }, },
{ 9801 /* vcvtps2udq */, X86::VCVTPS2UDQZrrb, Convert__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512 }, },
{ 9801 /* vcvtps2udq */, X86::VCVTPS2UDQZrmb, Convert__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512 }, },
{ 9801 /* vcvtps2udq */, X86::VCVTPS2UDQZ128rmb, Convert__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X }, },
{ 9801 /* vcvtps2udq */, X86::VCVTPS2UDQZ256rmb, Convert__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X }, },
{ 9801 /* vcvtps2udq */, X86::VCVTPS2UDQZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9801 /* vcvtps2udq */, X86::VCVTPS2UDQZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9801 /* vcvtps2udq */, X86::VCVTPS2UDQZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9801 /* vcvtps2udq */, X86::VCVTPS2UDQZ128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9801 /* vcvtps2udq */, X86::VCVTPS2UDQZ256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9801 /* vcvtps2udq */, X86::VCVTPS2UDQZrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9801 /* vcvtps2udq */, X86::VCVTPS2UDQZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9801 /* vcvtps2udq */, X86::VCVTPS2UDQZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9801 /* vcvtps2udq */, X86::VCVTPS2UDQZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9801 /* vcvtps2udq */, X86::VCVTPS2UDQZrrbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9801 /* vcvtps2udq */, X86::VCVTPS2UDQZ128rmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9801 /* vcvtps2udq */, X86::VCVTPS2UDQZ256rmkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9801 /* vcvtps2udq */, X86::VCVTPS2UDQZrmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9801 /* vcvtps2udq */, X86::VCVTPS2UDQZ128rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9801 /* vcvtps2udq */, X86::VCVTPS2UDQZ256rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9801 /* vcvtps2udq */, X86::VCVTPS2UDQZrmkz, Convert__Reg1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9801 /* vcvtps2udq */, X86::VCVTPS2UDQZrrbkz, Convert__Reg1_2__Reg1_4__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9801 /* vcvtps2udq */, X86::VCVTPS2UDQZrmbkz, Convert__Reg1_2__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9801 /* vcvtps2udq */, X86::VCVTPS2UDQZ128rmbkz, Convert__Reg1_2__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9801 /* vcvtps2udq */, X86::VCVTPS2UDQZ256rmbkz, Convert__Reg1_2__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9812 /* vcvtps2uqq */, X86::VCVTPS2UQQZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 9812 /* vcvtps2uqq */, X86::VCVTPS2UQQZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X }, },
{ 9812 /* vcvtps2uqq */, X86::VCVTPS2UQQZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR512 }, },
{ 9812 /* vcvtps2uqq */, X86::VCVTPS2UQQZ256rm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256X }, },
{ 9812 /* vcvtps2uqq */, X86::VCVTPS2UQQZrm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR512 }, },
{ 9812 /* vcvtps2uqq */, X86::VCVTPS2UQQZ128rm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X }, },
{ 9812 /* vcvtps2uqq */, X86::VCVTPS2UQQZrrb, Convert__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR256X, MCK_VR512 }, },
{ 9812 /* vcvtps2uqq */, X86::VCVTPS2UQQZ128rmb, Convert__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to2_125_, MCK_FR16X }, },
{ 9812 /* vcvtps2uqq */, X86::VCVTPS2UQQZ256rmb, Convert__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_VR256X }, },
{ 9812 /* vcvtps2uqq */, X86::VCVTPS2UQQZrmb, Convert__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR512 }, },
{ 9812 /* vcvtps2uqq */, X86::VCVTPS2UQQZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9812 /* vcvtps2uqq */, X86::VCVTPS2UQQZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9812 /* vcvtps2uqq */, X86::VCVTPS2UQQZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9812 /* vcvtps2uqq */, X86::VCVTPS2UQQZ256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9812 /* vcvtps2uqq */, X86::VCVTPS2UQQZrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9812 /* vcvtps2uqq */, X86::VCVTPS2UQQZ128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9812 /* vcvtps2uqq */, X86::VCVTPS2UQQZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9812 /* vcvtps2uqq */, X86::VCVTPS2UQQZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9812 /* vcvtps2uqq */, X86::VCVTPS2UQQZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9812 /* vcvtps2uqq */, X86::VCVTPS2UQQZrrbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR256X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9812 /* vcvtps2uqq */, X86::VCVTPS2UQQZ256rmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9812 /* vcvtps2uqq */, X86::VCVTPS2UQQZrmkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9812 /* vcvtps2uqq */, X86::VCVTPS2UQQZ128rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9812 /* vcvtps2uqq */, X86::VCVTPS2UQQZ256rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9812 /* vcvtps2uqq */, X86::VCVTPS2UQQZrmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9812 /* vcvtps2uqq */, X86::VCVTPS2UQQZ128rmkz, Convert__Reg1_1__Reg1_3__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9812 /* vcvtps2uqq */, X86::VCVTPS2UQQZrrbkz, Convert__Reg1_2__Reg1_4__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR256X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9812 /* vcvtps2uqq */, X86::VCVTPS2UQQZ128rmbkz, Convert__Reg1_2__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9812 /* vcvtps2uqq */, X86::VCVTPS2UQQZ256rmbkz, Convert__Reg1_2__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9812 /* vcvtps2uqq */, X86::VCVTPS2UQQZrmbkz, Convert__Reg1_2__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9823 /* vcvtqq2pd */, X86::VCVTQQ2PDZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 9823 /* vcvtqq2pd */, X86::VCVTQQ2PDZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 9823 /* vcvtqq2pd */, X86::VCVTQQ2PDZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 9823 /* vcvtqq2pd */, X86::VCVTQQ2PDZ128rm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X }, },
{ 9823 /* vcvtqq2pd */, X86::VCVTQQ2PDZ256rm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X }, },
{ 9823 /* vcvtqq2pd */, X86::VCVTQQ2PDZrm, Convert__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512 }, },
{ 9823 /* vcvtqq2pd */, X86::VCVTQQ2PDZrrb, Convert__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512 }, },
{ 9823 /* vcvtqq2pd */, X86::VCVTQQ2PDZ128rmb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X }, },
{ 9823 /* vcvtqq2pd */, X86::VCVTQQ2PDZ256rmb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X }, },
{ 9823 /* vcvtqq2pd */, X86::VCVTQQ2PDZrmb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512 }, },
{ 9823 /* vcvtqq2pd */, X86::VCVTQQ2PDZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9823 /* vcvtqq2pd */, X86::VCVTQQ2PDZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9823 /* vcvtqq2pd */, X86::VCVTQQ2PDZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9823 /* vcvtqq2pd */, X86::VCVTQQ2PDZ128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9823 /* vcvtqq2pd */, X86::VCVTQQ2PDZ256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9823 /* vcvtqq2pd */, X86::VCVTQQ2PDZrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9823 /* vcvtqq2pd */, X86::VCVTQQ2PDZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9823 /* vcvtqq2pd */, X86::VCVTQQ2PDZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9823 /* vcvtqq2pd */, X86::VCVTQQ2PDZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9823 /* vcvtqq2pd */, X86::VCVTQQ2PDZrrbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9823 /* vcvtqq2pd */, X86::VCVTQQ2PDZ128rmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9823 /* vcvtqq2pd */, X86::VCVTQQ2PDZ256rmkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9823 /* vcvtqq2pd */, X86::VCVTQQ2PDZrmkz, Convert__Reg1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9823 /* vcvtqq2pd */, X86::VCVTQQ2PDZ128rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9823 /* vcvtqq2pd */, X86::VCVTQQ2PDZ256rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9823 /* vcvtqq2pd */, X86::VCVTQQ2PDZrmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9823 /* vcvtqq2pd */, X86::VCVTQQ2PDZrrbkz, Convert__Reg1_2__Reg1_4__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9823 /* vcvtqq2pd */, X86::VCVTQQ2PDZ128rmbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9823 /* vcvtqq2pd */, X86::VCVTQQ2PDZ256rmbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9823 /* vcvtqq2pd */, X86::VCVTQQ2PDZrmbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9833 /* vcvtqq2ph */, X86::VCVTQQ2PHZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 9833 /* vcvtqq2ph */, X86::VCVTQQ2PHZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X }, },
{ 9833 /* vcvtqq2ph */, X86::VCVTQQ2PHZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_FR16X }, },
{ 9833 /* vcvtqq2ph */, X86::VCVTQQ2PHZrrb, Convert__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_FR16X }, },
{ 9833 /* vcvtqq2ph */, X86::VCVTQQ2PHZ128rmb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X }, },
{ 9833 /* vcvtqq2ph */, X86::VCVTQQ2PHZ256rmb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_FR16X }, },
{ 9833 /* vcvtqq2ph */, X86::VCVTQQ2PHZrmb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_FR16X }, },
{ 9833 /* vcvtqq2ph */, X86::VCVTQQ2PHZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9833 /* vcvtqq2ph */, X86::VCVTQQ2PHZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9833 /* vcvtqq2ph */, X86::VCVTQQ2PHZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9833 /* vcvtqq2ph */, X86::VCVTQQ2PHZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9833 /* vcvtqq2ph */, X86::VCVTQQ2PHZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9833 /* vcvtqq2ph */, X86::VCVTQQ2PHZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9833 /* vcvtqq2ph */, X86::VCVTQQ2PHZrrbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9833 /* vcvtqq2ph */, X86::VCVTQQ2PHZ128rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9833 /* vcvtqq2ph */, X86::VCVTQQ2PHZ256rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9833 /* vcvtqq2ph */, X86::VCVTQQ2PHZrmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9833 /* vcvtqq2ph */, X86::VCVTQQ2PHZrrbkz, Convert__Reg1_2__Reg1_4__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9833 /* vcvtqq2ph */, X86::VCVTQQ2PHZ128rmbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9833 /* vcvtqq2ph */, X86::VCVTQQ2PHZ256rmbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9833 /* vcvtqq2ph */, X86::VCVTQQ2PHZrmbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9843 /* vcvtqq2phx */, X86::VCVTQQ2PHZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 9843 /* vcvtqq2phx */, X86::VCVTQQ2PHZ128rm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X }, },
{ 9843 /* vcvtqq2phx */, X86::VCVTQQ2PHZ128rmb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X }, },
{ 9843 /* vcvtqq2phx */, X86::VCVTQQ2PHZ128rrk, Convert__Reg1_1__Tie0_2_2__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9843 /* vcvtqq2phx */, X86::VCVTQQ2PHZ128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9843 /* vcvtqq2phx */, X86::VCVTQQ2PHZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9843 /* vcvtqq2phx */, X86::VCVTQQ2PHZ128rmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9843 /* vcvtqq2phx */, X86::VCVTQQ2PHZ128rmbk, Convert__Reg1_2__Tie0_3_3__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9843 /* vcvtqq2phx */, X86::VCVTQQ2PHZ128rmbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9854 /* vcvtqq2phy */, X86::VCVTQQ2PHZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X }, },
{ 9854 /* vcvtqq2phy */, X86::VCVTQQ2PHZ256rm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_FR16X }, },
{ 9854 /* vcvtqq2phy */, X86::VCVTQQ2PHZ256rmb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_FR16X }, },
{ 9854 /* vcvtqq2phy */, X86::VCVTQQ2PHZ256rrk, Convert__Reg1_1__Tie0_2_2__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9854 /* vcvtqq2phy */, X86::VCVTQQ2PHZ256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9854 /* vcvtqq2phy */, X86::VCVTQQ2PHZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9854 /* vcvtqq2phy */, X86::VCVTQQ2PHZ256rmkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9854 /* vcvtqq2phy */, X86::VCVTQQ2PHZ256rmbk, Convert__Reg1_2__Tie0_3_3__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9854 /* vcvtqq2phy */, X86::VCVTQQ2PHZ256rmbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9865 /* vcvtqq2phz */, X86::VCVTQQ2PHZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_FR16X }, },
{ 9865 /* vcvtqq2phz */, X86::VCVTQQ2PHZrm, Convert__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_FR16X }, },
{ 9865 /* vcvtqq2phz */, X86::VCVTQQ2PHZrmb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_FR16X }, },
{ 9865 /* vcvtqq2phz */, X86::VCVTQQ2PHZrrk, Convert__Reg1_1__Tie0_2_2__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9865 /* vcvtqq2phz */, X86::VCVTQQ2PHZrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9865 /* vcvtqq2phz */, X86::VCVTQQ2PHZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9865 /* vcvtqq2phz */, X86::VCVTQQ2PHZrmkz, Convert__Reg1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9865 /* vcvtqq2phz */, X86::VCVTQQ2PHZrmbk, Convert__Reg1_2__Tie0_3_3__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9865 /* vcvtqq2phz */, X86::VCVTQQ2PHZrmbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9876 /* vcvtqq2ps */, X86::VCVTQQ2PSZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 9876 /* vcvtqq2ps */, X86::VCVTQQ2PSZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X }, },
{ 9876 /* vcvtqq2ps */, X86::VCVTQQ2PSZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR256X }, },
{ 9876 /* vcvtqq2ps */, X86::VCVTQQ2PSZrm, Convert__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR256X }, },
{ 9876 /* vcvtqq2ps */, X86::VCVTQQ2PSZrrb, Convert__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR256X }, },
{ 9876 /* vcvtqq2ps */, X86::VCVTQQ2PSZ128rmb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X }, },
{ 9876 /* vcvtqq2ps */, X86::VCVTQQ2PSZ256rmb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_FR16X }, },
{ 9876 /* vcvtqq2ps */, X86::VCVTQQ2PSZrmb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR256X }, },
{ 9876 /* vcvtqq2ps */, X86::VCVTQQ2PSZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9876 /* vcvtqq2ps */, X86::VCVTQQ2PSZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9876 /* vcvtqq2ps */, X86::VCVTQQ2PSZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9876 /* vcvtqq2ps */, X86::VCVTQQ2PSZrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9876 /* vcvtqq2ps */, X86::VCVTQQ2PSZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9876 /* vcvtqq2ps */, X86::VCVTQQ2PSZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9876 /* vcvtqq2ps */, X86::VCVTQQ2PSZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9876 /* vcvtqq2ps */, X86::VCVTQQ2PSZrrbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9876 /* vcvtqq2ps */, X86::VCVTQQ2PSZrmkz, Convert__Reg1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9876 /* vcvtqq2ps */, X86::VCVTQQ2PSZ128rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9876 /* vcvtqq2ps */, X86::VCVTQQ2PSZ256rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9876 /* vcvtqq2ps */, X86::VCVTQQ2PSZrmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9876 /* vcvtqq2ps */, X86::VCVTQQ2PSZrrbkz, Convert__Reg1_2__Reg1_4__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9876 /* vcvtqq2ps */, X86::VCVTQQ2PSZ128rmbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9876 /* vcvtqq2ps */, X86::VCVTQQ2PSZ256rmbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9876 /* vcvtqq2ps */, X86::VCVTQQ2PSZrmbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9886 /* vcvtqq2psx */, X86::VCVTQQ2PSZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 9886 /* vcvtqq2psx */, X86::VCVTQQ2PSZ128rm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X }, },
{ 9886 /* vcvtqq2psx */, X86::VCVTQQ2PSZ128rmb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X }, },
{ 9886 /* vcvtqq2psx */, X86::VCVTQQ2PSZ128rrk, Convert__Reg1_1__Tie0_2_2__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9886 /* vcvtqq2psx */, X86::VCVTQQ2PSZ128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9886 /* vcvtqq2psx */, X86::VCVTQQ2PSZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9886 /* vcvtqq2psx */, X86::VCVTQQ2PSZ128rmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9886 /* vcvtqq2psx */, X86::VCVTQQ2PSZ128rmbk, Convert__Reg1_2__Tie0_3_3__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9886 /* vcvtqq2psx */, X86::VCVTQQ2PSZ128rmbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9897 /* vcvtqq2psy */, X86::VCVTQQ2PSZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X }, },
{ 9897 /* vcvtqq2psy */, X86::VCVTQQ2PSZ256rm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_FR16X }, },
{ 9897 /* vcvtqq2psy */, X86::VCVTQQ2PSZ256rmb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_FR16X }, },
{ 9897 /* vcvtqq2psy */, X86::VCVTQQ2PSZ256rrk, Convert__Reg1_1__Tie0_2_2__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9897 /* vcvtqq2psy */, X86::VCVTQQ2PSZ256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9897 /* vcvtqq2psy */, X86::VCVTQQ2PSZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9897 /* vcvtqq2psy */, X86::VCVTQQ2PSZ256rmkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9897 /* vcvtqq2psy */, X86::VCVTQQ2PSZ256rmbk, Convert__Reg1_2__Tie0_3_3__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9897 /* vcvtqq2psy */, X86::VCVTQQ2PSZ256rmbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9908 /* vcvtsd2sh */, X86::VCVTSD2SHZrr_Int, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 9908 /* vcvtsd2sh */, X86::VCVTSD2SHZrm_Int, Convert__Reg1_2__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK_FR16X }, },
{ 9908 /* vcvtsd2sh */, X86::VCVTSD2SHZrrb_Int, Convert__Reg1_3__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 9908 /* vcvtsd2sh */, X86::VCVTSD2SHZrr_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9908 /* vcvtsd2sh */, X86::VCVTSD2SHZrm_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9908 /* vcvtsd2sh */, X86::VCVTSD2SHZrr_Intkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9908 /* vcvtsd2sh */, X86::VCVTSD2SHZrrb_Intk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9908 /* vcvtsd2sh */, X86::VCVTSD2SHZrm_Intkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9908 /* vcvtsd2sh */, X86::VCVTSD2SHZrrb_Intkz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9918 /* vcvtsd2si */, X86::VCVTSD2SIrr_Int, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_GR32 }, },
{ 9918 /* vcvtsd2si */, X86::VCVTSD2SI64rr_Int, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_GR64 }, },
{ 9918 /* vcvtsd2si */, X86::VCVTSD2SIZrr_Int, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_GR32 }, },
{ 9918 /* vcvtsd2si */, X86::VCVTSD2SI64Zrr_Int, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_GR64 }, },
{ 9918 /* vcvtsd2si */, X86::VCVTSD2SIrm_Int, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_GR32 }, },
{ 9918 /* vcvtsd2si */, X86::VCVTSD2SIZrm_Int, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_GR32 }, },
{ 9918 /* vcvtsd2si */, X86::VCVTSD2SI64rm_Int, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_GR64 }, },
{ 9918 /* vcvtsd2si */, X86::VCVTSD2SI64Zrm_Int, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_GR64 }, },
{ 9918 /* vcvtsd2si */, X86::VCVTSD2SIZrrb_Int, Convert__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_GR32 }, },
{ 9918 /* vcvtsd2si */, X86::VCVTSD2SI64Zrrb_Int, Convert__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_GR64 }, },
{ 9928 /* vcvtsd2sil */, X86::VCVTSD2SIrr_Int, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_GR32 }, },
{ 9928 /* vcvtsd2sil */, X86::VCVTSD2SIZrr_Int, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_GR32 }, },
{ 9928 /* vcvtsd2sil */, X86::VCVTSD2SIrm_Int, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_GR32 }, },
{ 9928 /* vcvtsd2sil */, X86::VCVTSD2SIZrm_Int, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_GR32 }, },
{ 9928 /* vcvtsd2sil */, X86::VCVTSD2SIZrrb_Int, Convert__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_GR32 }, },
{ 9939 /* vcvtsd2siq */, X86::VCVTSD2SI64rr_Int, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_GR64 }, },
{ 9939 /* vcvtsd2siq */, X86::VCVTSD2SI64Zrr_Int, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_GR64 }, },
{ 9939 /* vcvtsd2siq */, X86::VCVTSD2SI64rm_Int, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_GR64 }, },
{ 9939 /* vcvtsd2siq */, X86::VCVTSD2SI64Zrm_Int, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_GR64 }, },
{ 9939 /* vcvtsd2siq */, X86::VCVTSD2SI64Zrrb_Int, Convert__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_GR64 }, },
{ 9950 /* vcvtsd2ss */, X86::VCVTSD2SSrr_Int, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 9950 /* vcvtsd2ss */, X86::VCVTSD2SSZrr_Int, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 9950 /* vcvtsd2ss */, X86::VCVTSD2SSrm_Int, Convert__Reg1_2__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16, MCK_FR16 }, },
{ 9950 /* vcvtsd2ss */, X86::VCVTSD2SSZrm_Int, Convert__Reg1_2__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK_FR16X }, },
{ 9950 /* vcvtsd2ss */, X86::VCVTSD2SSZrrb_Int, Convert__Reg1_3__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 9950 /* vcvtsd2ss */, X86::VCVTSD2SSZrr_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9950 /* vcvtsd2ss */, X86::VCVTSD2SSZrm_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9950 /* vcvtsd2ss */, X86::VCVTSD2SSZrr_Intkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9950 /* vcvtsd2ss */, X86::VCVTSD2SSZrrb_Intk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9950 /* vcvtsd2ss */, X86::VCVTSD2SSZrm_Intkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9950 /* vcvtsd2ss */, X86::VCVTSD2SSZrrb_Intkz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9960 /* vcvtsd2usi */, X86::VCVTSD2USIZrr_Int, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_GR32 }, },
{ 9960 /* vcvtsd2usi */, X86::VCVTSD2USI64Zrr_Int, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_GR64 }, },
{ 9960 /* vcvtsd2usi */, X86::VCVTSD2USIZrm_Int, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_GR32 }, },
{ 9960 /* vcvtsd2usi */, X86::VCVTSD2USI64Zrm_Int, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_GR64 }, },
{ 9960 /* vcvtsd2usi */, X86::VCVTSD2USIZrrb_Int, Convert__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_GR32 }, },
{ 9960 /* vcvtsd2usi */, X86::VCVTSD2USI64Zrrb_Int, Convert__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_GR64 }, },
{ 9971 /* vcvtsd2usil */, X86::VCVTSD2USIZrr_Int, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_GR32 }, },
{ 9971 /* vcvtsd2usil */, X86::VCVTSD2USIZrm_Int, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_GR32 }, },
{ 9971 /* vcvtsd2usil */, X86::VCVTSD2USIZrrb_Int, Convert__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_GR32 }, },
{ 9983 /* vcvtsd2usiq */, X86::VCVTSD2USI64Zrr_Int, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_GR64 }, },
{ 9983 /* vcvtsd2usiq */, X86::VCVTSD2USI64Zrm_Int, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_GR64 }, },
{ 9983 /* vcvtsd2usiq */, X86::VCVTSD2USI64Zrrb_Int, Convert__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_GR64 }, },
{ 9995 /* vcvtsh2sd */, X86::VCVTSH2SDZrr_Int, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 9995 /* vcvtsh2sd */, X86::VCVTSH2SDZrm_Int, Convert__Reg1_2__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16X, MCK_FR16X }, },
{ 9995 /* vcvtsh2sd */, X86::VCVTSH2SDZrrb_Int, Convert__Reg1_3__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 9995 /* vcvtsh2sd */, X86::VCVTSH2SDZrr_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9995 /* vcvtsh2sd */, X86::VCVTSH2SDZrm_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9995 /* vcvtsh2sd */, X86::VCVTSH2SDZrrb_Intk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 9995 /* vcvtsh2sd */, X86::VCVTSH2SDZrr_Intkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9995 /* vcvtsh2sd */, X86::VCVTSH2SDZrm_Intkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 9995 /* vcvtsh2sd */, X86::VCVTSH2SDZrrb_Intkz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10005 /* vcvtsh2si */, X86::VCVTSH2SIZrr_Int, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_GR32 }, },
{ 10005 /* vcvtsh2si */, X86::VCVTSH2SI64Zrr_Int, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_GR64 }, },
{ 10005 /* vcvtsh2si */, X86::VCVTSH2SIZrm_Int, Convert__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_GR32 }, },
{ 10005 /* vcvtsh2si */, X86::VCVTSH2SI64Zrm_Int, Convert__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_GR64 }, },
{ 10005 /* vcvtsh2si */, X86::VCVTSH2SIZrrb_Int, Convert__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_GR32 }, },
{ 10005 /* vcvtsh2si */, X86::VCVTSH2SI64Zrrb_Int, Convert__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_GR64 }, },
{ 10015 /* vcvtsh2sil */, X86::VCVTSH2SIZrr_Int, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_GR32 }, },
{ 10015 /* vcvtsh2sil */, X86::VCVTSH2SIZrm_Int, Convert__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_GR32 }, },
{ 10015 /* vcvtsh2sil */, X86::VCVTSH2SIZrrb_Int, Convert__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_GR32 }, },
{ 10026 /* vcvtsh2siq */, X86::VCVTSH2SI64Zrr_Int, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_GR64 }, },
{ 10026 /* vcvtsh2siq */, X86::VCVTSH2SI64Zrm_Int, Convert__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_GR64 }, },
{ 10026 /* vcvtsh2siq */, X86::VCVTSH2SI64Zrrb_Int, Convert__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_GR64 }, },
{ 10037 /* vcvtsh2ss */, X86::VCVTSH2SSZrr_Int, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 10037 /* vcvtsh2ss */, X86::VCVTSH2SSZrm_Int, Convert__Reg1_2__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16X, MCK_FR16X }, },
{ 10037 /* vcvtsh2ss */, X86::VCVTSH2SSZrrb_Int, Convert__Reg1_3__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 10037 /* vcvtsh2ss */, X86::VCVTSH2SSZrr_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10037 /* vcvtsh2ss */, X86::VCVTSH2SSZrm_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10037 /* vcvtsh2ss */, X86::VCVTSH2SSZrrb_Intk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10037 /* vcvtsh2ss */, X86::VCVTSH2SSZrr_Intkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10037 /* vcvtsh2ss */, X86::VCVTSH2SSZrm_Intkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10037 /* vcvtsh2ss */, X86::VCVTSH2SSZrrb_Intkz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10047 /* vcvtsh2usi */, X86::VCVTSH2USIZrr_Int, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_GR32 }, },
{ 10047 /* vcvtsh2usi */, X86::VCVTSH2USI64Zrr_Int, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_GR64 }, },
{ 10047 /* vcvtsh2usi */, X86::VCVTSH2USIZrm_Int, Convert__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_GR32 }, },
{ 10047 /* vcvtsh2usi */, X86::VCVTSH2USI64Zrm_Int, Convert__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_GR64 }, },
{ 10047 /* vcvtsh2usi */, X86::VCVTSH2USIZrrb_Int, Convert__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_GR32 }, },
{ 10047 /* vcvtsh2usi */, X86::VCVTSH2USI64Zrrb_Int, Convert__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_GR64 }, },
{ 10058 /* vcvtsh2usil */, X86::VCVTSH2USIZrr_Int, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_GR32 }, },
{ 10058 /* vcvtsh2usil */, X86::VCVTSH2USIZrm_Int, Convert__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_GR32 }, },
{ 10058 /* vcvtsh2usil */, X86::VCVTSH2USIZrrb_Int, Convert__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_GR32 }, },
{ 10070 /* vcvtsh2usiq */, X86::VCVTSH2USI64Zrr_Int, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_GR64 }, },
{ 10070 /* vcvtsh2usiq */, X86::VCVTSH2USI64Zrm_Int, Convert__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_GR64 }, },
{ 10070 /* vcvtsh2usiq */, X86::VCVTSH2USI64Zrrb_Int, Convert__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_GR64 }, },
{ 10082 /* vcvtsi2sd */, X86::VCVTSI2SDrr_Int, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_FR16, MCK_FR16 }, },
{ 10082 /* vcvtsi2sd */, X86::VCVTSI2SDZrr_Int, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_FR16X, MCK_FR16X }, },
{ 10082 /* vcvtsi2sd */, X86::VCVTSI642SDrr_Int, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR64, MCK_FR16, MCK_FR16 }, },
{ 10082 /* vcvtsi2sd */, X86::VCVTSI642SDZrr_Int, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR64, MCK_FR16X, MCK_FR16X }, },
{ 10082 /* vcvtsi2sd */, X86::VCVTSI2SDrm_Int, Convert__Reg1_2__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16, MCK_FR16 }, },
{ 10082 /* vcvtsi2sd */, X86::VCVTSI2SDZrm_Int, Convert__Reg1_2__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X }, },
{ 10082 /* vcvtsi2sd */, X86::VCVTSI642SDZrrb_Int, Convert__Reg1_3__Reg1_2__Reg1_0__AVX512RC1_1, AMFBS_None, { MCK_GR64, MCK_AVX512RC, MCK_FR16X, MCK_FR16X }, },
{ 10092 /* vcvtsi2sdl */, X86::VCVTSI2SDrr_Int, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_FR16, MCK_FR16 }, },
{ 10092 /* vcvtsi2sdl */, X86::VCVTSI2SDZrr_Int, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_FR16X, MCK_FR16X }, },
{ 10092 /* vcvtsi2sdl */, X86::VCVTSI2SDrm_Int, Convert__Reg1_2__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16, MCK_FR16 }, },
{ 10092 /* vcvtsi2sdl */, X86::VCVTSI2SDZrm_Int, Convert__Reg1_2__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X }, },
{ 10103 /* vcvtsi2sdq */, X86::VCVTSI642SDrr_Int, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR64, MCK_FR16, MCK_FR16 }, },
{ 10103 /* vcvtsi2sdq */, X86::VCVTSI642SDZrr_Int, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR64, MCK_FR16X, MCK_FR16X }, },
{ 10103 /* vcvtsi2sdq */, X86::VCVTSI642SDrm_Int, Convert__Reg1_2__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16, MCK_FR16 }, },
{ 10103 /* vcvtsi2sdq */, X86::VCVTSI642SDZrm_Int, Convert__Reg1_2__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK_FR16X }, },
{ 10103 /* vcvtsi2sdq */, X86::VCVTSI642SDZrrb_Int, Convert__Reg1_3__Reg1_2__Reg1_0__AVX512RC1_1, AMFBS_None, { MCK_GR64, MCK_AVX512RC, MCK_FR16X, MCK_FR16X }, },
{ 10114 /* vcvtsi2sh */, X86::VCVTSI2SHZrr_Int, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_FR16X, MCK_FR16X }, },
{ 10114 /* vcvtsi2sh */, X86::VCVTSI642SHZrr_Int, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR64, MCK_FR16X, MCK_FR16X }, },
{ 10114 /* vcvtsi2sh */, X86::VCVTSI2SHZrm_Int, Convert__Reg1_2__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X }, },
{ 10114 /* vcvtsi2sh */, X86::VCVTSI2SHZrrb_Int, Convert__Reg1_3__Reg1_2__Reg1_0__AVX512RC1_1, AMFBS_None, { MCK_GR32, MCK_AVX512RC, MCK_FR16X, MCK_FR16X }, },
{ 10114 /* vcvtsi2sh */, X86::VCVTSI642SHZrrb_Int, Convert__Reg1_3__Reg1_2__Reg1_0__AVX512RC1_1, AMFBS_None, { MCK_GR64, MCK_AVX512RC, MCK_FR16X, MCK_FR16X }, },
{ 10124 /* vcvtsi2shl */, X86::VCVTSI2SHZrr_Int, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_FR16X, MCK_FR16X }, },
{ 10124 /* vcvtsi2shl */, X86::VCVTSI2SHZrm_Int, Convert__Reg1_2__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X }, },
{ 10124 /* vcvtsi2shl */, X86::VCVTSI2SHZrrb_Int, Convert__Reg1_3__Reg1_2__Reg1_0__AVX512RC1_1, AMFBS_None, { MCK_GR32, MCK_AVX512RC, MCK_FR16X, MCK_FR16X }, },
{ 10135 /* vcvtsi2shq */, X86::VCVTSI642SHZrr_Int, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR64, MCK_FR16X, MCK_FR16X }, },
{ 10135 /* vcvtsi2shq */, X86::VCVTSI642SHZrm_Int, Convert__Reg1_2__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK_FR16X }, },
{ 10135 /* vcvtsi2shq */, X86::VCVTSI642SHZrrb_Int, Convert__Reg1_3__Reg1_2__Reg1_0__AVX512RC1_1, AMFBS_None, { MCK_GR64, MCK_AVX512RC, MCK_FR16X, MCK_FR16X }, },
{ 10146 /* vcvtsi2ss */, X86::VCVTSI2SSrr_Int, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_FR16, MCK_FR16 }, },
{ 10146 /* vcvtsi2ss */, X86::VCVTSI2SSZrr_Int, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_FR16X, MCK_FR16X }, },
{ 10146 /* vcvtsi2ss */, X86::VCVTSI642SSrr_Int, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR64, MCK_FR16, MCK_FR16 }, },
{ 10146 /* vcvtsi2ss */, X86::VCVTSI642SSZrr_Int, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR64, MCK_FR16X, MCK_FR16X }, },
{ 10146 /* vcvtsi2ss */, X86::VCVTSI2SSrm_Int, Convert__Reg1_2__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16, MCK_FR16 }, },
{ 10146 /* vcvtsi2ss */, X86::VCVTSI2SSZrm_Int, Convert__Reg1_2__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X }, },
{ 10146 /* vcvtsi2ss */, X86::VCVTSI2SSZrrb_Int, Convert__Reg1_3__Reg1_2__Reg1_0__AVX512RC1_1, AMFBS_None, { MCK_GR32, MCK_AVX512RC, MCK_FR16X, MCK_FR16X }, },
{ 10146 /* vcvtsi2ss */, X86::VCVTSI642SSZrrb_Int, Convert__Reg1_3__Reg1_2__Reg1_0__AVX512RC1_1, AMFBS_None, { MCK_GR64, MCK_AVX512RC, MCK_FR16X, MCK_FR16X }, },
{ 10156 /* vcvtsi2ssl */, X86::VCVTSI2SSrr_Int, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_FR16, MCK_FR16 }, },
{ 10156 /* vcvtsi2ssl */, X86::VCVTSI2SSZrr_Int, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_FR16X, MCK_FR16X }, },
{ 10156 /* vcvtsi2ssl */, X86::VCVTSI2SSrm_Int, Convert__Reg1_2__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16, MCK_FR16 }, },
{ 10156 /* vcvtsi2ssl */, X86::VCVTSI2SSZrm_Int, Convert__Reg1_2__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X }, },
{ 10156 /* vcvtsi2ssl */, X86::VCVTSI2SSZrrb_Int, Convert__Reg1_3__Reg1_2__Reg1_0__AVX512RC1_1, AMFBS_None, { MCK_GR32, MCK_AVX512RC, MCK_FR16X, MCK_FR16X }, },
{ 10167 /* vcvtsi2ssq */, X86::VCVTSI642SSrr_Int, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR64, MCK_FR16, MCK_FR16 }, },
{ 10167 /* vcvtsi2ssq */, X86::VCVTSI642SSZrr_Int, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR64, MCK_FR16X, MCK_FR16X }, },
{ 10167 /* vcvtsi2ssq */, X86::VCVTSI642SSrm_Int, Convert__Reg1_2__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16, MCK_FR16 }, },
{ 10167 /* vcvtsi2ssq */, X86::VCVTSI642SSZrm_Int, Convert__Reg1_2__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK_FR16X }, },
{ 10167 /* vcvtsi2ssq */, X86::VCVTSI642SSZrrb_Int, Convert__Reg1_3__Reg1_2__Reg1_0__AVX512RC1_1, AMFBS_None, { MCK_GR64, MCK_AVX512RC, MCK_FR16X, MCK_FR16X }, },
{ 10178 /* vcvtss2sd */, X86::VCVTSS2SDrr_Int, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 10178 /* vcvtss2sd */, X86::VCVTSS2SDZrr_Int, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 10178 /* vcvtss2sd */, X86::VCVTSS2SDrm_Int, Convert__Reg1_2__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16, MCK_FR16 }, },
{ 10178 /* vcvtss2sd */, X86::VCVTSS2SDZrm_Int, Convert__Reg1_2__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X }, },
{ 10178 /* vcvtss2sd */, X86::VCVTSS2SDZrrb_Int, Convert__Reg1_3__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 10178 /* vcvtss2sd */, X86::VCVTSS2SDZrr_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10178 /* vcvtss2sd */, X86::VCVTSS2SDZrm_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10178 /* vcvtss2sd */, X86::VCVTSS2SDZrrb_Intk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10178 /* vcvtss2sd */, X86::VCVTSS2SDZrr_Intkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10178 /* vcvtss2sd */, X86::VCVTSS2SDZrm_Intkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10178 /* vcvtss2sd */, X86::VCVTSS2SDZrrb_Intkz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10188 /* vcvtss2sh */, X86::VCVTSS2SHZrr_Int, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 10188 /* vcvtss2sh */, X86::VCVTSS2SHZrm_Int, Convert__Reg1_2__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X }, },
{ 10188 /* vcvtss2sh */, X86::VCVTSS2SHZrrb_Int, Convert__Reg1_3__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 10188 /* vcvtss2sh */, X86::VCVTSS2SHZrr_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10188 /* vcvtss2sh */, X86::VCVTSS2SHZrm_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10188 /* vcvtss2sh */, X86::VCVTSS2SHZrr_Intkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10188 /* vcvtss2sh */, X86::VCVTSS2SHZrrb_Intk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10188 /* vcvtss2sh */, X86::VCVTSS2SHZrm_Intkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10188 /* vcvtss2sh */, X86::VCVTSS2SHZrrb_Intkz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10198 /* vcvtss2si */, X86::VCVTSS2SIrr_Int, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_GR32 }, },
{ 10198 /* vcvtss2si */, X86::VCVTSS2SI64rr_Int, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_GR64 }, },
{ 10198 /* vcvtss2si */, X86::VCVTSS2SIZrr_Int, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_GR32 }, },
{ 10198 /* vcvtss2si */, X86::VCVTSS2SI64Zrr_Int, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_GR64 }, },
{ 10198 /* vcvtss2si */, X86::VCVTSS2SIrm_Int, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_GR32 }, },
{ 10198 /* vcvtss2si */, X86::VCVTSS2SIZrm_Int, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_GR32 }, },
{ 10198 /* vcvtss2si */, X86::VCVTSS2SI64rm_Int, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_GR64 }, },
{ 10198 /* vcvtss2si */, X86::VCVTSS2SI64Zrm_Int, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_GR64 }, },
{ 10198 /* vcvtss2si */, X86::VCVTSS2SIZrrb_Int, Convert__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_GR32 }, },
{ 10198 /* vcvtss2si */, X86::VCVTSS2SI64Zrrb_Int, Convert__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_GR64 }, },
{ 10208 /* vcvtss2sil */, X86::VCVTSS2SIrr_Int, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_GR32 }, },
{ 10208 /* vcvtss2sil */, X86::VCVTSS2SIZrr_Int, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_GR32 }, },
{ 10208 /* vcvtss2sil */, X86::VCVTSS2SIrm_Int, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_GR32 }, },
{ 10208 /* vcvtss2sil */, X86::VCVTSS2SIZrm_Int, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_GR32 }, },
{ 10208 /* vcvtss2sil */, X86::VCVTSS2SIZrrb_Int, Convert__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_GR32 }, },
{ 10219 /* vcvtss2siq */, X86::VCVTSS2SI64rr_Int, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_GR64 }, },
{ 10219 /* vcvtss2siq */, X86::VCVTSS2SI64Zrr_Int, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_GR64 }, },
{ 10219 /* vcvtss2siq */, X86::VCVTSS2SI64rm_Int, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_GR64 }, },
{ 10219 /* vcvtss2siq */, X86::VCVTSS2SI64Zrm_Int, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_GR64 }, },
{ 10219 /* vcvtss2siq */, X86::VCVTSS2SI64Zrrb_Int, Convert__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_GR64 }, },
{ 10230 /* vcvtss2usi */, X86::VCVTSS2USIZrr_Int, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_GR32 }, },
{ 10230 /* vcvtss2usi */, X86::VCVTSS2USI64Zrr_Int, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_GR64 }, },
{ 10230 /* vcvtss2usi */, X86::VCVTSS2USIZrm_Int, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_GR32 }, },
{ 10230 /* vcvtss2usi */, X86::VCVTSS2USI64Zrm_Int, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_GR64 }, },
{ 10230 /* vcvtss2usi */, X86::VCVTSS2USIZrrb_Int, Convert__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_GR32 }, },
{ 10230 /* vcvtss2usi */, X86::VCVTSS2USI64Zrrb_Int, Convert__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_GR64 }, },
{ 10241 /* vcvtss2usil */, X86::VCVTSS2USIZrr_Int, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_GR32 }, },
{ 10241 /* vcvtss2usil */, X86::VCVTSS2USIZrm_Int, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_GR32 }, },
{ 10241 /* vcvtss2usil */, X86::VCVTSS2USIZrrb_Int, Convert__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_GR32 }, },
{ 10253 /* vcvtss2usiq */, X86::VCVTSS2USI64Zrr_Int, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_GR64 }, },
{ 10253 /* vcvtss2usiq */, X86::VCVTSS2USI64Zrm_Int, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_GR64 }, },
{ 10253 /* vcvtss2usiq */, X86::VCVTSS2USI64Zrrb_Int, Convert__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_GR64 }, },
{ 10265 /* vcvttpd2dq */, X86::VCVTTPD2DQrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 10265 /* vcvttpd2dq */, X86::VCVTTPD2DQYrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_FR16 }, },
{ 10265 /* vcvttpd2dq */, X86::VCVTTPD2DQZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 10265 /* vcvttpd2dq */, X86::VCVTTPD2DQZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X }, },
{ 10265 /* vcvttpd2dq */, X86::VCVTTPD2DQZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR256X }, },
{ 10265 /* vcvttpd2dq */, X86::VCVTTPD2DQZrm, Convert__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR256X }, },
{ 10265 /* vcvttpd2dq */, X86::VCVTTPD2DQZrrb, Convert__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_VR512, MCK_VR256X }, },
{ 10265 /* vcvttpd2dq */, X86::VCVTTPD2DQZ128rmb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X }, },
{ 10265 /* vcvttpd2dq */, X86::VCVTTPD2DQZ256rmb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_FR16X }, },
{ 10265 /* vcvttpd2dq */, X86::VCVTTPD2DQZrmb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR256X }, },
{ 10265 /* vcvttpd2dq */, X86::VCVTTPD2DQZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10265 /* vcvttpd2dq */, X86::VCVTTPD2DQZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10265 /* vcvttpd2dq */, X86::VCVTTPD2DQZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10265 /* vcvttpd2dq */, X86::VCVTTPD2DQZrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10265 /* vcvttpd2dq */, X86::VCVTTPD2DQZrrbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_VR512, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10265 /* vcvttpd2dq */, X86::VCVTTPD2DQZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10265 /* vcvttpd2dq */, X86::VCVTTPD2DQZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10265 /* vcvttpd2dq */, X86::VCVTTPD2DQZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10265 /* vcvttpd2dq */, X86::VCVTTPD2DQZrmkz, Convert__Reg1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10265 /* vcvttpd2dq */, X86::VCVTTPD2DQZ128rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10265 /* vcvttpd2dq */, X86::VCVTTPD2DQZ256rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10265 /* vcvttpd2dq */, X86::VCVTTPD2DQZrmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10265 /* vcvttpd2dq */, X86::VCVTTPD2DQZrrbkz, Convert__Reg1_2__Reg1_4__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_VR512, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10265 /* vcvttpd2dq */, X86::VCVTTPD2DQZ128rmbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10265 /* vcvttpd2dq */, X86::VCVTTPD2DQZ256rmbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10265 /* vcvttpd2dq */, X86::VCVTTPD2DQZrmbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10276 /* vcvttpd2dqx */, X86::VCVTTPD2DQrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 10276 /* vcvttpd2dqx */, X86::VCVTTPD2DQZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 10276 /* vcvttpd2dqx */, X86::VCVTTPD2DQrm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 10276 /* vcvttpd2dqx */, X86::VCVTTPD2DQZ128rm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X }, },
{ 10276 /* vcvttpd2dqx */, X86::VCVTTPD2DQZ128rmb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X }, },
{ 10276 /* vcvttpd2dqx */, X86::VCVTTPD2DQZ128rrk, Convert__Reg1_1__Tie0_2_2__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10276 /* vcvttpd2dqx */, X86::VCVTTPD2DQZ128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10276 /* vcvttpd2dqx */, X86::VCVTTPD2DQZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10276 /* vcvttpd2dqx */, X86::VCVTTPD2DQZ128rmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10276 /* vcvttpd2dqx */, X86::VCVTTPD2DQZ128rmbk, Convert__Reg1_2__Tie0_3_3__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10276 /* vcvttpd2dqx */, X86::VCVTTPD2DQZ128rmbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10288 /* vcvttpd2dqy */, X86::VCVTTPD2DQYrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_FR16 }, },
{ 10288 /* vcvttpd2dqy */, X86::VCVTTPD2DQZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X }, },
{ 10288 /* vcvttpd2dqy */, X86::VCVTTPD2DQYrm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_FR16 }, },
{ 10288 /* vcvttpd2dqy */, X86::VCVTTPD2DQZ256rm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_FR16X }, },
{ 10288 /* vcvttpd2dqy */, X86::VCVTTPD2DQZ256rmb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_FR16X }, },
{ 10288 /* vcvttpd2dqy */, X86::VCVTTPD2DQZ256rrk, Convert__Reg1_1__Tie0_2_2__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10288 /* vcvttpd2dqy */, X86::VCVTTPD2DQZ256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10288 /* vcvttpd2dqy */, X86::VCVTTPD2DQZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10288 /* vcvttpd2dqy */, X86::VCVTTPD2DQZ256rmkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10288 /* vcvttpd2dqy */, X86::VCVTTPD2DQZ256rmbk, Convert__Reg1_2__Tie0_3_3__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10288 /* vcvttpd2dqy */, X86::VCVTTPD2DQZ256rmbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10300 /* vcvttpd2qq */, X86::VCVTTPD2QQZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 10300 /* vcvttpd2qq */, X86::VCVTTPD2QQZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 10300 /* vcvttpd2qq */, X86::VCVTTPD2QQZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 10300 /* vcvttpd2qq */, X86::VCVTTPD2QQZ128rm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X }, },
{ 10300 /* vcvttpd2qq */, X86::VCVTTPD2QQZ256rm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X }, },
{ 10300 /* vcvttpd2qq */, X86::VCVTTPD2QQZrm, Convert__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512 }, },
{ 10300 /* vcvttpd2qq */, X86::VCVTTPD2QQZrrb, Convert__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_VR512, MCK_VR512 }, },
{ 10300 /* vcvttpd2qq */, X86::VCVTTPD2QQZ128rmb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X }, },
{ 10300 /* vcvttpd2qq */, X86::VCVTTPD2QQZ256rmb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X }, },
{ 10300 /* vcvttpd2qq */, X86::VCVTTPD2QQZrmb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512 }, },
{ 10300 /* vcvttpd2qq */, X86::VCVTTPD2QQZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10300 /* vcvttpd2qq */, X86::VCVTTPD2QQZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10300 /* vcvttpd2qq */, X86::VCVTTPD2QQZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10300 /* vcvttpd2qq */, X86::VCVTTPD2QQZ128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10300 /* vcvttpd2qq */, X86::VCVTTPD2QQZ256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10300 /* vcvttpd2qq */, X86::VCVTTPD2QQZrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10300 /* vcvttpd2qq */, X86::VCVTTPD2QQZrrbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10300 /* vcvttpd2qq */, X86::VCVTTPD2QQZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10300 /* vcvttpd2qq */, X86::VCVTTPD2QQZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10300 /* vcvttpd2qq */, X86::VCVTTPD2QQZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10300 /* vcvttpd2qq */, X86::VCVTTPD2QQZ128rmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10300 /* vcvttpd2qq */, X86::VCVTTPD2QQZ256rmkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10300 /* vcvttpd2qq */, X86::VCVTTPD2QQZrmkz, Convert__Reg1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10300 /* vcvttpd2qq */, X86::VCVTTPD2QQZ128rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10300 /* vcvttpd2qq */, X86::VCVTTPD2QQZ256rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10300 /* vcvttpd2qq */, X86::VCVTTPD2QQZrmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10300 /* vcvttpd2qq */, X86::VCVTTPD2QQZrrbkz, Convert__Reg1_2__Reg1_4__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10300 /* vcvttpd2qq */, X86::VCVTTPD2QQZ128rmbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10300 /* vcvttpd2qq */, X86::VCVTTPD2QQZ256rmbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10300 /* vcvttpd2qq */, X86::VCVTTPD2QQZrmbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10311 /* vcvttpd2udq */, X86::VCVTTPD2UDQZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 10311 /* vcvttpd2udq */, X86::VCVTTPD2UDQZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X }, },
{ 10311 /* vcvttpd2udq */, X86::VCVTTPD2UDQZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR256X }, },
{ 10311 /* vcvttpd2udq */, X86::VCVTTPD2UDQZrm, Convert__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR256X }, },
{ 10311 /* vcvttpd2udq */, X86::VCVTTPD2UDQZrrb, Convert__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_VR512, MCK_VR256X }, },
{ 10311 /* vcvttpd2udq */, X86::VCVTTPD2UDQZ128rmb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X }, },
{ 10311 /* vcvttpd2udq */, X86::VCVTTPD2UDQZ256rmb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_FR16X }, },
{ 10311 /* vcvttpd2udq */, X86::VCVTTPD2UDQZrmb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR256X }, },
{ 10311 /* vcvttpd2udq */, X86::VCVTTPD2UDQZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10311 /* vcvttpd2udq */, X86::VCVTTPD2UDQZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10311 /* vcvttpd2udq */, X86::VCVTTPD2UDQZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10311 /* vcvttpd2udq */, X86::VCVTTPD2UDQZrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10311 /* vcvttpd2udq */, X86::VCVTTPD2UDQZrrbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_VR512, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10311 /* vcvttpd2udq */, X86::VCVTTPD2UDQZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10311 /* vcvttpd2udq */, X86::VCVTTPD2UDQZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10311 /* vcvttpd2udq */, X86::VCVTTPD2UDQZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10311 /* vcvttpd2udq */, X86::VCVTTPD2UDQZrmkz, Convert__Reg1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10311 /* vcvttpd2udq */, X86::VCVTTPD2UDQZ128rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10311 /* vcvttpd2udq */, X86::VCVTTPD2UDQZ256rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10311 /* vcvttpd2udq */, X86::VCVTTPD2UDQZrmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10311 /* vcvttpd2udq */, X86::VCVTTPD2UDQZrrbkz, Convert__Reg1_2__Reg1_4__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_VR512, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10311 /* vcvttpd2udq */, X86::VCVTTPD2UDQZ128rmbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10311 /* vcvttpd2udq */, X86::VCVTTPD2UDQZ256rmbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10311 /* vcvttpd2udq */, X86::VCVTTPD2UDQZrmbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10323 /* vcvttpd2udqx */, X86::VCVTTPD2UDQZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 10323 /* vcvttpd2udqx */, X86::VCVTTPD2UDQZ128rm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X }, },
{ 10323 /* vcvttpd2udqx */, X86::VCVTTPD2UDQZ128rmb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X }, },
{ 10323 /* vcvttpd2udqx */, X86::VCVTTPD2UDQZ128rrk, Convert__Reg1_1__Tie0_2_2__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10323 /* vcvttpd2udqx */, X86::VCVTTPD2UDQZ128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10323 /* vcvttpd2udqx */, X86::VCVTTPD2UDQZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10323 /* vcvttpd2udqx */, X86::VCVTTPD2UDQZ128rmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10323 /* vcvttpd2udqx */, X86::VCVTTPD2UDQZ128rmbk, Convert__Reg1_2__Tie0_3_3__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10323 /* vcvttpd2udqx */, X86::VCVTTPD2UDQZ128rmbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10336 /* vcvttpd2udqy */, X86::VCVTTPD2UDQZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X }, },
{ 10336 /* vcvttpd2udqy */, X86::VCVTTPD2UDQZ256rm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_FR16X }, },
{ 10336 /* vcvttpd2udqy */, X86::VCVTTPD2UDQZ256rmb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_FR16X }, },
{ 10336 /* vcvttpd2udqy */, X86::VCVTTPD2UDQZ256rrk, Convert__Reg1_1__Tie0_2_2__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10336 /* vcvttpd2udqy */, X86::VCVTTPD2UDQZ256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10336 /* vcvttpd2udqy */, X86::VCVTTPD2UDQZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10336 /* vcvttpd2udqy */, X86::VCVTTPD2UDQZ256rmkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10336 /* vcvttpd2udqy */, X86::VCVTTPD2UDQZ256rmbk, Convert__Reg1_2__Tie0_3_3__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10336 /* vcvttpd2udqy */, X86::VCVTTPD2UDQZ256rmbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10349 /* vcvttpd2uqq */, X86::VCVTTPD2UQQZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 10349 /* vcvttpd2uqq */, X86::VCVTTPD2UQQZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 10349 /* vcvttpd2uqq */, X86::VCVTTPD2UQQZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 10349 /* vcvttpd2uqq */, X86::VCVTTPD2UQQZ128rm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X }, },
{ 10349 /* vcvttpd2uqq */, X86::VCVTTPD2UQQZ256rm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X }, },
{ 10349 /* vcvttpd2uqq */, X86::VCVTTPD2UQQZrm, Convert__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512 }, },
{ 10349 /* vcvttpd2uqq */, X86::VCVTTPD2UQQZrrb, Convert__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_VR512, MCK_VR512 }, },
{ 10349 /* vcvttpd2uqq */, X86::VCVTTPD2UQQZ128rmb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X }, },
{ 10349 /* vcvttpd2uqq */, X86::VCVTTPD2UQQZ256rmb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X }, },
{ 10349 /* vcvttpd2uqq */, X86::VCVTTPD2UQQZrmb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512 }, },
{ 10349 /* vcvttpd2uqq */, X86::VCVTTPD2UQQZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10349 /* vcvttpd2uqq */, X86::VCVTTPD2UQQZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10349 /* vcvttpd2uqq */, X86::VCVTTPD2UQQZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10349 /* vcvttpd2uqq */, X86::VCVTTPD2UQQZ128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10349 /* vcvttpd2uqq */, X86::VCVTTPD2UQQZ256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10349 /* vcvttpd2uqq */, X86::VCVTTPD2UQQZrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10349 /* vcvttpd2uqq */, X86::VCVTTPD2UQQZrrbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10349 /* vcvttpd2uqq */, X86::VCVTTPD2UQQZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10349 /* vcvttpd2uqq */, X86::VCVTTPD2UQQZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10349 /* vcvttpd2uqq */, X86::VCVTTPD2UQQZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10349 /* vcvttpd2uqq */, X86::VCVTTPD2UQQZ128rmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10349 /* vcvttpd2uqq */, X86::VCVTTPD2UQQZ256rmkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10349 /* vcvttpd2uqq */, X86::VCVTTPD2UQQZrmkz, Convert__Reg1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10349 /* vcvttpd2uqq */, X86::VCVTTPD2UQQZ128rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10349 /* vcvttpd2uqq */, X86::VCVTTPD2UQQZ256rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10349 /* vcvttpd2uqq */, X86::VCVTTPD2UQQZrmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10349 /* vcvttpd2uqq */, X86::VCVTTPD2UQQZrrbkz, Convert__Reg1_2__Reg1_4__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10349 /* vcvttpd2uqq */, X86::VCVTTPD2UQQZ128rmbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10349 /* vcvttpd2uqq */, X86::VCVTTPD2UQQZ256rmbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10349 /* vcvttpd2uqq */, X86::VCVTTPD2UQQZrmbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10361 /* vcvttph2dq */, X86::VCVTTPH2DQZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 10361 /* vcvttph2dq */, X86::VCVTTPH2DQZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X }, },
{ 10361 /* vcvttph2dq */, X86::VCVTTPH2DQZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR512 }, },
{ 10361 /* vcvttph2dq */, X86::VCVTTPH2DQZ256rm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256X }, },
{ 10361 /* vcvttph2dq */, X86::VCVTTPH2DQZrm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR512 }, },
{ 10361 /* vcvttph2dq */, X86::VCVTTPH2DQZ128rm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X }, },
{ 10361 /* vcvttph2dq */, X86::VCVTTPH2DQZrrb, Convert__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_VR256X, MCK_VR512 }, },
{ 10361 /* vcvttph2dq */, X86::VCVTTPH2DQZrmb, Convert__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR512 }, },
{ 10361 /* vcvttph2dq */, X86::VCVTTPH2DQZ128rmb, Convert__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to4_125_, MCK_FR16X }, },
{ 10361 /* vcvttph2dq */, X86::VCVTTPH2DQZ256rmb, Convert__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_VR256X }, },
{ 10361 /* vcvttph2dq */, X86::VCVTTPH2DQZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10361 /* vcvttph2dq */, X86::VCVTTPH2DQZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10361 /* vcvttph2dq */, X86::VCVTTPH2DQZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10361 /* vcvttph2dq */, X86::VCVTTPH2DQZ256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10361 /* vcvttph2dq */, X86::VCVTTPH2DQZrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10361 /* vcvttph2dq */, X86::VCVTTPH2DQZ128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10361 /* vcvttph2dq */, X86::VCVTTPH2DQZrrbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_VR256X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10361 /* vcvttph2dq */, X86::VCVTTPH2DQZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10361 /* vcvttph2dq */, X86::VCVTTPH2DQZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10361 /* vcvttph2dq */, X86::VCVTTPH2DQZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10361 /* vcvttph2dq */, X86::VCVTTPH2DQZ256rmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10361 /* vcvttph2dq */, X86::VCVTTPH2DQZrmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10361 /* vcvttph2dq */, X86::VCVTTPH2DQZ128rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10361 /* vcvttph2dq */, X86::VCVTTPH2DQZ256rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10361 /* vcvttph2dq */, X86::VCVTTPH2DQZrmkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10361 /* vcvttph2dq */, X86::VCVTTPH2DQZ128rmkz, Convert__Reg1_1__Reg1_3__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10361 /* vcvttph2dq */, X86::VCVTTPH2DQZrrbkz, Convert__Reg1_2__Reg1_4__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_VR256X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10361 /* vcvttph2dq */, X86::VCVTTPH2DQZrmbkz, Convert__Reg1_2__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10361 /* vcvttph2dq */, X86::VCVTTPH2DQZ128rmbkz, Convert__Reg1_2__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10361 /* vcvttph2dq */, X86::VCVTTPH2DQZ256rmbkz, Convert__Reg1_2__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10372 /* vcvttph2qq */, X86::VCVTTPH2QQZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 10372 /* vcvttph2qq */, X86::VCVTTPH2QQZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X }, },
{ 10372 /* vcvttph2qq */, X86::VCVTTPH2QQZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR512 }, },
{ 10372 /* vcvttph2qq */, X86::VCVTTPH2QQZrm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR512 }, },
{ 10372 /* vcvttph2qq */, X86::VCVTTPH2QQZ128rm, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X }, },
{ 10372 /* vcvttph2qq */, X86::VCVTTPH2QQZ256rm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR256X }, },
{ 10372 /* vcvttph2qq */, X86::VCVTTPH2QQZrrb, Convert__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_FR16X, MCK_VR512 }, },
{ 10372 /* vcvttph2qq */, X86::VCVTTPH2QQZ128rmb, Convert__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to2_125_, MCK_FR16X }, },
{ 10372 /* vcvttph2qq */, X86::VCVTTPH2QQZ256rmb, Convert__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to4_125_, MCK_VR256X }, },
{ 10372 /* vcvttph2qq */, X86::VCVTTPH2QQZrmb, Convert__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_VR512 }, },
{ 10372 /* vcvttph2qq */, X86::VCVTTPH2QQZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10372 /* vcvttph2qq */, X86::VCVTTPH2QQZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10372 /* vcvttph2qq */, X86::VCVTTPH2QQZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10372 /* vcvttph2qq */, X86::VCVTTPH2QQZrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10372 /* vcvttph2qq */, X86::VCVTTPH2QQZ128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10372 /* vcvttph2qq */, X86::VCVTTPH2QQZ256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10372 /* vcvttph2qq */, X86::VCVTTPH2QQZrrbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_FR16X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10372 /* vcvttph2qq */, X86::VCVTTPH2QQZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10372 /* vcvttph2qq */, X86::VCVTTPH2QQZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10372 /* vcvttph2qq */, X86::VCVTTPH2QQZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10372 /* vcvttph2qq */, X86::VCVTTPH2QQZrmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10372 /* vcvttph2qq */, X86::VCVTTPH2QQZ128rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10372 /* vcvttph2qq */, X86::VCVTTPH2QQZ256rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to4_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10372 /* vcvttph2qq */, X86::VCVTTPH2QQZrmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10372 /* vcvttph2qq */, X86::VCVTTPH2QQZ128rmkz, Convert__Reg1_1__Reg1_3__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10372 /* vcvttph2qq */, X86::VCVTTPH2QQZ256rmkz, Convert__Reg1_1__Reg1_3__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10372 /* vcvttph2qq */, X86::VCVTTPH2QQZrrbkz, Convert__Reg1_2__Reg1_4__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_FR16X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10372 /* vcvttph2qq */, X86::VCVTTPH2QQZ128rmbkz, Convert__Reg1_2__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10372 /* vcvttph2qq */, X86::VCVTTPH2QQZ256rmbkz, Convert__Reg1_2__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to4_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10372 /* vcvttph2qq */, X86::VCVTTPH2QQZrmbkz, Convert__Reg1_2__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10383 /* vcvttph2udq */, X86::VCVTTPH2UDQZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 10383 /* vcvttph2udq */, X86::VCVTTPH2UDQZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X }, },
{ 10383 /* vcvttph2udq */, X86::VCVTTPH2UDQZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR512 }, },
{ 10383 /* vcvttph2udq */, X86::VCVTTPH2UDQZ256rm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256X }, },
{ 10383 /* vcvttph2udq */, X86::VCVTTPH2UDQZrm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR512 }, },
{ 10383 /* vcvttph2udq */, X86::VCVTTPH2UDQZ128rm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X }, },
{ 10383 /* vcvttph2udq */, X86::VCVTTPH2UDQZrrb, Convert__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_VR256X, MCK_VR512 }, },
{ 10383 /* vcvttph2udq */, X86::VCVTTPH2UDQZrmb, Convert__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR512 }, },
{ 10383 /* vcvttph2udq */, X86::VCVTTPH2UDQZ128rmb, Convert__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to4_125_, MCK_FR16X }, },
{ 10383 /* vcvttph2udq */, X86::VCVTTPH2UDQZ256rmb, Convert__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_VR256X }, },
{ 10383 /* vcvttph2udq */, X86::VCVTTPH2UDQZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10383 /* vcvttph2udq */, X86::VCVTTPH2UDQZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10383 /* vcvttph2udq */, X86::VCVTTPH2UDQZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10383 /* vcvttph2udq */, X86::VCVTTPH2UDQZ256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10383 /* vcvttph2udq */, X86::VCVTTPH2UDQZrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10383 /* vcvttph2udq */, X86::VCVTTPH2UDQZ128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10383 /* vcvttph2udq */, X86::VCVTTPH2UDQZrrbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_VR256X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10383 /* vcvttph2udq */, X86::VCVTTPH2UDQZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10383 /* vcvttph2udq */, X86::VCVTTPH2UDQZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10383 /* vcvttph2udq */, X86::VCVTTPH2UDQZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10383 /* vcvttph2udq */, X86::VCVTTPH2UDQZ256rmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10383 /* vcvttph2udq */, X86::VCVTTPH2UDQZrmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10383 /* vcvttph2udq */, X86::VCVTTPH2UDQZ128rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10383 /* vcvttph2udq */, X86::VCVTTPH2UDQZ256rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10383 /* vcvttph2udq */, X86::VCVTTPH2UDQZrmkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10383 /* vcvttph2udq */, X86::VCVTTPH2UDQZ128rmkz, Convert__Reg1_1__Reg1_3__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10383 /* vcvttph2udq */, X86::VCVTTPH2UDQZrrbkz, Convert__Reg1_2__Reg1_4__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_VR256X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10383 /* vcvttph2udq */, X86::VCVTTPH2UDQZrmbkz, Convert__Reg1_2__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10383 /* vcvttph2udq */, X86::VCVTTPH2UDQZ128rmbkz, Convert__Reg1_2__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10383 /* vcvttph2udq */, X86::VCVTTPH2UDQZ256rmbkz, Convert__Reg1_2__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10395 /* vcvttph2uqq */, X86::VCVTTPH2UQQZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 10395 /* vcvttph2uqq */, X86::VCVTTPH2UQQZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X }, },
{ 10395 /* vcvttph2uqq */, X86::VCVTTPH2UQQZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR512 }, },
{ 10395 /* vcvttph2uqq */, X86::VCVTTPH2UQQZrm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR512 }, },
{ 10395 /* vcvttph2uqq */, X86::VCVTTPH2UQQZ128rm, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X }, },
{ 10395 /* vcvttph2uqq */, X86::VCVTTPH2UQQZ256rm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR256X }, },
{ 10395 /* vcvttph2uqq */, X86::VCVTTPH2UQQZrrb, Convert__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_FR16X, MCK_VR512 }, },
{ 10395 /* vcvttph2uqq */, X86::VCVTTPH2UQQZ128rmb, Convert__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to2_125_, MCK_FR16X }, },
{ 10395 /* vcvttph2uqq */, X86::VCVTTPH2UQQZ256rmb, Convert__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to4_125_, MCK_VR256X }, },
{ 10395 /* vcvttph2uqq */, X86::VCVTTPH2UQQZrmb, Convert__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_VR512 }, },
{ 10395 /* vcvttph2uqq */, X86::VCVTTPH2UQQZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10395 /* vcvttph2uqq */, X86::VCVTTPH2UQQZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10395 /* vcvttph2uqq */, X86::VCVTTPH2UQQZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10395 /* vcvttph2uqq */, X86::VCVTTPH2UQQZrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10395 /* vcvttph2uqq */, X86::VCVTTPH2UQQZ128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10395 /* vcvttph2uqq */, X86::VCVTTPH2UQQZ256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10395 /* vcvttph2uqq */, X86::VCVTTPH2UQQZrrbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_FR16X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10395 /* vcvttph2uqq */, X86::VCVTTPH2UQQZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10395 /* vcvttph2uqq */, X86::VCVTTPH2UQQZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10395 /* vcvttph2uqq */, X86::VCVTTPH2UQQZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10395 /* vcvttph2uqq */, X86::VCVTTPH2UQQZrmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10395 /* vcvttph2uqq */, X86::VCVTTPH2UQQZ128rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10395 /* vcvttph2uqq */, X86::VCVTTPH2UQQZ256rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to4_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10395 /* vcvttph2uqq */, X86::VCVTTPH2UQQZrmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10395 /* vcvttph2uqq */, X86::VCVTTPH2UQQZ128rmkz, Convert__Reg1_1__Reg1_3__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10395 /* vcvttph2uqq */, X86::VCVTTPH2UQQZ256rmkz, Convert__Reg1_1__Reg1_3__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10395 /* vcvttph2uqq */, X86::VCVTTPH2UQQZrrbkz, Convert__Reg1_2__Reg1_4__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_FR16X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10395 /* vcvttph2uqq */, X86::VCVTTPH2UQQZ128rmbkz, Convert__Reg1_2__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10395 /* vcvttph2uqq */, X86::VCVTTPH2UQQZ256rmbkz, Convert__Reg1_2__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to4_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10395 /* vcvttph2uqq */, X86::VCVTTPH2UQQZrmbkz, Convert__Reg1_2__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10407 /* vcvttph2uw */, X86::VCVTTPH2UWZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 10407 /* vcvttph2uw */, X86::VCVTTPH2UWZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 10407 /* vcvttph2uw */, X86::VCVTTPH2UWZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 10407 /* vcvttph2uw */, X86::VCVTTPH2UWZ128rm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X }, },
{ 10407 /* vcvttph2uw */, X86::VCVTTPH2UWZ256rm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X }, },
{ 10407 /* vcvttph2uw */, X86::VCVTTPH2UWZrm, Convert__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512 }, },
{ 10407 /* vcvttph2uw */, X86::VCVTTPH2UWZrrb, Convert__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_VR512, MCK_VR512 }, },
{ 10407 /* vcvttph2uw */, X86::VCVTTPH2UWZ256rmb, Convert__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X }, },
{ 10407 /* vcvttph2uw */, X86::VCVTTPH2UWZrmb, Convert__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512 }, },
{ 10407 /* vcvttph2uw */, X86::VCVTTPH2UWZ128rmb, Convert__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X }, },
{ 10407 /* vcvttph2uw */, X86::VCVTTPH2UWZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10407 /* vcvttph2uw */, X86::VCVTTPH2UWZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10407 /* vcvttph2uw */, X86::VCVTTPH2UWZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10407 /* vcvttph2uw */, X86::VCVTTPH2UWZ128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10407 /* vcvttph2uw */, X86::VCVTTPH2UWZ256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10407 /* vcvttph2uw */, X86::VCVTTPH2UWZrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10407 /* vcvttph2uw */, X86::VCVTTPH2UWZrrbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10407 /* vcvttph2uw */, X86::VCVTTPH2UWZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10407 /* vcvttph2uw */, X86::VCVTTPH2UWZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10407 /* vcvttph2uw */, X86::VCVTTPH2UWZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10407 /* vcvttph2uw */, X86::VCVTTPH2UWZ128rmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10407 /* vcvttph2uw */, X86::VCVTTPH2UWZ256rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10407 /* vcvttph2uw */, X86::VCVTTPH2UWZrmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10407 /* vcvttph2uw */, X86::VCVTTPH2UWZ128rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10407 /* vcvttph2uw */, X86::VCVTTPH2UWZ256rmkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10407 /* vcvttph2uw */, X86::VCVTTPH2UWZrmkz, Convert__Reg1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10407 /* vcvttph2uw */, X86::VCVTTPH2UWZrrbkz, Convert__Reg1_2__Reg1_4__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10407 /* vcvttph2uw */, X86::VCVTTPH2UWZ256rmbkz, Convert__Reg1_2__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10407 /* vcvttph2uw */, X86::VCVTTPH2UWZrmbkz, Convert__Reg1_2__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10407 /* vcvttph2uw */, X86::VCVTTPH2UWZ128rmbkz, Convert__Reg1_2__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10418 /* vcvttph2w */, X86::VCVTTPH2WZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 10418 /* vcvttph2w */, X86::VCVTTPH2WZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 10418 /* vcvttph2w */, X86::VCVTTPH2WZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 10418 /* vcvttph2w */, X86::VCVTTPH2WZ128rm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X }, },
{ 10418 /* vcvttph2w */, X86::VCVTTPH2WZ256rm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X }, },
{ 10418 /* vcvttph2w */, X86::VCVTTPH2WZrm, Convert__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512 }, },
{ 10418 /* vcvttph2w */, X86::VCVTTPH2WZrrb, Convert__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_VR512, MCK_VR512 }, },
{ 10418 /* vcvttph2w */, X86::VCVTTPH2WZ256rmb, Convert__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X }, },
{ 10418 /* vcvttph2w */, X86::VCVTTPH2WZrmb, Convert__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512 }, },
{ 10418 /* vcvttph2w */, X86::VCVTTPH2WZ128rmb, Convert__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X }, },
{ 10418 /* vcvttph2w */, X86::VCVTTPH2WZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10418 /* vcvttph2w */, X86::VCVTTPH2WZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10418 /* vcvttph2w */, X86::VCVTTPH2WZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10418 /* vcvttph2w */, X86::VCVTTPH2WZ128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10418 /* vcvttph2w */, X86::VCVTTPH2WZ256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10418 /* vcvttph2w */, X86::VCVTTPH2WZrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10418 /* vcvttph2w */, X86::VCVTTPH2WZrrbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10418 /* vcvttph2w */, X86::VCVTTPH2WZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10418 /* vcvttph2w */, X86::VCVTTPH2WZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10418 /* vcvttph2w */, X86::VCVTTPH2WZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10418 /* vcvttph2w */, X86::VCVTTPH2WZ128rmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10418 /* vcvttph2w */, X86::VCVTTPH2WZ256rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10418 /* vcvttph2w */, X86::VCVTTPH2WZrmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10418 /* vcvttph2w */, X86::VCVTTPH2WZ128rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10418 /* vcvttph2w */, X86::VCVTTPH2WZ256rmkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10418 /* vcvttph2w */, X86::VCVTTPH2WZrmkz, Convert__Reg1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10418 /* vcvttph2w */, X86::VCVTTPH2WZrrbkz, Convert__Reg1_2__Reg1_4__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10418 /* vcvttph2w */, X86::VCVTTPH2WZ256rmbkz, Convert__Reg1_2__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10418 /* vcvttph2w */, X86::VCVTTPH2WZrmbkz, Convert__Reg1_2__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10418 /* vcvttph2w */, X86::VCVTTPH2WZ128rmbkz, Convert__Reg1_2__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10428 /* vcvttps2dq */, X86::VCVTTPS2DQrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 10428 /* vcvttps2dq */, X86::VCVTTPS2DQYrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256 }, },
{ 10428 /* vcvttps2dq */, X86::VCVTTPS2DQZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 10428 /* vcvttps2dq */, X86::VCVTTPS2DQZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 10428 /* vcvttps2dq */, X86::VCVTTPS2DQZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 10428 /* vcvttps2dq */, X86::VCVTTPS2DQrm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 10428 /* vcvttps2dq */, X86::VCVTTPS2DQZ128rm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X }, },
{ 10428 /* vcvttps2dq */, X86::VCVTTPS2DQYrm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256 }, },
{ 10428 /* vcvttps2dq */, X86::VCVTTPS2DQZ256rm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X }, },
{ 10428 /* vcvttps2dq */, X86::VCVTTPS2DQZrm, Convert__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512 }, },
{ 10428 /* vcvttps2dq */, X86::VCVTTPS2DQZrrb, Convert__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_VR512, MCK_VR512 }, },
{ 10428 /* vcvttps2dq */, X86::VCVTTPS2DQZrmb, Convert__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512 }, },
{ 10428 /* vcvttps2dq */, X86::VCVTTPS2DQZ128rmb, Convert__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X }, },
{ 10428 /* vcvttps2dq */, X86::VCVTTPS2DQZ256rmb, Convert__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X }, },
{ 10428 /* vcvttps2dq */, X86::VCVTTPS2DQZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10428 /* vcvttps2dq */, X86::VCVTTPS2DQZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10428 /* vcvttps2dq */, X86::VCVTTPS2DQZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10428 /* vcvttps2dq */, X86::VCVTTPS2DQZ128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10428 /* vcvttps2dq */, X86::VCVTTPS2DQZ256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10428 /* vcvttps2dq */, X86::VCVTTPS2DQZrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10428 /* vcvttps2dq */, X86::VCVTTPS2DQZrrbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10428 /* vcvttps2dq */, X86::VCVTTPS2DQZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10428 /* vcvttps2dq */, X86::VCVTTPS2DQZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10428 /* vcvttps2dq */, X86::VCVTTPS2DQZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10428 /* vcvttps2dq */, X86::VCVTTPS2DQZ128rmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10428 /* vcvttps2dq */, X86::VCVTTPS2DQZ256rmkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10428 /* vcvttps2dq */, X86::VCVTTPS2DQZrmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10428 /* vcvttps2dq */, X86::VCVTTPS2DQZ128rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10428 /* vcvttps2dq */, X86::VCVTTPS2DQZ256rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10428 /* vcvttps2dq */, X86::VCVTTPS2DQZrmkz, Convert__Reg1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10428 /* vcvttps2dq */, X86::VCVTTPS2DQZrrbkz, Convert__Reg1_2__Reg1_4__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10428 /* vcvttps2dq */, X86::VCVTTPS2DQZrmbkz, Convert__Reg1_2__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10428 /* vcvttps2dq */, X86::VCVTTPS2DQZ128rmbkz, Convert__Reg1_2__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10428 /* vcvttps2dq */, X86::VCVTTPS2DQZ256rmbkz, Convert__Reg1_2__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10439 /* vcvttps2qq */, X86::VCVTTPS2QQZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 10439 /* vcvttps2qq */, X86::VCVTTPS2QQZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X }, },
{ 10439 /* vcvttps2qq */, X86::VCVTTPS2QQZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR512 }, },
{ 10439 /* vcvttps2qq */, X86::VCVTTPS2QQZ256rm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256X }, },
{ 10439 /* vcvttps2qq */, X86::VCVTTPS2QQZrm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR512 }, },
{ 10439 /* vcvttps2qq */, X86::VCVTTPS2QQZ128rm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X }, },
{ 10439 /* vcvttps2qq */, X86::VCVTTPS2QQZrrb, Convert__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_VR256X, MCK_VR512 }, },
{ 10439 /* vcvttps2qq */, X86::VCVTTPS2QQZ128rmb, Convert__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to2_125_, MCK_FR16X }, },
{ 10439 /* vcvttps2qq */, X86::VCVTTPS2QQZ256rmb, Convert__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_VR256X }, },
{ 10439 /* vcvttps2qq */, X86::VCVTTPS2QQZrmb, Convert__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR512 }, },
{ 10439 /* vcvttps2qq */, X86::VCVTTPS2QQZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10439 /* vcvttps2qq */, X86::VCVTTPS2QQZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10439 /* vcvttps2qq */, X86::VCVTTPS2QQZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10439 /* vcvttps2qq */, X86::VCVTTPS2QQZ256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10439 /* vcvttps2qq */, X86::VCVTTPS2QQZrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10439 /* vcvttps2qq */, X86::VCVTTPS2QQZ128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10439 /* vcvttps2qq */, X86::VCVTTPS2QQZrrbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_VR256X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10439 /* vcvttps2qq */, X86::VCVTTPS2QQZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10439 /* vcvttps2qq */, X86::VCVTTPS2QQZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10439 /* vcvttps2qq */, X86::VCVTTPS2QQZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10439 /* vcvttps2qq */, X86::VCVTTPS2QQZ256rmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10439 /* vcvttps2qq */, X86::VCVTTPS2QQZrmkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10439 /* vcvttps2qq */, X86::VCVTTPS2QQZ128rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10439 /* vcvttps2qq */, X86::VCVTTPS2QQZ256rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10439 /* vcvttps2qq */, X86::VCVTTPS2QQZrmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10439 /* vcvttps2qq */, X86::VCVTTPS2QQZ128rmkz, Convert__Reg1_1__Reg1_3__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10439 /* vcvttps2qq */, X86::VCVTTPS2QQZrrbkz, Convert__Reg1_2__Reg1_4__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_VR256X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10439 /* vcvttps2qq */, X86::VCVTTPS2QQZ128rmbkz, Convert__Reg1_2__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10439 /* vcvttps2qq */, X86::VCVTTPS2QQZ256rmbkz, Convert__Reg1_2__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10439 /* vcvttps2qq */, X86::VCVTTPS2QQZrmbkz, Convert__Reg1_2__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10450 /* vcvttps2udq */, X86::VCVTTPS2UDQZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 10450 /* vcvttps2udq */, X86::VCVTTPS2UDQZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 10450 /* vcvttps2udq */, X86::VCVTTPS2UDQZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 10450 /* vcvttps2udq */, X86::VCVTTPS2UDQZ128rm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X }, },
{ 10450 /* vcvttps2udq */, X86::VCVTTPS2UDQZ256rm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X }, },
{ 10450 /* vcvttps2udq */, X86::VCVTTPS2UDQZrm, Convert__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512 }, },
{ 10450 /* vcvttps2udq */, X86::VCVTTPS2UDQZrrb, Convert__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_VR512, MCK_VR512 }, },
{ 10450 /* vcvttps2udq */, X86::VCVTTPS2UDQZrmb, Convert__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512 }, },
{ 10450 /* vcvttps2udq */, X86::VCVTTPS2UDQZ128rmb, Convert__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X }, },
{ 10450 /* vcvttps2udq */, X86::VCVTTPS2UDQZ256rmb, Convert__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X }, },
{ 10450 /* vcvttps2udq */, X86::VCVTTPS2UDQZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10450 /* vcvttps2udq */, X86::VCVTTPS2UDQZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10450 /* vcvttps2udq */, X86::VCVTTPS2UDQZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10450 /* vcvttps2udq */, X86::VCVTTPS2UDQZ128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10450 /* vcvttps2udq */, X86::VCVTTPS2UDQZ256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10450 /* vcvttps2udq */, X86::VCVTTPS2UDQZrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10450 /* vcvttps2udq */, X86::VCVTTPS2UDQZrrbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10450 /* vcvttps2udq */, X86::VCVTTPS2UDQZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10450 /* vcvttps2udq */, X86::VCVTTPS2UDQZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10450 /* vcvttps2udq */, X86::VCVTTPS2UDQZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10450 /* vcvttps2udq */, X86::VCVTTPS2UDQZ128rmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10450 /* vcvttps2udq */, X86::VCVTTPS2UDQZ256rmkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10450 /* vcvttps2udq */, X86::VCVTTPS2UDQZrmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10450 /* vcvttps2udq */, X86::VCVTTPS2UDQZ128rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10450 /* vcvttps2udq */, X86::VCVTTPS2UDQZ256rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10450 /* vcvttps2udq */, X86::VCVTTPS2UDQZrmkz, Convert__Reg1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10450 /* vcvttps2udq */, X86::VCVTTPS2UDQZrrbkz, Convert__Reg1_2__Reg1_4__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10450 /* vcvttps2udq */, X86::VCVTTPS2UDQZrmbkz, Convert__Reg1_2__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10450 /* vcvttps2udq */, X86::VCVTTPS2UDQZ128rmbkz, Convert__Reg1_2__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10450 /* vcvttps2udq */, X86::VCVTTPS2UDQZ256rmbkz, Convert__Reg1_2__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10462 /* vcvttps2uqq */, X86::VCVTTPS2UQQZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 10462 /* vcvttps2uqq */, X86::VCVTTPS2UQQZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X }, },
{ 10462 /* vcvttps2uqq */, X86::VCVTTPS2UQQZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR512 }, },
{ 10462 /* vcvttps2uqq */, X86::VCVTTPS2UQQZ256rm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256X }, },
{ 10462 /* vcvttps2uqq */, X86::VCVTTPS2UQQZrm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR512 }, },
{ 10462 /* vcvttps2uqq */, X86::VCVTTPS2UQQZ128rm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X }, },
{ 10462 /* vcvttps2uqq */, X86::VCVTTPS2UQQZrrb, Convert__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_VR256X, MCK_VR512 }, },
{ 10462 /* vcvttps2uqq */, X86::VCVTTPS2UQQZ128rmb, Convert__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to2_125_, MCK_FR16X }, },
{ 10462 /* vcvttps2uqq */, X86::VCVTTPS2UQQZ256rmb, Convert__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_VR256X }, },
{ 10462 /* vcvttps2uqq */, X86::VCVTTPS2UQQZrmb, Convert__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR512 }, },
{ 10462 /* vcvttps2uqq */, X86::VCVTTPS2UQQZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10462 /* vcvttps2uqq */, X86::VCVTTPS2UQQZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10462 /* vcvttps2uqq */, X86::VCVTTPS2UQQZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10462 /* vcvttps2uqq */, X86::VCVTTPS2UQQZ256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10462 /* vcvttps2uqq */, X86::VCVTTPS2UQQZrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10462 /* vcvttps2uqq */, X86::VCVTTPS2UQQZ128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10462 /* vcvttps2uqq */, X86::VCVTTPS2UQQZrrbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_VR256X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10462 /* vcvttps2uqq */, X86::VCVTTPS2UQQZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10462 /* vcvttps2uqq */, X86::VCVTTPS2UQQZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10462 /* vcvttps2uqq */, X86::VCVTTPS2UQQZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10462 /* vcvttps2uqq */, X86::VCVTTPS2UQQZ256rmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10462 /* vcvttps2uqq */, X86::VCVTTPS2UQQZrmkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10462 /* vcvttps2uqq */, X86::VCVTTPS2UQQZ128rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10462 /* vcvttps2uqq */, X86::VCVTTPS2UQQZ256rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10462 /* vcvttps2uqq */, X86::VCVTTPS2UQQZrmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10462 /* vcvttps2uqq */, X86::VCVTTPS2UQQZ128rmkz, Convert__Reg1_1__Reg1_3__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10462 /* vcvttps2uqq */, X86::VCVTTPS2UQQZrrbkz, Convert__Reg1_2__Reg1_4__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_VR256X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10462 /* vcvttps2uqq */, X86::VCVTTPS2UQQZ128rmbkz, Convert__Reg1_2__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10462 /* vcvttps2uqq */, X86::VCVTTPS2UQQZ256rmbkz, Convert__Reg1_2__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10462 /* vcvttps2uqq */, X86::VCVTTPS2UQQZrmbkz, Convert__Reg1_2__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10474 /* vcvttsd2si */, X86::VCVTTSD2SIrr_Int, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_GR32 }, },
{ 10474 /* vcvttsd2si */, X86::VCVTTSD2SI64rr_Int, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_GR64 }, },
{ 10474 /* vcvttsd2si */, X86::VCVTTSD2SIZrr_Int, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_GR32 }, },
{ 10474 /* vcvttsd2si */, X86::VCVTTSD2SI64Zrr_Int, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_GR64 }, },
{ 10474 /* vcvttsd2si */, X86::VCVTTSD2SIrm_Int, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_GR32 }, },
{ 10474 /* vcvttsd2si */, X86::VCVTTSD2SIZrm_Int, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_GR32 }, },
{ 10474 /* vcvttsd2si */, X86::VCVTTSD2SI64rm_Int, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_GR64 }, },
{ 10474 /* vcvttsd2si */, X86::VCVTTSD2SI64Zrm_Int, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_GR64 }, },
{ 10474 /* vcvttsd2si */, X86::VCVTTSD2SIZrrb_Int, Convert__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_FR16X, MCK_GR32 }, },
{ 10474 /* vcvttsd2si */, X86::VCVTTSD2SI64Zrrb_Int, Convert__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_FR16X, MCK_GR64 }, },
{ 10485 /* vcvttsd2sil */, X86::VCVTTSD2SIrr_Int, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_GR32 }, },
{ 10485 /* vcvttsd2sil */, X86::VCVTTSD2SIZrr_Int, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_GR32 }, },
{ 10485 /* vcvttsd2sil */, X86::VCVTTSD2SIrm_Int, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_GR32 }, },
{ 10485 /* vcvttsd2sil */, X86::VCVTTSD2SIZrm_Int, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_GR32 }, },
{ 10485 /* vcvttsd2sil */, X86::VCVTTSD2SIZrrb_Int, Convert__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_FR16X, MCK_GR32 }, },
{ 10497 /* vcvttsd2siq */, X86::VCVTTSD2SI64rr_Int, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_GR64 }, },
{ 10497 /* vcvttsd2siq */, X86::VCVTTSD2SI64Zrr_Int, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_GR64 }, },
{ 10497 /* vcvttsd2siq */, X86::VCVTTSD2SI64rm_Int, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_GR64 }, },
{ 10497 /* vcvttsd2siq */, X86::VCVTTSD2SI64Zrm_Int, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_GR64 }, },
{ 10497 /* vcvttsd2siq */, X86::VCVTTSD2SI64Zrrb_Int, Convert__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_FR16X, MCK_GR64 }, },
{ 10509 /* vcvttsd2usi */, X86::VCVTTSD2USIZrr_Int, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_GR32 }, },
{ 10509 /* vcvttsd2usi */, X86::VCVTTSD2USI64Zrr_Int, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_GR64 }, },
{ 10509 /* vcvttsd2usi */, X86::VCVTTSD2USIZrm_Int, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_GR32 }, },
{ 10509 /* vcvttsd2usi */, X86::VCVTTSD2USI64Zrm_Int, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_GR64 }, },
{ 10509 /* vcvttsd2usi */, X86::VCVTTSD2USIZrrb_Int, Convert__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_FR16X, MCK_GR32 }, },
{ 10509 /* vcvttsd2usi */, X86::VCVTTSD2USI64Zrrb_Int, Convert__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_FR16X, MCK_GR64 }, },
{ 10521 /* vcvttsd2usil */, X86::VCVTTSD2USIZrr_Int, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_GR32 }, },
{ 10521 /* vcvttsd2usil */, X86::VCVTTSD2USIZrm_Int, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_GR32 }, },
{ 10521 /* vcvttsd2usil */, X86::VCVTTSD2USIZrrb_Int, Convert__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_FR16X, MCK_GR32 }, },
{ 10534 /* vcvttsd2usiq */, X86::VCVTTSD2USI64Zrr_Int, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_GR64 }, },
{ 10534 /* vcvttsd2usiq */, X86::VCVTTSD2USI64Zrm_Int, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_GR64 }, },
{ 10534 /* vcvttsd2usiq */, X86::VCVTTSD2USI64Zrrb_Int, Convert__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_FR16X, MCK_GR64 }, },
{ 10547 /* vcvttsh2si */, X86::VCVTTSH2SIZrr_Int, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_GR32 }, },
{ 10547 /* vcvttsh2si */, X86::VCVTTSH2SI64Zrr_Int, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_GR64 }, },
{ 10547 /* vcvttsh2si */, X86::VCVTTSH2SIZrm_Int, Convert__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_GR32 }, },
{ 10547 /* vcvttsh2si */, X86::VCVTTSH2SI64Zrm_Int, Convert__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_GR64 }, },
{ 10547 /* vcvttsh2si */, X86::VCVTTSH2SIZrrb_Int, Convert__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_FR16X, MCK_GR32 }, },
{ 10547 /* vcvttsh2si */, X86::VCVTTSH2SI64Zrrb_Int, Convert__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_FR16X, MCK_GR64 }, },
{ 10558 /* vcvttsh2sil */, X86::VCVTTSH2SIZrr_Int, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_GR32 }, },
{ 10558 /* vcvttsh2sil */, X86::VCVTTSH2SIZrm_Int, Convert__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_GR32 }, },
{ 10558 /* vcvttsh2sil */, X86::VCVTTSH2SIZrrb_Int, Convert__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_FR16X, MCK_GR32 }, },
{ 10570 /* vcvttsh2siq */, X86::VCVTTSH2SI64Zrr_Int, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_GR64 }, },
{ 10570 /* vcvttsh2siq */, X86::VCVTTSH2SI64Zrm_Int, Convert__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_GR64 }, },
{ 10570 /* vcvttsh2siq */, X86::VCVTTSH2SI64Zrrb_Int, Convert__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_FR16X, MCK_GR64 }, },
{ 10582 /* vcvttsh2usi */, X86::VCVTTSH2USIZrr_Int, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_GR32 }, },
{ 10582 /* vcvttsh2usi */, X86::VCVTTSH2USI64Zrr_Int, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_GR64 }, },
{ 10582 /* vcvttsh2usi */, X86::VCVTTSH2USIZrm_Int, Convert__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_GR32 }, },
{ 10582 /* vcvttsh2usi */, X86::VCVTTSH2USI64Zrm_Int, Convert__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_GR64 }, },
{ 10582 /* vcvttsh2usi */, X86::VCVTTSH2USIZrrb_Int, Convert__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_FR16X, MCK_GR32 }, },
{ 10582 /* vcvttsh2usi */, X86::VCVTTSH2USI64Zrrb_Int, Convert__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_FR16X, MCK_GR64 }, },
{ 10594 /* vcvttsh2usil */, X86::VCVTTSH2USIZrr_Int, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_GR32 }, },
{ 10594 /* vcvttsh2usil */, X86::VCVTTSH2USIZrm_Int, Convert__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_GR32 }, },
{ 10594 /* vcvttsh2usil */, X86::VCVTTSH2USIZrrb_Int, Convert__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_FR16X, MCK_GR32 }, },
{ 10607 /* vcvttsh2usiq */, X86::VCVTTSH2USI64Zrr_Int, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_GR64 }, },
{ 10607 /* vcvttsh2usiq */, X86::VCVTTSH2USI64Zrm_Int, Convert__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_GR64 }, },
{ 10607 /* vcvttsh2usiq */, X86::VCVTTSH2USI64Zrrb_Int, Convert__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_FR16X, MCK_GR64 }, },
{ 10620 /* vcvttss2si */, X86::VCVTTSS2SIrr_Int, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_GR32 }, },
{ 10620 /* vcvttss2si */, X86::VCVTTSS2SI64rr_Int, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_GR64 }, },
{ 10620 /* vcvttss2si */, X86::VCVTTSS2SIZrr_Int, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_GR32 }, },
{ 10620 /* vcvttss2si */, X86::VCVTTSS2SI64Zrr_Int, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_GR64 }, },
{ 10620 /* vcvttss2si */, X86::VCVTTSS2SIrm_Int, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_GR32 }, },
{ 10620 /* vcvttss2si */, X86::VCVTTSS2SIZrm_Int, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_GR32 }, },
{ 10620 /* vcvttss2si */, X86::VCVTTSS2SI64rm_Int, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_GR64 }, },
{ 10620 /* vcvttss2si */, X86::VCVTTSS2SI64Zrm_Int, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_GR64 }, },
{ 10620 /* vcvttss2si */, X86::VCVTTSS2SIZrrb_Int, Convert__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_FR16X, MCK_GR32 }, },
{ 10620 /* vcvttss2si */, X86::VCVTTSS2SI64Zrrb_Int, Convert__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_FR16X, MCK_GR64 }, },
{ 10631 /* vcvttss2sil */, X86::VCVTTSS2SIrr_Int, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_GR32 }, },
{ 10631 /* vcvttss2sil */, X86::VCVTTSS2SIZrr_Int, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_GR32 }, },
{ 10631 /* vcvttss2sil */, X86::VCVTTSS2SIrm_Int, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_GR32 }, },
{ 10631 /* vcvttss2sil */, X86::VCVTTSS2SIZrm_Int, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_GR32 }, },
{ 10631 /* vcvttss2sil */, X86::VCVTTSS2SIZrrb_Int, Convert__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_FR16X, MCK_GR32 }, },
{ 10643 /* vcvttss2siq */, X86::VCVTTSS2SI64rr_Int, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_GR64 }, },
{ 10643 /* vcvttss2siq */, X86::VCVTTSS2SI64Zrr_Int, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_GR64 }, },
{ 10643 /* vcvttss2siq */, X86::VCVTTSS2SI64rm_Int, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_GR64 }, },
{ 10643 /* vcvttss2siq */, X86::VCVTTSS2SI64Zrm_Int, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_GR64 }, },
{ 10643 /* vcvttss2siq */, X86::VCVTTSS2SI64Zrrb_Int, Convert__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_FR16X, MCK_GR64 }, },
{ 10655 /* vcvttss2usi */, X86::VCVTTSS2USIZrr_Int, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_GR32 }, },
{ 10655 /* vcvttss2usi */, X86::VCVTTSS2USI64Zrr_Int, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_GR64 }, },
{ 10655 /* vcvttss2usi */, X86::VCVTTSS2USIZrm_Int, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_GR32 }, },
{ 10655 /* vcvttss2usi */, X86::VCVTTSS2USI64Zrm_Int, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_GR64 }, },
{ 10655 /* vcvttss2usi */, X86::VCVTTSS2USIZrrb_Int, Convert__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_FR16X, MCK_GR32 }, },
{ 10655 /* vcvttss2usi */, X86::VCVTTSS2USI64Zrrb_Int, Convert__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_FR16X, MCK_GR64 }, },
{ 10667 /* vcvttss2usil */, X86::VCVTTSS2USIZrr_Int, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_GR32 }, },
{ 10667 /* vcvttss2usil */, X86::VCVTTSS2USIZrm_Int, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_GR32 }, },
{ 10667 /* vcvttss2usil */, X86::VCVTTSS2USIZrrb_Int, Convert__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_FR16X, MCK_GR32 }, },
{ 10680 /* vcvttss2usiq */, X86::VCVTTSS2USI64Zrr_Int, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_GR64 }, },
{ 10680 /* vcvttss2usiq */, X86::VCVTTSS2USI64Zrm_Int, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_GR64 }, },
{ 10680 /* vcvttss2usiq */, X86::VCVTTSS2USI64Zrrb_Int, Convert__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_FR16X, MCK_GR64 }, },
{ 10693 /* vcvtudq2pd */, X86::VCVTUDQ2PDZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 10693 /* vcvtudq2pd */, X86::VCVTUDQ2PDZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X }, },
{ 10693 /* vcvtudq2pd */, X86::VCVTUDQ2PDZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR512 }, },
{ 10693 /* vcvtudq2pd */, X86::VCVTUDQ2PDZ256rm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256X }, },
{ 10693 /* vcvtudq2pd */, X86::VCVTUDQ2PDZrm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR512 }, },
{ 10693 /* vcvtudq2pd */, X86::VCVTUDQ2PDZ128rm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X }, },
{ 10693 /* vcvtudq2pd */, X86::VCVTUDQ2PDZ128rmb, Convert__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to2_125_, MCK_FR16X }, },
{ 10693 /* vcvtudq2pd */, X86::VCVTUDQ2PDZ256rmb, Convert__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_VR256X }, },
{ 10693 /* vcvtudq2pd */, X86::VCVTUDQ2PDZrmb, Convert__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR512 }, },
{ 10693 /* vcvtudq2pd */, X86::VCVTUDQ2PDZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10693 /* vcvtudq2pd */, X86::VCVTUDQ2PDZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10693 /* vcvtudq2pd */, X86::VCVTUDQ2PDZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10693 /* vcvtudq2pd */, X86::VCVTUDQ2PDZ256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10693 /* vcvtudq2pd */, X86::VCVTUDQ2PDZrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10693 /* vcvtudq2pd */, X86::VCVTUDQ2PDZ128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10693 /* vcvtudq2pd */, X86::VCVTUDQ2PDZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10693 /* vcvtudq2pd */, X86::VCVTUDQ2PDZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10693 /* vcvtudq2pd */, X86::VCVTUDQ2PDZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10693 /* vcvtudq2pd */, X86::VCVTUDQ2PDZ256rmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10693 /* vcvtudq2pd */, X86::VCVTUDQ2PDZrmkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10693 /* vcvtudq2pd */, X86::VCVTUDQ2PDZ128rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10693 /* vcvtudq2pd */, X86::VCVTUDQ2PDZ256rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10693 /* vcvtudq2pd */, X86::VCVTUDQ2PDZrmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10693 /* vcvtudq2pd */, X86::VCVTUDQ2PDZ128rmkz, Convert__Reg1_1__Reg1_3__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10693 /* vcvtudq2pd */, X86::VCVTUDQ2PDZ128rmbkz, Convert__Reg1_2__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10693 /* vcvtudq2pd */, X86::VCVTUDQ2PDZ256rmbkz, Convert__Reg1_2__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10693 /* vcvtudq2pd */, X86::VCVTUDQ2PDZrmbkz, Convert__Reg1_2__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10704 /* vcvtudq2ph */, X86::VCVTUDQ2PHZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 10704 /* vcvtudq2ph */, X86::VCVTUDQ2PHZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X }, },
{ 10704 /* vcvtudq2ph */, X86::VCVTUDQ2PHZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR256X }, },
{ 10704 /* vcvtudq2ph */, X86::VCVTUDQ2PHZrm, Convert__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR256X }, },
{ 10704 /* vcvtudq2ph */, X86::VCVTUDQ2PHZrrb, Convert__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR256X }, },
{ 10704 /* vcvtudq2ph */, X86::VCVTUDQ2PHZrmb, Convert__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR256X }, },
{ 10704 /* vcvtudq2ph */, X86::VCVTUDQ2PHZ128rmb, Convert__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X }, },
{ 10704 /* vcvtudq2ph */, X86::VCVTUDQ2PHZ256rmb, Convert__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_FR16X }, },
{ 10704 /* vcvtudq2ph */, X86::VCVTUDQ2PHZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10704 /* vcvtudq2ph */, X86::VCVTUDQ2PHZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10704 /* vcvtudq2ph */, X86::VCVTUDQ2PHZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10704 /* vcvtudq2ph */, X86::VCVTUDQ2PHZrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10704 /* vcvtudq2ph */, X86::VCVTUDQ2PHZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10704 /* vcvtudq2ph */, X86::VCVTUDQ2PHZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10704 /* vcvtudq2ph */, X86::VCVTUDQ2PHZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10704 /* vcvtudq2ph */, X86::VCVTUDQ2PHZrrbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10704 /* vcvtudq2ph */, X86::VCVTUDQ2PHZrmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10704 /* vcvtudq2ph */, X86::VCVTUDQ2PHZ128rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10704 /* vcvtudq2ph */, X86::VCVTUDQ2PHZ256rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10704 /* vcvtudq2ph */, X86::VCVTUDQ2PHZrmkz, Convert__Reg1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10704 /* vcvtudq2ph */, X86::VCVTUDQ2PHZrrbkz, Convert__Reg1_2__Reg1_4__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10704 /* vcvtudq2ph */, X86::VCVTUDQ2PHZrmbkz, Convert__Reg1_2__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10704 /* vcvtudq2ph */, X86::VCVTUDQ2PHZ128rmbkz, Convert__Reg1_2__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10704 /* vcvtudq2ph */, X86::VCVTUDQ2PHZ256rmbkz, Convert__Reg1_2__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10715 /* vcvtudq2phx */, X86::VCVTUDQ2PHZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 10715 /* vcvtudq2phx */, X86::VCVTUDQ2PHZ128rm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X }, },
{ 10715 /* vcvtudq2phx */, X86::VCVTUDQ2PHZ128rmb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X }, },
{ 10715 /* vcvtudq2phx */, X86::VCVTUDQ2PHZ128rrk, Convert__Reg1_1__Tie0_2_2__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10715 /* vcvtudq2phx */, X86::VCVTUDQ2PHZ128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10715 /* vcvtudq2phx */, X86::VCVTUDQ2PHZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10715 /* vcvtudq2phx */, X86::VCVTUDQ2PHZ128rmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10715 /* vcvtudq2phx */, X86::VCVTUDQ2PHZ128rmbk, Convert__Reg1_2__Tie0_3_3__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10715 /* vcvtudq2phx */, X86::VCVTUDQ2PHZ128rmbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10727 /* vcvtudq2phy */, X86::VCVTUDQ2PHZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X }, },
{ 10727 /* vcvtudq2phy */, X86::VCVTUDQ2PHZ256rm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_FR16X }, },
{ 10727 /* vcvtudq2phy */, X86::VCVTUDQ2PHZ256rmb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_FR16X }, },
{ 10727 /* vcvtudq2phy */, X86::VCVTUDQ2PHZ256rrk, Convert__Reg1_1__Tie0_2_2__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10727 /* vcvtudq2phy */, X86::VCVTUDQ2PHZ256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10727 /* vcvtudq2phy */, X86::VCVTUDQ2PHZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10727 /* vcvtudq2phy */, X86::VCVTUDQ2PHZ256rmkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10727 /* vcvtudq2phy */, X86::VCVTUDQ2PHZ256rmbk, Convert__Reg1_2__Tie0_3_3__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10727 /* vcvtudq2phy */, X86::VCVTUDQ2PHZ256rmbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10739 /* vcvtudq2ps */, X86::VCVTUDQ2PSZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 10739 /* vcvtudq2ps */, X86::VCVTUDQ2PSZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 10739 /* vcvtudq2ps */, X86::VCVTUDQ2PSZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 10739 /* vcvtudq2ps */, X86::VCVTUDQ2PSZ128rm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X }, },
{ 10739 /* vcvtudq2ps */, X86::VCVTUDQ2PSZ256rm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X }, },
{ 10739 /* vcvtudq2ps */, X86::VCVTUDQ2PSZrm, Convert__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512 }, },
{ 10739 /* vcvtudq2ps */, X86::VCVTUDQ2PSZrrb, Convert__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512 }, },
{ 10739 /* vcvtudq2ps */, X86::VCVTUDQ2PSZrmb, Convert__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512 }, },
{ 10739 /* vcvtudq2ps */, X86::VCVTUDQ2PSZ128rmb, Convert__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X }, },
{ 10739 /* vcvtudq2ps */, X86::VCVTUDQ2PSZ256rmb, Convert__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X }, },
{ 10739 /* vcvtudq2ps */, X86::VCVTUDQ2PSZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10739 /* vcvtudq2ps */, X86::VCVTUDQ2PSZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10739 /* vcvtudq2ps */, X86::VCVTUDQ2PSZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10739 /* vcvtudq2ps */, X86::VCVTUDQ2PSZ128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10739 /* vcvtudq2ps */, X86::VCVTUDQ2PSZ256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10739 /* vcvtudq2ps */, X86::VCVTUDQ2PSZrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10739 /* vcvtudq2ps */, X86::VCVTUDQ2PSZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10739 /* vcvtudq2ps */, X86::VCVTUDQ2PSZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10739 /* vcvtudq2ps */, X86::VCVTUDQ2PSZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10739 /* vcvtudq2ps */, X86::VCVTUDQ2PSZrrbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10739 /* vcvtudq2ps */, X86::VCVTUDQ2PSZ128rmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10739 /* vcvtudq2ps */, X86::VCVTUDQ2PSZ256rmkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10739 /* vcvtudq2ps */, X86::VCVTUDQ2PSZrmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10739 /* vcvtudq2ps */, X86::VCVTUDQ2PSZ128rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10739 /* vcvtudq2ps */, X86::VCVTUDQ2PSZ256rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10739 /* vcvtudq2ps */, X86::VCVTUDQ2PSZrmkz, Convert__Reg1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10739 /* vcvtudq2ps */, X86::VCVTUDQ2PSZrrbkz, Convert__Reg1_2__Reg1_4__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10739 /* vcvtudq2ps */, X86::VCVTUDQ2PSZrmbkz, Convert__Reg1_2__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10739 /* vcvtudq2ps */, X86::VCVTUDQ2PSZ128rmbkz, Convert__Reg1_2__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10739 /* vcvtudq2ps */, X86::VCVTUDQ2PSZ256rmbkz, Convert__Reg1_2__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10750 /* vcvtuqq2pd */, X86::VCVTUQQ2PDZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 10750 /* vcvtuqq2pd */, X86::VCVTUQQ2PDZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 10750 /* vcvtuqq2pd */, X86::VCVTUQQ2PDZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 10750 /* vcvtuqq2pd */, X86::VCVTUQQ2PDZ128rm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X }, },
{ 10750 /* vcvtuqq2pd */, X86::VCVTUQQ2PDZ256rm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X }, },
{ 10750 /* vcvtuqq2pd */, X86::VCVTUQQ2PDZrm, Convert__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512 }, },
{ 10750 /* vcvtuqq2pd */, X86::VCVTUQQ2PDZrrb, Convert__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512 }, },
{ 10750 /* vcvtuqq2pd */, X86::VCVTUQQ2PDZ128rmb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X }, },
{ 10750 /* vcvtuqq2pd */, X86::VCVTUQQ2PDZ256rmb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X }, },
{ 10750 /* vcvtuqq2pd */, X86::VCVTUQQ2PDZrmb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512 }, },
{ 10750 /* vcvtuqq2pd */, X86::VCVTUQQ2PDZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10750 /* vcvtuqq2pd */, X86::VCVTUQQ2PDZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10750 /* vcvtuqq2pd */, X86::VCVTUQQ2PDZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10750 /* vcvtuqq2pd */, X86::VCVTUQQ2PDZ128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10750 /* vcvtuqq2pd */, X86::VCVTUQQ2PDZ256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10750 /* vcvtuqq2pd */, X86::VCVTUQQ2PDZrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10750 /* vcvtuqq2pd */, X86::VCVTUQQ2PDZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10750 /* vcvtuqq2pd */, X86::VCVTUQQ2PDZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10750 /* vcvtuqq2pd */, X86::VCVTUQQ2PDZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10750 /* vcvtuqq2pd */, X86::VCVTUQQ2PDZrrbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10750 /* vcvtuqq2pd */, X86::VCVTUQQ2PDZ128rmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10750 /* vcvtuqq2pd */, X86::VCVTUQQ2PDZ256rmkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10750 /* vcvtuqq2pd */, X86::VCVTUQQ2PDZrmkz, Convert__Reg1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10750 /* vcvtuqq2pd */, X86::VCVTUQQ2PDZ128rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10750 /* vcvtuqq2pd */, X86::VCVTUQQ2PDZ256rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10750 /* vcvtuqq2pd */, X86::VCVTUQQ2PDZrmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10750 /* vcvtuqq2pd */, X86::VCVTUQQ2PDZrrbkz, Convert__Reg1_2__Reg1_4__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10750 /* vcvtuqq2pd */, X86::VCVTUQQ2PDZ128rmbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10750 /* vcvtuqq2pd */, X86::VCVTUQQ2PDZ256rmbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10750 /* vcvtuqq2pd */, X86::VCVTUQQ2PDZrmbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10761 /* vcvtuqq2ph */, X86::VCVTUQQ2PHZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 10761 /* vcvtuqq2ph */, X86::VCVTUQQ2PHZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X }, },
{ 10761 /* vcvtuqq2ph */, X86::VCVTUQQ2PHZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_FR16X }, },
{ 10761 /* vcvtuqq2ph */, X86::VCVTUQQ2PHZrrb, Convert__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_FR16X }, },
{ 10761 /* vcvtuqq2ph */, X86::VCVTUQQ2PHZ128rmb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X }, },
{ 10761 /* vcvtuqq2ph */, X86::VCVTUQQ2PHZ256rmb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_FR16X }, },
{ 10761 /* vcvtuqq2ph */, X86::VCVTUQQ2PHZrmb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_FR16X }, },
{ 10761 /* vcvtuqq2ph */, X86::VCVTUQQ2PHZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10761 /* vcvtuqq2ph */, X86::VCVTUQQ2PHZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10761 /* vcvtuqq2ph */, X86::VCVTUQQ2PHZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10761 /* vcvtuqq2ph */, X86::VCVTUQQ2PHZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10761 /* vcvtuqq2ph */, X86::VCVTUQQ2PHZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10761 /* vcvtuqq2ph */, X86::VCVTUQQ2PHZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10761 /* vcvtuqq2ph */, X86::VCVTUQQ2PHZrrbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10761 /* vcvtuqq2ph */, X86::VCVTUQQ2PHZ128rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10761 /* vcvtuqq2ph */, X86::VCVTUQQ2PHZ256rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10761 /* vcvtuqq2ph */, X86::VCVTUQQ2PHZrmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10761 /* vcvtuqq2ph */, X86::VCVTUQQ2PHZrrbkz, Convert__Reg1_2__Reg1_4__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10761 /* vcvtuqq2ph */, X86::VCVTUQQ2PHZ128rmbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10761 /* vcvtuqq2ph */, X86::VCVTUQQ2PHZ256rmbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10761 /* vcvtuqq2ph */, X86::VCVTUQQ2PHZrmbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10772 /* vcvtuqq2phx */, X86::VCVTUQQ2PHZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 10772 /* vcvtuqq2phx */, X86::VCVTUQQ2PHZ128rm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X }, },
{ 10772 /* vcvtuqq2phx */, X86::VCVTUQQ2PHZ128rmb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X }, },
{ 10772 /* vcvtuqq2phx */, X86::VCVTUQQ2PHZ128rrk, Convert__Reg1_1__Tie0_2_2__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10772 /* vcvtuqq2phx */, X86::VCVTUQQ2PHZ128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10772 /* vcvtuqq2phx */, X86::VCVTUQQ2PHZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10772 /* vcvtuqq2phx */, X86::VCVTUQQ2PHZ128rmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10772 /* vcvtuqq2phx */, X86::VCVTUQQ2PHZ128rmbk, Convert__Reg1_2__Tie0_3_3__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10772 /* vcvtuqq2phx */, X86::VCVTUQQ2PHZ128rmbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10784 /* vcvtuqq2phy */, X86::VCVTUQQ2PHZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X }, },
{ 10784 /* vcvtuqq2phy */, X86::VCVTUQQ2PHZ256rm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_FR16X }, },
{ 10784 /* vcvtuqq2phy */, X86::VCVTUQQ2PHZ256rmb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_FR16X }, },
{ 10784 /* vcvtuqq2phy */, X86::VCVTUQQ2PHZ256rrk, Convert__Reg1_1__Tie0_2_2__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10784 /* vcvtuqq2phy */, X86::VCVTUQQ2PHZ256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10784 /* vcvtuqq2phy */, X86::VCVTUQQ2PHZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10784 /* vcvtuqq2phy */, X86::VCVTUQQ2PHZ256rmkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10784 /* vcvtuqq2phy */, X86::VCVTUQQ2PHZ256rmbk, Convert__Reg1_2__Tie0_3_3__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10784 /* vcvtuqq2phy */, X86::VCVTUQQ2PHZ256rmbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10796 /* vcvtuqq2phz */, X86::VCVTUQQ2PHZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_FR16X }, },
{ 10796 /* vcvtuqq2phz */, X86::VCVTUQQ2PHZrm, Convert__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_FR16X }, },
{ 10796 /* vcvtuqq2phz */, X86::VCVTUQQ2PHZrmb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_FR16X }, },
{ 10796 /* vcvtuqq2phz */, X86::VCVTUQQ2PHZrrk, Convert__Reg1_1__Tie0_2_2__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10796 /* vcvtuqq2phz */, X86::VCVTUQQ2PHZrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10796 /* vcvtuqq2phz */, X86::VCVTUQQ2PHZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10796 /* vcvtuqq2phz */, X86::VCVTUQQ2PHZrmkz, Convert__Reg1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10796 /* vcvtuqq2phz */, X86::VCVTUQQ2PHZrmbk, Convert__Reg1_2__Tie0_3_3__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10796 /* vcvtuqq2phz */, X86::VCVTUQQ2PHZrmbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10808 /* vcvtuqq2ps */, X86::VCVTUQQ2PSZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 10808 /* vcvtuqq2ps */, X86::VCVTUQQ2PSZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X }, },
{ 10808 /* vcvtuqq2ps */, X86::VCVTUQQ2PSZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR256X }, },
{ 10808 /* vcvtuqq2ps */, X86::VCVTUQQ2PSZrm, Convert__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR256X }, },
{ 10808 /* vcvtuqq2ps */, X86::VCVTUQQ2PSZrrb, Convert__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR256X }, },
{ 10808 /* vcvtuqq2ps */, X86::VCVTUQQ2PSZ128rmb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X }, },
{ 10808 /* vcvtuqq2ps */, X86::VCVTUQQ2PSZ256rmb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_FR16X }, },
{ 10808 /* vcvtuqq2ps */, X86::VCVTUQQ2PSZrmb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR256X }, },
{ 10808 /* vcvtuqq2ps */, X86::VCVTUQQ2PSZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10808 /* vcvtuqq2ps */, X86::VCVTUQQ2PSZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10808 /* vcvtuqq2ps */, X86::VCVTUQQ2PSZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10808 /* vcvtuqq2ps */, X86::VCVTUQQ2PSZrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10808 /* vcvtuqq2ps */, X86::VCVTUQQ2PSZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10808 /* vcvtuqq2ps */, X86::VCVTUQQ2PSZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10808 /* vcvtuqq2ps */, X86::VCVTUQQ2PSZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10808 /* vcvtuqq2ps */, X86::VCVTUQQ2PSZrrbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10808 /* vcvtuqq2ps */, X86::VCVTUQQ2PSZrmkz, Convert__Reg1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10808 /* vcvtuqq2ps */, X86::VCVTUQQ2PSZ128rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10808 /* vcvtuqq2ps */, X86::VCVTUQQ2PSZ256rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10808 /* vcvtuqq2ps */, X86::VCVTUQQ2PSZrmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10808 /* vcvtuqq2ps */, X86::VCVTUQQ2PSZrrbkz, Convert__Reg1_2__Reg1_4__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10808 /* vcvtuqq2ps */, X86::VCVTUQQ2PSZ128rmbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10808 /* vcvtuqq2ps */, X86::VCVTUQQ2PSZ256rmbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10808 /* vcvtuqq2ps */, X86::VCVTUQQ2PSZrmbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10819 /* vcvtuqq2psx */, X86::VCVTUQQ2PSZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 10819 /* vcvtuqq2psx */, X86::VCVTUQQ2PSZ128rm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X }, },
{ 10819 /* vcvtuqq2psx */, X86::VCVTUQQ2PSZ128rmb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X }, },
{ 10819 /* vcvtuqq2psx */, X86::VCVTUQQ2PSZ128rrk, Convert__Reg1_1__Tie0_2_2__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10819 /* vcvtuqq2psx */, X86::VCVTUQQ2PSZ128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10819 /* vcvtuqq2psx */, X86::VCVTUQQ2PSZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10819 /* vcvtuqq2psx */, X86::VCVTUQQ2PSZ128rmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10819 /* vcvtuqq2psx */, X86::VCVTUQQ2PSZ128rmbk, Convert__Reg1_2__Tie0_3_3__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10819 /* vcvtuqq2psx */, X86::VCVTUQQ2PSZ128rmbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10831 /* vcvtuqq2psy */, X86::VCVTUQQ2PSZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X }, },
{ 10831 /* vcvtuqq2psy */, X86::VCVTUQQ2PSZ256rm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_FR16X }, },
{ 10831 /* vcvtuqq2psy */, X86::VCVTUQQ2PSZ256rmb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_FR16X }, },
{ 10831 /* vcvtuqq2psy */, X86::VCVTUQQ2PSZ256rrk, Convert__Reg1_1__Tie0_2_2__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10831 /* vcvtuqq2psy */, X86::VCVTUQQ2PSZ256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10831 /* vcvtuqq2psy */, X86::VCVTUQQ2PSZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10831 /* vcvtuqq2psy */, X86::VCVTUQQ2PSZ256rmkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10831 /* vcvtuqq2psy */, X86::VCVTUQQ2PSZ256rmbk, Convert__Reg1_2__Tie0_3_3__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10831 /* vcvtuqq2psy */, X86::VCVTUQQ2PSZ256rmbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10843 /* vcvtusi2sd */, X86::VCVTUSI2SDZrr_Int, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_FR16X, MCK_FR16X }, },
{ 10843 /* vcvtusi2sd */, X86::VCVTUSI642SDZrr_Int, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR64, MCK_FR16X, MCK_FR16X }, },
{ 10843 /* vcvtusi2sd */, X86::VCVTUSI2SDZrm_Int, Convert__Reg1_2__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X }, },
{ 10843 /* vcvtusi2sd */, X86::VCVTUSI642SDZrrb_Int, Convert__Reg1_3__Reg1_2__Reg1_0__AVX512RC1_1, AMFBS_None, { MCK_GR64, MCK_AVX512RC, MCK_FR16X, MCK_FR16X }, },
{ 10854 /* vcvtusi2sdl */, X86::VCVTUSI2SDZrr_Int, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_FR16X, MCK_FR16X }, },
{ 10854 /* vcvtusi2sdl */, X86::VCVTUSI2SDZrm_Int, Convert__Reg1_2__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X }, },
{ 10866 /* vcvtusi2sdq */, X86::VCVTUSI642SDZrr_Int, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR64, MCK_FR16X, MCK_FR16X }, },
{ 10866 /* vcvtusi2sdq */, X86::VCVTUSI642SDZrm_Int, Convert__Reg1_2__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK_FR16X }, },
{ 10866 /* vcvtusi2sdq */, X86::VCVTUSI642SDZrrb_Int, Convert__Reg1_3__Reg1_2__Reg1_0__AVX512RC1_1, AMFBS_None, { MCK_GR64, MCK_AVX512RC, MCK_FR16X, MCK_FR16X }, },
{ 10878 /* vcvtusi2sh */, X86::VCVTUSI2SHZrr_Int, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_FR16X, MCK_FR16X }, },
{ 10878 /* vcvtusi2sh */, X86::VCVTUSI642SHZrr_Int, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR64, MCK_FR16X, MCK_FR16X }, },
{ 10878 /* vcvtusi2sh */, X86::VCVTUSI2SHZrm_Int, Convert__Reg1_2__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X }, },
{ 10878 /* vcvtusi2sh */, X86::VCVTUSI2SHZrrb_Int, Convert__Reg1_3__Reg1_2__Reg1_0__AVX512RC1_1, AMFBS_None, { MCK_GR32, MCK_AVX512RC, MCK_FR16X, MCK_FR16X }, },
{ 10878 /* vcvtusi2sh */, X86::VCVTUSI642SHZrrb_Int, Convert__Reg1_3__Reg1_2__Reg1_0__AVX512RC1_1, AMFBS_None, { MCK_GR64, MCK_AVX512RC, MCK_FR16X, MCK_FR16X }, },
{ 10889 /* vcvtusi2shl */, X86::VCVTUSI2SHZrr_Int, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_FR16X, MCK_FR16X }, },
{ 10889 /* vcvtusi2shl */, X86::VCVTUSI2SHZrm_Int, Convert__Reg1_2__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X }, },
{ 10889 /* vcvtusi2shl */, X86::VCVTUSI2SHZrrb_Int, Convert__Reg1_3__Reg1_2__Reg1_0__AVX512RC1_1, AMFBS_None, { MCK_GR32, MCK_AVX512RC, MCK_FR16X, MCK_FR16X }, },
{ 10901 /* vcvtusi2shq */, X86::VCVTUSI642SHZrr_Int, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR64, MCK_FR16X, MCK_FR16X }, },
{ 10901 /* vcvtusi2shq */, X86::VCVTUSI642SHZrm_Int, Convert__Reg1_2__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK_FR16X }, },
{ 10901 /* vcvtusi2shq */, X86::VCVTUSI642SHZrrb_Int, Convert__Reg1_3__Reg1_2__Reg1_0__AVX512RC1_1, AMFBS_None, { MCK_GR64, MCK_AVX512RC, MCK_FR16X, MCK_FR16X }, },
{ 10913 /* vcvtusi2ss */, X86::VCVTUSI2SSZrr_Int, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_FR16X, MCK_FR16X }, },
{ 10913 /* vcvtusi2ss */, X86::VCVTUSI642SSZrr_Int, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR64, MCK_FR16X, MCK_FR16X }, },
{ 10913 /* vcvtusi2ss */, X86::VCVTUSI2SSZrm_Int, Convert__Reg1_2__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X }, },
{ 10913 /* vcvtusi2ss */, X86::VCVTUSI2SSZrrb_Int, Convert__Reg1_3__Reg1_2__Reg1_0__AVX512RC1_1, AMFBS_None, { MCK_GR32, MCK_AVX512RC, MCK_FR16X, MCK_FR16X }, },
{ 10913 /* vcvtusi2ss */, X86::VCVTUSI642SSZrrb_Int, Convert__Reg1_3__Reg1_2__Reg1_0__AVX512RC1_1, AMFBS_None, { MCK_GR64, MCK_AVX512RC, MCK_FR16X, MCK_FR16X }, },
{ 10924 /* vcvtusi2ssl */, X86::VCVTUSI2SSZrr_Int, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_FR16X, MCK_FR16X }, },
{ 10924 /* vcvtusi2ssl */, X86::VCVTUSI2SSZrm_Int, Convert__Reg1_2__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X }, },
{ 10924 /* vcvtusi2ssl */, X86::VCVTUSI2SSZrrb_Int, Convert__Reg1_3__Reg1_2__Reg1_0__AVX512RC1_1, AMFBS_None, { MCK_GR32, MCK_AVX512RC, MCK_FR16X, MCK_FR16X }, },
{ 10936 /* vcvtusi2ssq */, X86::VCVTUSI642SSZrr_Int, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR64, MCK_FR16X, MCK_FR16X }, },
{ 10936 /* vcvtusi2ssq */, X86::VCVTUSI642SSZrm_Int, Convert__Reg1_2__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK_FR16X }, },
{ 10936 /* vcvtusi2ssq */, X86::VCVTUSI642SSZrrb_Int, Convert__Reg1_3__Reg1_2__Reg1_0__AVX512RC1_1, AMFBS_None, { MCK_GR64, MCK_AVX512RC, MCK_FR16X, MCK_FR16X }, },
{ 10948 /* vcvtuw2ph */, X86::VCVTUW2PHZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 10948 /* vcvtuw2ph */, X86::VCVTUW2PHZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 10948 /* vcvtuw2ph */, X86::VCVTUW2PHZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 10948 /* vcvtuw2ph */, X86::VCVTUW2PHZ128rm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X }, },
{ 10948 /* vcvtuw2ph */, X86::VCVTUW2PHZ256rm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X }, },
{ 10948 /* vcvtuw2ph */, X86::VCVTUW2PHZrm, Convert__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512 }, },
{ 10948 /* vcvtuw2ph */, X86::VCVTUW2PHZrrb, Convert__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512 }, },
{ 10948 /* vcvtuw2ph */, X86::VCVTUW2PHZ256rmb, Convert__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X }, },
{ 10948 /* vcvtuw2ph */, X86::VCVTUW2PHZrmb, Convert__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512 }, },
{ 10948 /* vcvtuw2ph */, X86::VCVTUW2PHZ128rmb, Convert__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X }, },
{ 10948 /* vcvtuw2ph */, X86::VCVTUW2PHZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10948 /* vcvtuw2ph */, X86::VCVTUW2PHZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10948 /* vcvtuw2ph */, X86::VCVTUW2PHZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10948 /* vcvtuw2ph */, X86::VCVTUW2PHZ128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10948 /* vcvtuw2ph */, X86::VCVTUW2PHZ256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10948 /* vcvtuw2ph */, X86::VCVTUW2PHZrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10948 /* vcvtuw2ph */, X86::VCVTUW2PHZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10948 /* vcvtuw2ph */, X86::VCVTUW2PHZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10948 /* vcvtuw2ph */, X86::VCVTUW2PHZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10948 /* vcvtuw2ph */, X86::VCVTUW2PHZrrbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10948 /* vcvtuw2ph */, X86::VCVTUW2PHZ128rmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10948 /* vcvtuw2ph */, X86::VCVTUW2PHZ256rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10948 /* vcvtuw2ph */, X86::VCVTUW2PHZrmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10948 /* vcvtuw2ph */, X86::VCVTUW2PHZ128rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10948 /* vcvtuw2ph */, X86::VCVTUW2PHZ256rmkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10948 /* vcvtuw2ph */, X86::VCVTUW2PHZrmkz, Convert__Reg1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10948 /* vcvtuw2ph */, X86::VCVTUW2PHZrrbkz, Convert__Reg1_2__Reg1_4__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10948 /* vcvtuw2ph */, X86::VCVTUW2PHZ256rmbkz, Convert__Reg1_2__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10948 /* vcvtuw2ph */, X86::VCVTUW2PHZrmbkz, Convert__Reg1_2__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10948 /* vcvtuw2ph */, X86::VCVTUW2PHZ128rmbkz, Convert__Reg1_2__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10958 /* vcvtw2ph */, X86::VCVTW2PHZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 10958 /* vcvtw2ph */, X86::VCVTW2PHZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 10958 /* vcvtw2ph */, X86::VCVTW2PHZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 10958 /* vcvtw2ph */, X86::VCVTW2PHZ128rm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X }, },
{ 10958 /* vcvtw2ph */, X86::VCVTW2PHZ256rm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X }, },
{ 10958 /* vcvtw2ph */, X86::VCVTW2PHZrm, Convert__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512 }, },
{ 10958 /* vcvtw2ph */, X86::VCVTW2PHZrrb, Convert__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512 }, },
{ 10958 /* vcvtw2ph */, X86::VCVTW2PHZ256rmb, Convert__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X }, },
{ 10958 /* vcvtw2ph */, X86::VCVTW2PHZrmb, Convert__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512 }, },
{ 10958 /* vcvtw2ph */, X86::VCVTW2PHZ128rmb, Convert__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X }, },
{ 10958 /* vcvtw2ph */, X86::VCVTW2PHZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10958 /* vcvtw2ph */, X86::VCVTW2PHZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10958 /* vcvtw2ph */, X86::VCVTW2PHZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10958 /* vcvtw2ph */, X86::VCVTW2PHZ128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10958 /* vcvtw2ph */, X86::VCVTW2PHZ256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10958 /* vcvtw2ph */, X86::VCVTW2PHZrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10958 /* vcvtw2ph */, X86::VCVTW2PHZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10958 /* vcvtw2ph */, X86::VCVTW2PHZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10958 /* vcvtw2ph */, X86::VCVTW2PHZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10958 /* vcvtw2ph */, X86::VCVTW2PHZrrbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10958 /* vcvtw2ph */, X86::VCVTW2PHZ128rmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10958 /* vcvtw2ph */, X86::VCVTW2PHZ256rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10958 /* vcvtw2ph */, X86::VCVTW2PHZrmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10958 /* vcvtw2ph */, X86::VCVTW2PHZ128rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10958 /* vcvtw2ph */, X86::VCVTW2PHZ256rmkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10958 /* vcvtw2ph */, X86::VCVTW2PHZrmkz, Convert__Reg1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10958 /* vcvtw2ph */, X86::VCVTW2PHZrrbkz, Convert__Reg1_2__Reg1_4__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10958 /* vcvtw2ph */, X86::VCVTW2PHZ256rmbkz, Convert__Reg1_2__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10958 /* vcvtw2ph */, X86::VCVTW2PHZrmbkz, Convert__Reg1_2__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10958 /* vcvtw2ph */, X86::VCVTW2PHZ128rmbkz, Convert__Reg1_2__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10967 /* vdbpsadbw */, X86::VDBPSADBWZ128rri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 10967 /* vdbpsadbw */, X86::VDBPSADBWZ256rri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 10967 /* vdbpsadbw */, X86::VDBPSADBWZrri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 10967 /* vdbpsadbw */, X86::VDBPSADBWZ128rmi, Convert__Reg1_3__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 10967 /* vdbpsadbw */, X86::VDBPSADBWZ256rmi, Convert__Reg1_3__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 10967 /* vdbpsadbw */, X86::VDBPSADBWZrmi, Convert__Reg1_3__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 10967 /* vdbpsadbw */, X86::VDBPSADBWZ128rrik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10967 /* vdbpsadbw */, X86::VDBPSADBWZ256rrik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10967 /* vdbpsadbw */, X86::VDBPSADBWZrrik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10967 /* vdbpsadbw */, X86::VDBPSADBWZ128rmik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10967 /* vdbpsadbw */, X86::VDBPSADBWZ256rmik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10967 /* vdbpsadbw */, X86::VDBPSADBWZrmik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10967 /* vdbpsadbw */, X86::VDBPSADBWZ128rrikz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10967 /* vdbpsadbw */, X86::VDBPSADBWZ256rrikz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10967 /* vdbpsadbw */, X86::VDBPSADBWZrrikz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10967 /* vdbpsadbw */, X86::VDBPSADBWZ128rmikz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10967 /* vdbpsadbw */, X86::VDBPSADBWZ256rmikz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10967 /* vdbpsadbw */, X86::VDBPSADBWZrmikz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10977 /* vdivpd */, X86::VDIVPDrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 10977 /* vdivpd */, X86::VDIVPDYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 10977 /* vdivpd */, X86::VDIVPDZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 10977 /* vdivpd */, X86::VDIVPDZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 10977 /* vdivpd */, X86::VDIVPDZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 10977 /* vdivpd */, X86::VDIVPDrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 10977 /* vdivpd */, X86::VDIVPDZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 10977 /* vdivpd */, X86::VDIVPDYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 10977 /* vdivpd */, X86::VDIVPDZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 10977 /* vdivpd */, X86::VDIVPDZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 10977 /* vdivpd */, X86::VDIVPDZrrb, Convert__Reg1_3__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 10977 /* vdivpd */, X86::VDIVPDZ128rmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X }, },
{ 10977 /* vdivpd */, X86::VDIVPDZ256rmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X }, },
{ 10977 /* vdivpd */, X86::VDIVPDZrmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512 }, },
{ 10977 /* vdivpd */, X86::VDIVPDZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10977 /* vdivpd */, X86::VDIVPDZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10977 /* vdivpd */, X86::VDIVPDZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10977 /* vdivpd */, X86::VDIVPDZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10977 /* vdivpd */, X86::VDIVPDZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10977 /* vdivpd */, X86::VDIVPDZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10977 /* vdivpd */, X86::VDIVPDZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10977 /* vdivpd */, X86::VDIVPDZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10977 /* vdivpd */, X86::VDIVPDZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10977 /* vdivpd */, X86::VDIVPDZrrbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10977 /* vdivpd */, X86::VDIVPDZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10977 /* vdivpd */, X86::VDIVPDZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10977 /* vdivpd */, X86::VDIVPDZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10977 /* vdivpd */, X86::VDIVPDZ128rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10977 /* vdivpd */, X86::VDIVPDZ256rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10977 /* vdivpd */, X86::VDIVPDZrmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10977 /* vdivpd */, X86::VDIVPDZrrbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10977 /* vdivpd */, X86::VDIVPDZ128rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10977 /* vdivpd */, X86::VDIVPDZ256rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10977 /* vdivpd */, X86::VDIVPDZrmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10984 /* vdivph */, X86::VDIVPHZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 10984 /* vdivph */, X86::VDIVPHZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 10984 /* vdivph */, X86::VDIVPHZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 10984 /* vdivph */, X86::VDIVPHZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 10984 /* vdivph */, X86::VDIVPHZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 10984 /* vdivph */, X86::VDIVPHZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 10984 /* vdivph */, X86::VDIVPHZrrb, Convert__Reg1_3__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 10984 /* vdivph */, X86::VDIVPHZ256rmb, Convert__Reg1_3__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK_VR256X }, },
{ 10984 /* vdivph */, X86::VDIVPHZrmb, Convert__Reg1_3__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK_VR512 }, },
{ 10984 /* vdivph */, X86::VDIVPHZ128rmb, Convert__Reg1_3__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK_FR16X }, },
{ 10984 /* vdivph */, X86::VDIVPHZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10984 /* vdivph */, X86::VDIVPHZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10984 /* vdivph */, X86::VDIVPHZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10984 /* vdivph */, X86::VDIVPHZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10984 /* vdivph */, X86::VDIVPHZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10984 /* vdivph */, X86::VDIVPHZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10984 /* vdivph */, X86::VDIVPHZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10984 /* vdivph */, X86::VDIVPHZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10984 /* vdivph */, X86::VDIVPHZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10984 /* vdivph */, X86::VDIVPHZrrbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10984 /* vdivph */, X86::VDIVPHZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10984 /* vdivph */, X86::VDIVPHZ256rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10984 /* vdivph */, X86::VDIVPHZrmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10984 /* vdivph */, X86::VDIVPHZ128rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10984 /* vdivph */, X86::VDIVPHZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10984 /* vdivph */, X86::VDIVPHZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10984 /* vdivph */, X86::VDIVPHZrrbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10984 /* vdivph */, X86::VDIVPHZ256rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10984 /* vdivph */, X86::VDIVPHZrmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10984 /* vdivph */, X86::VDIVPHZ128rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10991 /* vdivps */, X86::VDIVPSrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 10991 /* vdivps */, X86::VDIVPSYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 10991 /* vdivps */, X86::VDIVPSZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 10991 /* vdivps */, X86::VDIVPSZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 10991 /* vdivps */, X86::VDIVPSZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 10991 /* vdivps */, X86::VDIVPSrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 10991 /* vdivps */, X86::VDIVPSZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 10991 /* vdivps */, X86::VDIVPSYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 10991 /* vdivps */, X86::VDIVPSZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 10991 /* vdivps */, X86::VDIVPSZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 10991 /* vdivps */, X86::VDIVPSZrrb, Convert__Reg1_3__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 10991 /* vdivps */, X86::VDIVPSZrmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512 }, },
{ 10991 /* vdivps */, X86::VDIVPSZ128rmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X }, },
{ 10991 /* vdivps */, X86::VDIVPSZ256rmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X }, },
{ 10991 /* vdivps */, X86::VDIVPSZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10991 /* vdivps */, X86::VDIVPSZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10991 /* vdivps */, X86::VDIVPSZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10991 /* vdivps */, X86::VDIVPSZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10991 /* vdivps */, X86::VDIVPSZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10991 /* vdivps */, X86::VDIVPSZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10991 /* vdivps */, X86::VDIVPSZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10991 /* vdivps */, X86::VDIVPSZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10991 /* vdivps */, X86::VDIVPSZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10991 /* vdivps */, X86::VDIVPSZrrbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10991 /* vdivps */, X86::VDIVPSZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10991 /* vdivps */, X86::VDIVPSZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10991 /* vdivps */, X86::VDIVPSZrmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10991 /* vdivps */, X86::VDIVPSZ128rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10991 /* vdivps */, X86::VDIVPSZ256rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10991 /* vdivps */, X86::VDIVPSZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10991 /* vdivps */, X86::VDIVPSZrrbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10991 /* vdivps */, X86::VDIVPSZrmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10991 /* vdivps */, X86::VDIVPSZ128rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10991 /* vdivps */, X86::VDIVPSZ256rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10998 /* vdivsd */, X86::VDIVSDrr_Int, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 10998 /* vdivsd */, X86::VDIVSDZrr_Int, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 10998 /* vdivsd */, X86::VDIVSDrm_Int, Convert__Reg1_2__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16, MCK_FR16 }, },
{ 10998 /* vdivsd */, X86::VDIVSDZrm_Int, Convert__Reg1_2__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK_FR16X }, },
{ 10998 /* vdivsd */, X86::VDIVSDZrrb_Int, Convert__Reg1_3__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 10998 /* vdivsd */, X86::VDIVSDZrr_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10998 /* vdivsd */, X86::VDIVSDZrm_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10998 /* vdivsd */, X86::VDIVSDZrr_Intkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10998 /* vdivsd */, X86::VDIVSDZrrb_Intk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 10998 /* vdivsd */, X86::VDIVSDZrm_Intkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 10998 /* vdivsd */, X86::VDIVSDZrrb_Intkz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11005 /* vdivsh */, X86::VDIVSHZrr_Int, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11005 /* vdivsh */, X86::VDIVSHZrm_Int, Convert__Reg1_2__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16X, MCK_FR16X }, },
{ 11005 /* vdivsh */, X86::VDIVSHZrrb_Int, Convert__Reg1_3__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11005 /* vdivsh */, X86::VDIVSHZrr_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11005 /* vdivsh */, X86::VDIVSHZrm_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11005 /* vdivsh */, X86::VDIVSHZrr_Intkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11005 /* vdivsh */, X86::VDIVSHZrrb_Intk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11005 /* vdivsh */, X86::VDIVSHZrm_Intkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11005 /* vdivsh */, X86::VDIVSHZrrb_Intkz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11012 /* vdivss */, X86::VDIVSSrr_Int, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 11012 /* vdivss */, X86::VDIVSSZrr_Int, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11012 /* vdivss */, X86::VDIVSSrm_Int, Convert__Reg1_2__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16, MCK_FR16 }, },
{ 11012 /* vdivss */, X86::VDIVSSZrm_Int, Convert__Reg1_2__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X }, },
{ 11012 /* vdivss */, X86::VDIVSSZrrb_Int, Convert__Reg1_3__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11012 /* vdivss */, X86::VDIVSSZrr_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11012 /* vdivss */, X86::VDIVSSZrm_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11012 /* vdivss */, X86::VDIVSSZrr_Intkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11012 /* vdivss */, X86::VDIVSSZrrb_Intk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11012 /* vdivss */, X86::VDIVSSZrm_Intkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11012 /* vdivss */, X86::VDIVSSZrrb_Intkz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11019 /* vdpbf16ps */, X86::VDPBF16PSZ128r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11019 /* vdpbf16ps */, X86::VDPBF16PSZ256r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 11019 /* vdpbf16ps */, X86::VDPBF16PSZr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11019 /* vdpbf16ps */, X86::VDPBF16PSZ128m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 11019 /* vdpbf16ps */, X86::VDPBF16PSZ256m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 11019 /* vdpbf16ps */, X86::VDPBF16PSZm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 11019 /* vdpbf16ps */, X86::VDPBF16PSZmb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512 }, },
{ 11019 /* vdpbf16ps */, X86::VDPBF16PSZ128mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X }, },
{ 11019 /* vdpbf16ps */, X86::VDPBF16PSZ256mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X }, },
{ 11019 /* vdpbf16ps */, X86::VDPBF16PSZ128rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11019 /* vdpbf16ps */, X86::VDPBF16PSZ256rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11019 /* vdpbf16ps */, X86::VDPBF16PSZrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11019 /* vdpbf16ps */, X86::VDPBF16PSZ128mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11019 /* vdpbf16ps */, X86::VDPBF16PSZ256mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11019 /* vdpbf16ps */, X86::VDPBF16PSZmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11019 /* vdpbf16ps */, X86::VDPBF16PSZ128rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11019 /* vdpbf16ps */, X86::VDPBF16PSZ256rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11019 /* vdpbf16ps */, X86::VDPBF16PSZrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11019 /* vdpbf16ps */, X86::VDPBF16PSZ128mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11019 /* vdpbf16ps */, X86::VDPBF16PSZ256mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11019 /* vdpbf16ps */, X86::VDPBF16PSZmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11019 /* vdpbf16ps */, X86::VDPBF16PSZ128mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11019 /* vdpbf16ps */, X86::VDPBF16PSZ256mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11019 /* vdpbf16ps */, X86::VDPBF16PSZmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11019 /* vdpbf16ps */, X86::VDPBF16PSZmbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11019 /* vdpbf16ps */, X86::VDPBF16PSZ128mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11019 /* vdpbf16ps */, X86::VDPBF16PSZ256mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11029 /* vdppd */, X86::VDPPDrri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 11029 /* vdppd */, X86::VDPPDrmi, Convert__Reg1_3__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 11035 /* vdpps */, X86::VDPPSrri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 11035 /* vdpps */, X86::VDPPSYrri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 11035 /* vdpps */, X86::VDPPSrmi, Convert__Reg1_3__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 11035 /* vdpps */, X86::VDPPSYrmi, Convert__Reg1_3__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 11041 /* verr */, X86::VERRr, Convert__Reg1_0, AMFBS_None, { MCK_GR16 }, },
{ 11041 /* verr */, X86::VERRm, Convert__Mem165_0, AMFBS_None, { MCK_Mem16 }, },
{ 11046 /* verw */, X86::VERWr, Convert__Reg1_0, AMFBS_None, { MCK_GR16 }, },
{ 11046 /* verw */, X86::VERWm, Convert__Mem165_0, AMFBS_None, { MCK_Mem16 }, },
{ 11051 /* vexp2pd */, X86::VEXP2PDZr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 11051 /* vexp2pd */, X86::VEXP2PDZm, Convert__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512 }, },
{ 11051 /* vexp2pd */, X86::VEXP2PDZrb, Convert__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_VR512, MCK_VR512 }, },
{ 11051 /* vexp2pd */, X86::VEXP2PDZmb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512 }, },
{ 11051 /* vexp2pd */, X86::VEXP2PDZrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11051 /* vexp2pd */, X86::VEXP2PDZmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11051 /* vexp2pd */, X86::VEXP2PDZrbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11051 /* vexp2pd */, X86::VEXP2PDZrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11051 /* vexp2pd */, X86::VEXP2PDZmkz, Convert__Reg1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11051 /* vexp2pd */, X86::VEXP2PDZmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11051 /* vexp2pd */, X86::VEXP2PDZrbkz, Convert__Reg1_2__Reg1_4__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11051 /* vexp2pd */, X86::VEXP2PDZmbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11059 /* vexp2ps */, X86::VEXP2PSZr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 11059 /* vexp2ps */, X86::VEXP2PSZm, Convert__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512 }, },
{ 11059 /* vexp2ps */, X86::VEXP2PSZrb, Convert__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_VR512, MCK_VR512 }, },
{ 11059 /* vexp2ps */, X86::VEXP2PSZmb, Convert__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512 }, },
{ 11059 /* vexp2ps */, X86::VEXP2PSZrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11059 /* vexp2ps */, X86::VEXP2PSZmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11059 /* vexp2ps */, X86::VEXP2PSZrbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11059 /* vexp2ps */, X86::VEXP2PSZrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11059 /* vexp2ps */, X86::VEXP2PSZmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11059 /* vexp2ps */, X86::VEXP2PSZmkz, Convert__Reg1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11059 /* vexp2ps */, X86::VEXP2PSZrbkz, Convert__Reg1_2__Reg1_4__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11059 /* vexp2ps */, X86::VEXP2PSZmbkz, Convert__Reg1_2__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11067 /* vexpandpd */, X86::VEXPANDPDZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 11067 /* vexpandpd */, X86::VEXPANDPDZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 11067 /* vexpandpd */, X86::VEXPANDPDZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 11067 /* vexpandpd */, X86::VEXPANDPDZ128rm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X }, },
{ 11067 /* vexpandpd */, X86::VEXPANDPDZ256rm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X }, },
{ 11067 /* vexpandpd */, X86::VEXPANDPDZrm, Convert__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512 }, },
{ 11067 /* vexpandpd */, X86::VEXPANDPDZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11067 /* vexpandpd */, X86::VEXPANDPDZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11067 /* vexpandpd */, X86::VEXPANDPDZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11067 /* vexpandpd */, X86::VEXPANDPDZ128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11067 /* vexpandpd */, X86::VEXPANDPDZ256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11067 /* vexpandpd */, X86::VEXPANDPDZrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11067 /* vexpandpd */, X86::VEXPANDPDZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11067 /* vexpandpd */, X86::VEXPANDPDZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11067 /* vexpandpd */, X86::VEXPANDPDZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11067 /* vexpandpd */, X86::VEXPANDPDZ128rmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11067 /* vexpandpd */, X86::VEXPANDPDZ256rmkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11067 /* vexpandpd */, X86::VEXPANDPDZrmkz, Convert__Reg1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11077 /* vexpandps */, X86::VEXPANDPSZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 11077 /* vexpandps */, X86::VEXPANDPSZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 11077 /* vexpandps */, X86::VEXPANDPSZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 11077 /* vexpandps */, X86::VEXPANDPSZ128rm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X }, },
{ 11077 /* vexpandps */, X86::VEXPANDPSZ256rm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X }, },
{ 11077 /* vexpandps */, X86::VEXPANDPSZrm, Convert__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512 }, },
{ 11077 /* vexpandps */, X86::VEXPANDPSZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11077 /* vexpandps */, X86::VEXPANDPSZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11077 /* vexpandps */, X86::VEXPANDPSZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11077 /* vexpandps */, X86::VEXPANDPSZ128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11077 /* vexpandps */, X86::VEXPANDPSZ256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11077 /* vexpandps */, X86::VEXPANDPSZrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11077 /* vexpandps */, X86::VEXPANDPSZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11077 /* vexpandps */, X86::VEXPANDPSZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11077 /* vexpandps */, X86::VEXPANDPSZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11077 /* vexpandps */, X86::VEXPANDPSZ128rmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11077 /* vexpandps */, X86::VEXPANDPSZ256rmkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11077 /* vexpandps */, X86::VEXPANDPSZrmkz, Convert__Reg1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11087 /* vextractf128 */, X86::VEXTRACTF128rr, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256, MCK_FR16 }, },
{ 11087 /* vextractf128 */, X86::VEXTRACTF128mr, Convert__Mem1285_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256, MCK_Mem128 }, },
{ 11100 /* vextractf32x4 */, X86::VEXTRACTF32x4Z256rr, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_FR16X }, },
{ 11100 /* vextractf32x4 */, X86::VEXTRACTF32x4Z256mr, Convert__Mem1285_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_Mem128 }, },
{ 11100 /* vextractf32x4 */, X86::VEXTRACTF32x4Zrr, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_FR16X }, },
{ 11100 /* vextractf32x4 */, X86::VEXTRACTF32x4Zmr, Convert__Mem1285_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_Mem128 }, },
{ 11100 /* vextractf32x4 */, X86::VEXTRACTF32x4Z256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11100 /* vextractf32x4 */, X86::VEXTRACTF32x4Z256mrk, Convert__Mem1285_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_Mem128, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11100 /* vextractf32x4 */, X86::VEXTRACTF32x4Zrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11100 /* vextractf32x4 */, X86::VEXTRACTF32x4Zmrk, Convert__Mem1285_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_Mem128, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11100 /* vextractf32x4 */, X86::VEXTRACTF32x4Z256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11100 /* vextractf32x4 */, X86::VEXTRACTF32x4Zrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11114 /* vextractf32x8 */, X86::VEXTRACTF32x8Zrr, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR256X }, },
{ 11114 /* vextractf32x8 */, X86::VEXTRACTF32x8Zmr, Convert__Mem2565_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_Mem256 }, },
{ 11114 /* vextractf32x8 */, X86::VEXTRACTF32x8Zrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11114 /* vextractf32x8 */, X86::VEXTRACTF32x8Zmrk, Convert__Mem2565_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_Mem256, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11114 /* vextractf32x8 */, X86::VEXTRACTF32x8Zrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11128 /* vextractf64x2 */, X86::VEXTRACTF64x2Z256rr, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_FR16X }, },
{ 11128 /* vextractf64x2 */, X86::VEXTRACTF64x2Z256mr, Convert__Mem1285_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_Mem128 }, },
{ 11128 /* vextractf64x2 */, X86::VEXTRACTF64x2Zrr, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_FR16X }, },
{ 11128 /* vextractf64x2 */, X86::VEXTRACTF64x2Zmr, Convert__Mem1285_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_Mem128 }, },
{ 11128 /* vextractf64x2 */, X86::VEXTRACTF64x2Z256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11128 /* vextractf64x2 */, X86::VEXTRACTF64x2Z256mrk, Convert__Mem1285_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_Mem128, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11128 /* vextractf64x2 */, X86::VEXTRACTF64x2Zrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11128 /* vextractf64x2 */, X86::VEXTRACTF64x2Zmrk, Convert__Mem1285_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_Mem128, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11128 /* vextractf64x2 */, X86::VEXTRACTF64x2Z256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11128 /* vextractf64x2 */, X86::VEXTRACTF64x2Zrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11142 /* vextractf64x4 */, X86::VEXTRACTF64x4Zrr, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR256X }, },
{ 11142 /* vextractf64x4 */, X86::VEXTRACTF64x4Zmr, Convert__Mem2565_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_Mem256 }, },
{ 11142 /* vextractf64x4 */, X86::VEXTRACTF64x4Zrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11142 /* vextractf64x4 */, X86::VEXTRACTF64x4Zmrk, Convert__Mem2565_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_Mem256, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11142 /* vextractf64x4 */, X86::VEXTRACTF64x4Zrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11156 /* vextracti128 */, X86::VEXTRACTI128rr, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256, MCK_FR16 }, },
{ 11156 /* vextracti128 */, X86::VEXTRACTI128mr, Convert__Mem1285_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256, MCK_Mem128 }, },
{ 11169 /* vextracti32x4 */, X86::VEXTRACTI32x4Z256rr, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_FR16X }, },
{ 11169 /* vextracti32x4 */, X86::VEXTRACTI32x4Z256mr, Convert__Mem1285_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_Mem128 }, },
{ 11169 /* vextracti32x4 */, X86::VEXTRACTI32x4Zrr, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_FR16X }, },
{ 11169 /* vextracti32x4 */, X86::VEXTRACTI32x4Zmr, Convert__Mem1285_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_Mem128 }, },
{ 11169 /* vextracti32x4 */, X86::VEXTRACTI32x4Z256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11169 /* vextracti32x4 */, X86::VEXTRACTI32x4Z256mrk, Convert__Mem1285_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_Mem128, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11169 /* vextracti32x4 */, X86::VEXTRACTI32x4Zrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11169 /* vextracti32x4 */, X86::VEXTRACTI32x4Zmrk, Convert__Mem1285_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_Mem128, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11169 /* vextracti32x4 */, X86::VEXTRACTI32x4Z256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11169 /* vextracti32x4 */, X86::VEXTRACTI32x4Zrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11183 /* vextracti32x8 */, X86::VEXTRACTI32x8Zrr, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR256X }, },
{ 11183 /* vextracti32x8 */, X86::VEXTRACTI32x8Zmr, Convert__Mem2565_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_Mem256 }, },
{ 11183 /* vextracti32x8 */, X86::VEXTRACTI32x8Zrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11183 /* vextracti32x8 */, X86::VEXTRACTI32x8Zmrk, Convert__Mem2565_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_Mem256, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11183 /* vextracti32x8 */, X86::VEXTRACTI32x8Zrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11197 /* vextracti64x2 */, X86::VEXTRACTI64x2Z256rr, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_FR16X }, },
{ 11197 /* vextracti64x2 */, X86::VEXTRACTI64x2Z256mr, Convert__Mem1285_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_Mem128 }, },
{ 11197 /* vextracti64x2 */, X86::VEXTRACTI64x2Zrr, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_FR16X }, },
{ 11197 /* vextracti64x2 */, X86::VEXTRACTI64x2Zmr, Convert__Mem1285_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_Mem128 }, },
{ 11197 /* vextracti64x2 */, X86::VEXTRACTI64x2Z256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11197 /* vextracti64x2 */, X86::VEXTRACTI64x2Z256mrk, Convert__Mem1285_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_Mem128, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11197 /* vextracti64x2 */, X86::VEXTRACTI64x2Zrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11197 /* vextracti64x2 */, X86::VEXTRACTI64x2Zmrk, Convert__Mem1285_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_Mem128, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11197 /* vextracti64x2 */, X86::VEXTRACTI64x2Z256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11197 /* vextracti64x2 */, X86::VEXTRACTI64x2Zrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11211 /* vextracti64x4 */, X86::VEXTRACTI64x4Zrr, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR256X }, },
{ 11211 /* vextracti64x4 */, X86::VEXTRACTI64x4Zmr, Convert__Mem2565_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_Mem256 }, },
{ 11211 /* vextracti64x4 */, X86::VEXTRACTI64x4Zrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11211 /* vextracti64x4 */, X86::VEXTRACTI64x4Zmrk, Convert__Mem2565_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_Mem256, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11211 /* vextracti64x4 */, X86::VEXTRACTI64x4Zrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11225 /* vextractps */, X86::VEXTRACTPSrr, Convert__GR32orGR641_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_GR32orGR64 }, },
{ 11225 /* vextractps */, X86::VEXTRACTPSmr, Convert__Mem325_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_Mem32 }, },
{ 11225 /* vextractps */, X86::VEXTRACTPSZrr, Convert__GR32orGR641_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_GR32orGR64 }, },
{ 11225 /* vextractps */, X86::VEXTRACTPSZmr, Convert__Mem325_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_Mem32 }, },
{ 11236 /* vfcmaddcph */, X86::VFCMADDCPHZ128r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11236 /* vfcmaddcph */, X86::VFCMADDCPHZ256r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 11236 /* vfcmaddcph */, X86::VFCMADDCPHZr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11236 /* vfcmaddcph */, X86::VFCMADDCPHZ128m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 11236 /* vfcmaddcph */, X86::VFCMADDCPHZ256m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 11236 /* vfcmaddcph */, X86::VFCMADDCPHZm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 11236 /* vfcmaddcph */, X86::VFCMADDCPHZrb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11236 /* vfcmaddcph */, X86::VFCMADDCPHZmb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512 }, },
{ 11236 /* vfcmaddcph */, X86::VFCMADDCPHZ128mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X }, },
{ 11236 /* vfcmaddcph */, X86::VFCMADDCPHZ256mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X }, },
{ 11236 /* vfcmaddcph */, X86::VFCMADDCPHZ128rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11236 /* vfcmaddcph */, X86::VFCMADDCPHZ256rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11236 /* vfcmaddcph */, X86::VFCMADDCPHZrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11236 /* vfcmaddcph */, X86::VFCMADDCPHZ128mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11236 /* vfcmaddcph */, X86::VFCMADDCPHZ256mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11236 /* vfcmaddcph */, X86::VFCMADDCPHZmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11236 /* vfcmaddcph */, X86::VFCMADDCPHZ128rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11236 /* vfcmaddcph */, X86::VFCMADDCPHZ256rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11236 /* vfcmaddcph */, X86::VFCMADDCPHZrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11236 /* vfcmaddcph */, X86::VFCMADDCPHZrbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11236 /* vfcmaddcph */, X86::VFCMADDCPHZ128mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11236 /* vfcmaddcph */, X86::VFCMADDCPHZ256mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11236 /* vfcmaddcph */, X86::VFCMADDCPHZmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11236 /* vfcmaddcph */, X86::VFCMADDCPHZ128mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11236 /* vfcmaddcph */, X86::VFCMADDCPHZ256mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11236 /* vfcmaddcph */, X86::VFCMADDCPHZmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11236 /* vfcmaddcph */, X86::VFCMADDCPHZrbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11236 /* vfcmaddcph */, X86::VFCMADDCPHZmbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11236 /* vfcmaddcph */, X86::VFCMADDCPHZ128mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11236 /* vfcmaddcph */, X86::VFCMADDCPHZ256mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11247 /* vfcmaddcsh */, X86::VFCMADDCSHZr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11247 /* vfcmaddcsh */, X86::VFCMADDCSHZm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X }, },
{ 11247 /* vfcmaddcsh */, X86::VFCMADDCSHZrb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11247 /* vfcmaddcsh */, X86::VFCMADDCSHZrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11247 /* vfcmaddcsh */, X86::VFCMADDCSHZmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11247 /* vfcmaddcsh */, X86::VFCMADDCSHZrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11247 /* vfcmaddcsh */, X86::VFCMADDCSHZrbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11247 /* vfcmaddcsh */, X86::VFCMADDCSHZmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11247 /* vfcmaddcsh */, X86::VFCMADDCSHZrbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11258 /* vfcmulcph */, X86::VFCMULCPHZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11258 /* vfcmulcph */, X86::VFCMULCPHZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 11258 /* vfcmulcph */, X86::VFCMULCPHZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11258 /* vfcmulcph */, X86::VFCMULCPHZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 11258 /* vfcmulcph */, X86::VFCMULCPHZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 11258 /* vfcmulcph */, X86::VFCMULCPHZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 11258 /* vfcmulcph */, X86::VFCMULCPHZrrb, Convert__Reg1_3__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11258 /* vfcmulcph */, X86::VFCMULCPHZrmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512 }, },
{ 11258 /* vfcmulcph */, X86::VFCMULCPHZ128rmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X }, },
{ 11258 /* vfcmulcph */, X86::VFCMULCPHZ256rmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X }, },
{ 11258 /* vfcmulcph */, X86::VFCMULCPHZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11258 /* vfcmulcph */, X86::VFCMULCPHZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11258 /* vfcmulcph */, X86::VFCMULCPHZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11258 /* vfcmulcph */, X86::VFCMULCPHZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11258 /* vfcmulcph */, X86::VFCMULCPHZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11258 /* vfcmulcph */, X86::VFCMULCPHZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11258 /* vfcmulcph */, X86::VFCMULCPHZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11258 /* vfcmulcph */, X86::VFCMULCPHZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11258 /* vfcmulcph */, X86::VFCMULCPHZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11258 /* vfcmulcph */, X86::VFCMULCPHZrrbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11258 /* vfcmulcph */, X86::VFCMULCPHZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11258 /* vfcmulcph */, X86::VFCMULCPHZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11258 /* vfcmulcph */, X86::VFCMULCPHZrmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11258 /* vfcmulcph */, X86::VFCMULCPHZ128rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11258 /* vfcmulcph */, X86::VFCMULCPHZ256rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11258 /* vfcmulcph */, X86::VFCMULCPHZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11258 /* vfcmulcph */, X86::VFCMULCPHZrrbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11258 /* vfcmulcph */, X86::VFCMULCPHZrmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11258 /* vfcmulcph */, X86::VFCMULCPHZ128rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11258 /* vfcmulcph */, X86::VFCMULCPHZ256rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11268 /* vfcmulcsh */, X86::VFCMULCSHZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11268 /* vfcmulcsh */, X86::VFCMULCSHZrm, Convert__Reg1_2__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X }, },
{ 11268 /* vfcmulcsh */, X86::VFCMULCSHZrrb, Convert__Reg1_3__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11268 /* vfcmulcsh */, X86::VFCMULCSHZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11268 /* vfcmulcsh */, X86::VFCMULCSHZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11268 /* vfcmulcsh */, X86::VFCMULCSHZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11268 /* vfcmulcsh */, X86::VFCMULCSHZrrbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11268 /* vfcmulcsh */, X86::VFCMULCSHZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11268 /* vfcmulcsh */, X86::VFCMULCSHZrrbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11278 /* vfixupimmpd */, X86::VFIXUPIMMPDZ128rri, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11278 /* vfixupimmpd */, X86::VFIXUPIMMPDZ256rri, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 11278 /* vfixupimmpd */, X86::VFIXUPIMMPDZrri, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11278 /* vfixupimmpd */, X86::VFIXUPIMMPDZ128rmi, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 11278 /* vfixupimmpd */, X86::VFIXUPIMMPDZ256rmi, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 11278 /* vfixupimmpd */, X86::VFIXUPIMMPDZrmi, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 11278 /* vfixupimmpd */, X86::VFIXUPIMMPDZrrib, Convert__Reg1_4__Tie0_1_1__Reg1_3__Reg1_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK__123_sae_125_, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11278 /* vfixupimmpd */, X86::VFIXUPIMMPDZ128rmbi, Convert__Reg1_4__Tie0_1_1__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X }, },
{ 11278 /* vfixupimmpd */, X86::VFIXUPIMMPDZ256rmbi, Convert__Reg1_4__Tie0_1_1__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X }, },
{ 11278 /* vfixupimmpd */, X86::VFIXUPIMMPDZrmbi, Convert__Reg1_4__Tie0_1_1__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512 }, },
{ 11278 /* vfixupimmpd */, X86::VFIXUPIMMPDZ128rrik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11278 /* vfixupimmpd */, X86::VFIXUPIMMPDZ256rrik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11278 /* vfixupimmpd */, X86::VFIXUPIMMPDZrrik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11278 /* vfixupimmpd */, X86::VFIXUPIMMPDZ128rmik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11278 /* vfixupimmpd */, X86::VFIXUPIMMPDZ256rmik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11278 /* vfixupimmpd */, X86::VFIXUPIMMPDZrmik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11278 /* vfixupimmpd */, X86::VFIXUPIMMPDZrribk, Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Reg1_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK__123_sae_125_, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11278 /* vfixupimmpd */, X86::VFIXUPIMMPDZ128rrikz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11278 /* vfixupimmpd */, X86::VFIXUPIMMPDZ256rrikz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11278 /* vfixupimmpd */, X86::VFIXUPIMMPDZrrikz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11278 /* vfixupimmpd */, X86::VFIXUPIMMPDZ128rmikz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11278 /* vfixupimmpd */, X86::VFIXUPIMMPDZ256rmikz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11278 /* vfixupimmpd */, X86::VFIXUPIMMPDZrmikz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11278 /* vfixupimmpd */, X86::VFIXUPIMMPDZ128rmbik, Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11278 /* vfixupimmpd */, X86::VFIXUPIMMPDZ256rmbik, Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11278 /* vfixupimmpd */, X86::VFIXUPIMMPDZrmbik, Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11278 /* vfixupimmpd */, X86::VFIXUPIMMPDZrribkz, Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Reg1_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK__123_sae_125_, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11278 /* vfixupimmpd */, X86::VFIXUPIMMPDZ128rmbikz, Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11278 /* vfixupimmpd */, X86::VFIXUPIMMPDZ256rmbikz, Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11278 /* vfixupimmpd */, X86::VFIXUPIMMPDZrmbikz, Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11290 /* vfixupimmps */, X86::VFIXUPIMMPSZ128rri, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11290 /* vfixupimmps */, X86::VFIXUPIMMPSZ256rri, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 11290 /* vfixupimmps */, X86::VFIXUPIMMPSZrri, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11290 /* vfixupimmps */, X86::VFIXUPIMMPSZ128rmi, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 11290 /* vfixupimmps */, X86::VFIXUPIMMPSZ256rmi, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 11290 /* vfixupimmps */, X86::VFIXUPIMMPSZrmi, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 11290 /* vfixupimmps */, X86::VFIXUPIMMPSZrrib, Convert__Reg1_4__Tie0_1_1__Reg1_3__Reg1_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK__123_sae_125_, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11290 /* vfixupimmps */, X86::VFIXUPIMMPSZrmbi, Convert__Reg1_4__Tie0_1_1__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512 }, },
{ 11290 /* vfixupimmps */, X86::VFIXUPIMMPSZ128rmbi, Convert__Reg1_4__Tie0_1_1__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X }, },
{ 11290 /* vfixupimmps */, X86::VFIXUPIMMPSZ256rmbi, Convert__Reg1_4__Tie0_1_1__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X }, },
{ 11290 /* vfixupimmps */, X86::VFIXUPIMMPSZ128rrik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11290 /* vfixupimmps */, X86::VFIXUPIMMPSZ256rrik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11290 /* vfixupimmps */, X86::VFIXUPIMMPSZrrik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11290 /* vfixupimmps */, X86::VFIXUPIMMPSZ128rmik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11290 /* vfixupimmps */, X86::VFIXUPIMMPSZ256rmik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11290 /* vfixupimmps */, X86::VFIXUPIMMPSZrmik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11290 /* vfixupimmps */, X86::VFIXUPIMMPSZrribk, Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Reg1_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK__123_sae_125_, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11290 /* vfixupimmps */, X86::VFIXUPIMMPSZ128rrikz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11290 /* vfixupimmps */, X86::VFIXUPIMMPSZ256rrikz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11290 /* vfixupimmps */, X86::VFIXUPIMMPSZrrikz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11290 /* vfixupimmps */, X86::VFIXUPIMMPSZ128rmikz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11290 /* vfixupimmps */, X86::VFIXUPIMMPSZ256rmikz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11290 /* vfixupimmps */, X86::VFIXUPIMMPSZrmbik, Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11290 /* vfixupimmps */, X86::VFIXUPIMMPSZ128rmbik, Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11290 /* vfixupimmps */, X86::VFIXUPIMMPSZ256rmbik, Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11290 /* vfixupimmps */, X86::VFIXUPIMMPSZrmikz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11290 /* vfixupimmps */, X86::VFIXUPIMMPSZrribkz, Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Reg1_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK__123_sae_125_, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11290 /* vfixupimmps */, X86::VFIXUPIMMPSZrmbikz, Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11290 /* vfixupimmps */, X86::VFIXUPIMMPSZ128rmbikz, Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11290 /* vfixupimmps */, X86::VFIXUPIMMPSZ256rmbikz, Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11302 /* vfixupimmsd */, X86::VFIXUPIMMSDZrri, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11302 /* vfixupimmsd */, X86::VFIXUPIMMSDZrmi, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK_FR16X, MCK_FR16X }, },
{ 11302 /* vfixupimmsd */, X86::VFIXUPIMMSDZrrib, Convert__Reg1_4__Tie0_1_1__Reg1_3__Reg1_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK__123_sae_125_, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11302 /* vfixupimmsd */, X86::VFIXUPIMMSDZrrik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11302 /* vfixupimmsd */, X86::VFIXUPIMMSDZrmik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11302 /* vfixupimmsd */, X86::VFIXUPIMMSDZrribk, Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Reg1_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK__123_sae_125_, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11302 /* vfixupimmsd */, X86::VFIXUPIMMSDZrrikz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11302 /* vfixupimmsd */, X86::VFIXUPIMMSDZrmikz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11302 /* vfixupimmsd */, X86::VFIXUPIMMSDZrribkz, Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Reg1_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK__123_sae_125_, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11314 /* vfixupimmss */, X86::VFIXUPIMMSSZrri, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11314 /* vfixupimmss */, X86::VFIXUPIMMSSZrmi, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK_FR16X, MCK_FR16X }, },
{ 11314 /* vfixupimmss */, X86::VFIXUPIMMSSZrrib, Convert__Reg1_4__Tie0_1_1__Reg1_3__Reg1_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK__123_sae_125_, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11314 /* vfixupimmss */, X86::VFIXUPIMMSSZrrik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11314 /* vfixupimmss */, X86::VFIXUPIMMSSZrmik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11314 /* vfixupimmss */, X86::VFIXUPIMMSSZrribk, Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Reg1_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK__123_sae_125_, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11314 /* vfixupimmss */, X86::VFIXUPIMMSSZrrikz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11314 /* vfixupimmss */, X86::VFIXUPIMMSSZrmikz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11314 /* vfixupimmss */, X86::VFIXUPIMMSSZrribkz, Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Reg1_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK__123_sae_125_, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11326 /* vfmadd132pd */, X86::VFMADD132PDr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 11326 /* vfmadd132pd */, X86::VFMADD132PDYr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 11326 /* vfmadd132pd */, X86::VFMADD132PDZ128r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11326 /* vfmadd132pd */, X86::VFMADD132PDZ256r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 11326 /* vfmadd132pd */, X86::VFMADD132PDZr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11326 /* vfmadd132pd */, X86::VFMADD132PDm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 11326 /* vfmadd132pd */, X86::VFMADD132PDZ128m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 11326 /* vfmadd132pd */, X86::VFMADD132PDYm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 11326 /* vfmadd132pd */, X86::VFMADD132PDZ256m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 11326 /* vfmadd132pd */, X86::VFMADD132PDZm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 11326 /* vfmadd132pd */, X86::VFMADD132PDZrb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11326 /* vfmadd132pd */, X86::VFMADD132PDZ128mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X }, },
{ 11326 /* vfmadd132pd */, X86::VFMADD132PDZ256mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X }, },
{ 11326 /* vfmadd132pd */, X86::VFMADD132PDZmb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512 }, },
{ 11326 /* vfmadd132pd */, X86::VFMADD132PDZ128rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11326 /* vfmadd132pd */, X86::VFMADD132PDZ256rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11326 /* vfmadd132pd */, X86::VFMADD132PDZrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11326 /* vfmadd132pd */, X86::VFMADD132PDZ128mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11326 /* vfmadd132pd */, X86::VFMADD132PDZ256mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11326 /* vfmadd132pd */, X86::VFMADD132PDZmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11326 /* vfmadd132pd */, X86::VFMADD132PDZ128rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11326 /* vfmadd132pd */, X86::VFMADD132PDZ256rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11326 /* vfmadd132pd */, X86::VFMADD132PDZrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11326 /* vfmadd132pd */, X86::VFMADD132PDZrbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11326 /* vfmadd132pd */, X86::VFMADD132PDZ128mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11326 /* vfmadd132pd */, X86::VFMADD132PDZ256mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11326 /* vfmadd132pd */, X86::VFMADD132PDZmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11326 /* vfmadd132pd */, X86::VFMADD132PDZ128mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11326 /* vfmadd132pd */, X86::VFMADD132PDZ256mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11326 /* vfmadd132pd */, X86::VFMADD132PDZmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11326 /* vfmadd132pd */, X86::VFMADD132PDZrbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11326 /* vfmadd132pd */, X86::VFMADD132PDZ128mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11326 /* vfmadd132pd */, X86::VFMADD132PDZ256mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11326 /* vfmadd132pd */, X86::VFMADD132PDZmbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11338 /* vfmadd132ph */, X86::VFMADD132PHZ128r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11338 /* vfmadd132ph */, X86::VFMADD132PHZ256r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 11338 /* vfmadd132ph */, X86::VFMADD132PHZr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11338 /* vfmadd132ph */, X86::VFMADD132PHZ128m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 11338 /* vfmadd132ph */, X86::VFMADD132PHZ256m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 11338 /* vfmadd132ph */, X86::VFMADD132PHZm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 11338 /* vfmadd132ph */, X86::VFMADD132PHZrb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11338 /* vfmadd132ph */, X86::VFMADD132PHZ256mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK_VR256X }, },
{ 11338 /* vfmadd132ph */, X86::VFMADD132PHZmb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK_VR512 }, },
{ 11338 /* vfmadd132ph */, X86::VFMADD132PHZ128mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK_FR16X }, },
{ 11338 /* vfmadd132ph */, X86::VFMADD132PHZ128rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11338 /* vfmadd132ph */, X86::VFMADD132PHZ256rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11338 /* vfmadd132ph */, X86::VFMADD132PHZrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11338 /* vfmadd132ph */, X86::VFMADD132PHZ128mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11338 /* vfmadd132ph */, X86::VFMADD132PHZ256mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11338 /* vfmadd132ph */, X86::VFMADD132PHZmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11338 /* vfmadd132ph */, X86::VFMADD132PHZ128rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11338 /* vfmadd132ph */, X86::VFMADD132PHZ256rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11338 /* vfmadd132ph */, X86::VFMADD132PHZrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11338 /* vfmadd132ph */, X86::VFMADD132PHZrbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11338 /* vfmadd132ph */, X86::VFMADD132PHZ128mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11338 /* vfmadd132ph */, X86::VFMADD132PHZ256mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11338 /* vfmadd132ph */, X86::VFMADD132PHZmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11338 /* vfmadd132ph */, X86::VFMADD132PHZ128mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11338 /* vfmadd132ph */, X86::VFMADD132PHZ256mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11338 /* vfmadd132ph */, X86::VFMADD132PHZmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11338 /* vfmadd132ph */, X86::VFMADD132PHZrbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11338 /* vfmadd132ph */, X86::VFMADD132PHZ256mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11338 /* vfmadd132ph */, X86::VFMADD132PHZmbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11338 /* vfmadd132ph */, X86::VFMADD132PHZ128mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11350 /* vfmadd132ps */, X86::VFMADD132PSr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 11350 /* vfmadd132ps */, X86::VFMADD132PSYr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 11350 /* vfmadd132ps */, X86::VFMADD132PSZ128r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11350 /* vfmadd132ps */, X86::VFMADD132PSZ256r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 11350 /* vfmadd132ps */, X86::VFMADD132PSZr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11350 /* vfmadd132ps */, X86::VFMADD132PSm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 11350 /* vfmadd132ps */, X86::VFMADD132PSZ128m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 11350 /* vfmadd132ps */, X86::VFMADD132PSYm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 11350 /* vfmadd132ps */, X86::VFMADD132PSZ256m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 11350 /* vfmadd132ps */, X86::VFMADD132PSZm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 11350 /* vfmadd132ps */, X86::VFMADD132PSZrb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11350 /* vfmadd132ps */, X86::VFMADD132PSZmb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512 }, },
{ 11350 /* vfmadd132ps */, X86::VFMADD132PSZ128mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X }, },
{ 11350 /* vfmadd132ps */, X86::VFMADD132PSZ256mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X }, },
{ 11350 /* vfmadd132ps */, X86::VFMADD132PSZ128rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11350 /* vfmadd132ps */, X86::VFMADD132PSZ256rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11350 /* vfmadd132ps */, X86::VFMADD132PSZrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11350 /* vfmadd132ps */, X86::VFMADD132PSZ128mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11350 /* vfmadd132ps */, X86::VFMADD132PSZ256mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11350 /* vfmadd132ps */, X86::VFMADD132PSZmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11350 /* vfmadd132ps */, X86::VFMADD132PSZ128rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11350 /* vfmadd132ps */, X86::VFMADD132PSZ256rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11350 /* vfmadd132ps */, X86::VFMADD132PSZrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11350 /* vfmadd132ps */, X86::VFMADD132PSZrbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11350 /* vfmadd132ps */, X86::VFMADD132PSZ128mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11350 /* vfmadd132ps */, X86::VFMADD132PSZ256mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11350 /* vfmadd132ps */, X86::VFMADD132PSZmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11350 /* vfmadd132ps */, X86::VFMADD132PSZ128mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11350 /* vfmadd132ps */, X86::VFMADD132PSZ256mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11350 /* vfmadd132ps */, X86::VFMADD132PSZmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11350 /* vfmadd132ps */, X86::VFMADD132PSZrbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11350 /* vfmadd132ps */, X86::VFMADD132PSZmbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11350 /* vfmadd132ps */, X86::VFMADD132PSZ128mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11350 /* vfmadd132ps */, X86::VFMADD132PSZ256mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11362 /* vfmadd132sd */, X86::VFMADD132SDr_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 11362 /* vfmadd132sd */, X86::VFMADD132SDZr_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11362 /* vfmadd132sd */, X86::VFMADD132SDm_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16, MCK_FR16 }, },
{ 11362 /* vfmadd132sd */, X86::VFMADD132SDZm_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK_FR16X }, },
{ 11362 /* vfmadd132sd */, X86::VFMADD132SDZrb_Int, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11362 /* vfmadd132sd */, X86::VFMADD132SDZr_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11362 /* vfmadd132sd */, X86::VFMADD132SDZm_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11362 /* vfmadd132sd */, X86::VFMADD132SDZr_Intkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11362 /* vfmadd132sd */, X86::VFMADD132SDZrb_Intk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11362 /* vfmadd132sd */, X86::VFMADD132SDZm_Intkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11362 /* vfmadd132sd */, X86::VFMADD132SDZrb_Intkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11374 /* vfmadd132sh */, X86::VFMADD132SHZr_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11374 /* vfmadd132sh */, X86::VFMADD132SHZm_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16X, MCK_FR16X }, },
{ 11374 /* vfmadd132sh */, X86::VFMADD132SHZrb_Int, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11374 /* vfmadd132sh */, X86::VFMADD132SHZr_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11374 /* vfmadd132sh */, X86::VFMADD132SHZm_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11374 /* vfmadd132sh */, X86::VFMADD132SHZr_Intkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11374 /* vfmadd132sh */, X86::VFMADD132SHZrb_Intk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11374 /* vfmadd132sh */, X86::VFMADD132SHZm_Intkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11374 /* vfmadd132sh */, X86::VFMADD132SHZrb_Intkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11386 /* vfmadd132ss */, X86::VFMADD132SSr_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 11386 /* vfmadd132ss */, X86::VFMADD132SSZr_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11386 /* vfmadd132ss */, X86::VFMADD132SSm_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16, MCK_FR16 }, },
{ 11386 /* vfmadd132ss */, X86::VFMADD132SSZm_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X }, },
{ 11386 /* vfmadd132ss */, X86::VFMADD132SSZrb_Int, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11386 /* vfmadd132ss */, X86::VFMADD132SSZr_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11386 /* vfmadd132ss */, X86::VFMADD132SSZm_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11386 /* vfmadd132ss */, X86::VFMADD132SSZr_Intkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11386 /* vfmadd132ss */, X86::VFMADD132SSZrb_Intk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11386 /* vfmadd132ss */, X86::VFMADD132SSZm_Intkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11386 /* vfmadd132ss */, X86::VFMADD132SSZrb_Intkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11398 /* vfmadd213pd */, X86::VFMADD213PDr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 11398 /* vfmadd213pd */, X86::VFMADD213PDYr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 11398 /* vfmadd213pd */, X86::VFMADD213PDZ128r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11398 /* vfmadd213pd */, X86::VFMADD213PDZ256r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 11398 /* vfmadd213pd */, X86::VFMADD213PDZr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11398 /* vfmadd213pd */, X86::VFMADD213PDm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 11398 /* vfmadd213pd */, X86::VFMADD213PDZ128m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 11398 /* vfmadd213pd */, X86::VFMADD213PDYm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 11398 /* vfmadd213pd */, X86::VFMADD213PDZ256m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 11398 /* vfmadd213pd */, X86::VFMADD213PDZm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 11398 /* vfmadd213pd */, X86::VFMADD213PDZrb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11398 /* vfmadd213pd */, X86::VFMADD213PDZ128mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X }, },
{ 11398 /* vfmadd213pd */, X86::VFMADD213PDZ256mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X }, },
{ 11398 /* vfmadd213pd */, X86::VFMADD213PDZmb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512 }, },
{ 11398 /* vfmadd213pd */, X86::VFMADD213PDZ128rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11398 /* vfmadd213pd */, X86::VFMADD213PDZ256rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11398 /* vfmadd213pd */, X86::VFMADD213PDZrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11398 /* vfmadd213pd */, X86::VFMADD213PDZ128mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11398 /* vfmadd213pd */, X86::VFMADD213PDZ256mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11398 /* vfmadd213pd */, X86::VFMADD213PDZmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11398 /* vfmadd213pd */, X86::VFMADD213PDZ128rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11398 /* vfmadd213pd */, X86::VFMADD213PDZ256rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11398 /* vfmadd213pd */, X86::VFMADD213PDZrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11398 /* vfmadd213pd */, X86::VFMADD213PDZrbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11398 /* vfmadd213pd */, X86::VFMADD213PDZ128mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11398 /* vfmadd213pd */, X86::VFMADD213PDZ256mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11398 /* vfmadd213pd */, X86::VFMADD213PDZmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11398 /* vfmadd213pd */, X86::VFMADD213PDZ128mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11398 /* vfmadd213pd */, X86::VFMADD213PDZ256mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11398 /* vfmadd213pd */, X86::VFMADD213PDZmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11398 /* vfmadd213pd */, X86::VFMADD213PDZrbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11398 /* vfmadd213pd */, X86::VFMADD213PDZ128mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11398 /* vfmadd213pd */, X86::VFMADD213PDZ256mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11398 /* vfmadd213pd */, X86::VFMADD213PDZmbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11410 /* vfmadd213ph */, X86::VFMADD213PHZ128r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11410 /* vfmadd213ph */, X86::VFMADD213PHZ256r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 11410 /* vfmadd213ph */, X86::VFMADD213PHZr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11410 /* vfmadd213ph */, X86::VFMADD213PHZ128m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 11410 /* vfmadd213ph */, X86::VFMADD213PHZ256m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 11410 /* vfmadd213ph */, X86::VFMADD213PHZm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 11410 /* vfmadd213ph */, X86::VFMADD213PHZrb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11410 /* vfmadd213ph */, X86::VFMADD213PHZ256mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK_VR256X }, },
{ 11410 /* vfmadd213ph */, X86::VFMADD213PHZmb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK_VR512 }, },
{ 11410 /* vfmadd213ph */, X86::VFMADD213PHZ128mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK_FR16X }, },
{ 11410 /* vfmadd213ph */, X86::VFMADD213PHZ128rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11410 /* vfmadd213ph */, X86::VFMADD213PHZ256rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11410 /* vfmadd213ph */, X86::VFMADD213PHZrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11410 /* vfmadd213ph */, X86::VFMADD213PHZ128mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11410 /* vfmadd213ph */, X86::VFMADD213PHZ256mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11410 /* vfmadd213ph */, X86::VFMADD213PHZmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11410 /* vfmadd213ph */, X86::VFMADD213PHZ128rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11410 /* vfmadd213ph */, X86::VFMADD213PHZ256rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11410 /* vfmadd213ph */, X86::VFMADD213PHZrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11410 /* vfmadd213ph */, X86::VFMADD213PHZrbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11410 /* vfmadd213ph */, X86::VFMADD213PHZ128mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11410 /* vfmadd213ph */, X86::VFMADD213PHZ256mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11410 /* vfmadd213ph */, X86::VFMADD213PHZmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11410 /* vfmadd213ph */, X86::VFMADD213PHZ128mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11410 /* vfmadd213ph */, X86::VFMADD213PHZ256mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11410 /* vfmadd213ph */, X86::VFMADD213PHZmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11410 /* vfmadd213ph */, X86::VFMADD213PHZrbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11410 /* vfmadd213ph */, X86::VFMADD213PHZ256mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11410 /* vfmadd213ph */, X86::VFMADD213PHZmbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11410 /* vfmadd213ph */, X86::VFMADD213PHZ128mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11422 /* vfmadd213ps */, X86::VFMADD213PSr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 11422 /* vfmadd213ps */, X86::VFMADD213PSYr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 11422 /* vfmadd213ps */, X86::VFMADD213PSZ128r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11422 /* vfmadd213ps */, X86::VFMADD213PSZ256r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 11422 /* vfmadd213ps */, X86::VFMADD213PSZr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11422 /* vfmadd213ps */, X86::VFMADD213PSm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 11422 /* vfmadd213ps */, X86::VFMADD213PSZ128m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 11422 /* vfmadd213ps */, X86::VFMADD213PSYm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 11422 /* vfmadd213ps */, X86::VFMADD213PSZ256m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 11422 /* vfmadd213ps */, X86::VFMADD213PSZm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 11422 /* vfmadd213ps */, X86::VFMADD213PSZrb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11422 /* vfmadd213ps */, X86::VFMADD213PSZmb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512 }, },
{ 11422 /* vfmadd213ps */, X86::VFMADD213PSZ128mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X }, },
{ 11422 /* vfmadd213ps */, X86::VFMADD213PSZ256mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X }, },
{ 11422 /* vfmadd213ps */, X86::VFMADD213PSZ128rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11422 /* vfmadd213ps */, X86::VFMADD213PSZ256rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11422 /* vfmadd213ps */, X86::VFMADD213PSZrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11422 /* vfmadd213ps */, X86::VFMADD213PSZ128mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11422 /* vfmadd213ps */, X86::VFMADD213PSZ256mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11422 /* vfmadd213ps */, X86::VFMADD213PSZmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11422 /* vfmadd213ps */, X86::VFMADD213PSZ128rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11422 /* vfmadd213ps */, X86::VFMADD213PSZ256rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11422 /* vfmadd213ps */, X86::VFMADD213PSZrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11422 /* vfmadd213ps */, X86::VFMADD213PSZrbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11422 /* vfmadd213ps */, X86::VFMADD213PSZ128mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11422 /* vfmadd213ps */, X86::VFMADD213PSZ256mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11422 /* vfmadd213ps */, X86::VFMADD213PSZmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11422 /* vfmadd213ps */, X86::VFMADD213PSZ128mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11422 /* vfmadd213ps */, X86::VFMADD213PSZ256mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11422 /* vfmadd213ps */, X86::VFMADD213PSZmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11422 /* vfmadd213ps */, X86::VFMADD213PSZrbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11422 /* vfmadd213ps */, X86::VFMADD213PSZmbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11422 /* vfmadd213ps */, X86::VFMADD213PSZ128mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11422 /* vfmadd213ps */, X86::VFMADD213PSZ256mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11434 /* vfmadd213sd */, X86::VFMADD213SDr_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 11434 /* vfmadd213sd */, X86::VFMADD213SDZr_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11434 /* vfmadd213sd */, X86::VFMADD213SDm_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16, MCK_FR16 }, },
{ 11434 /* vfmadd213sd */, X86::VFMADD213SDZm_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK_FR16X }, },
{ 11434 /* vfmadd213sd */, X86::VFMADD213SDZrb_Int, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11434 /* vfmadd213sd */, X86::VFMADD213SDZr_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11434 /* vfmadd213sd */, X86::VFMADD213SDZm_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11434 /* vfmadd213sd */, X86::VFMADD213SDZr_Intkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11434 /* vfmadd213sd */, X86::VFMADD213SDZrb_Intk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11434 /* vfmadd213sd */, X86::VFMADD213SDZm_Intkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11434 /* vfmadd213sd */, X86::VFMADD213SDZrb_Intkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11446 /* vfmadd213sh */, X86::VFMADD213SHZr_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11446 /* vfmadd213sh */, X86::VFMADD213SHZm_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16X, MCK_FR16X }, },
{ 11446 /* vfmadd213sh */, X86::VFMADD213SHZrb_Int, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11446 /* vfmadd213sh */, X86::VFMADD213SHZr_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11446 /* vfmadd213sh */, X86::VFMADD213SHZm_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11446 /* vfmadd213sh */, X86::VFMADD213SHZr_Intkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11446 /* vfmadd213sh */, X86::VFMADD213SHZrb_Intk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11446 /* vfmadd213sh */, X86::VFMADD213SHZm_Intkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11446 /* vfmadd213sh */, X86::VFMADD213SHZrb_Intkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11458 /* vfmadd213ss */, X86::VFMADD213SSr_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 11458 /* vfmadd213ss */, X86::VFMADD213SSZr_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11458 /* vfmadd213ss */, X86::VFMADD213SSm_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16, MCK_FR16 }, },
{ 11458 /* vfmadd213ss */, X86::VFMADD213SSZm_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X }, },
{ 11458 /* vfmadd213ss */, X86::VFMADD213SSZrb_Int, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11458 /* vfmadd213ss */, X86::VFMADD213SSZr_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11458 /* vfmadd213ss */, X86::VFMADD213SSZm_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11458 /* vfmadd213ss */, X86::VFMADD213SSZr_Intkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11458 /* vfmadd213ss */, X86::VFMADD213SSZrb_Intk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11458 /* vfmadd213ss */, X86::VFMADD213SSZm_Intkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11458 /* vfmadd213ss */, X86::VFMADD213SSZrb_Intkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11470 /* vfmadd231pd */, X86::VFMADD231PDr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 11470 /* vfmadd231pd */, X86::VFMADD231PDYr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 11470 /* vfmadd231pd */, X86::VFMADD231PDZ128r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11470 /* vfmadd231pd */, X86::VFMADD231PDZ256r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 11470 /* vfmadd231pd */, X86::VFMADD231PDZr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11470 /* vfmadd231pd */, X86::VFMADD231PDm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 11470 /* vfmadd231pd */, X86::VFMADD231PDZ128m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 11470 /* vfmadd231pd */, X86::VFMADD231PDYm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 11470 /* vfmadd231pd */, X86::VFMADD231PDZ256m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 11470 /* vfmadd231pd */, X86::VFMADD231PDZm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 11470 /* vfmadd231pd */, X86::VFMADD231PDZrb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11470 /* vfmadd231pd */, X86::VFMADD231PDZ128mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X }, },
{ 11470 /* vfmadd231pd */, X86::VFMADD231PDZ256mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X }, },
{ 11470 /* vfmadd231pd */, X86::VFMADD231PDZmb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512 }, },
{ 11470 /* vfmadd231pd */, X86::VFMADD231PDZ128rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11470 /* vfmadd231pd */, X86::VFMADD231PDZ256rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11470 /* vfmadd231pd */, X86::VFMADD231PDZrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11470 /* vfmadd231pd */, X86::VFMADD231PDZ128mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11470 /* vfmadd231pd */, X86::VFMADD231PDZ256mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11470 /* vfmadd231pd */, X86::VFMADD231PDZmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11470 /* vfmadd231pd */, X86::VFMADD231PDZ128rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11470 /* vfmadd231pd */, X86::VFMADD231PDZ256rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11470 /* vfmadd231pd */, X86::VFMADD231PDZrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11470 /* vfmadd231pd */, X86::VFMADD231PDZrbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11470 /* vfmadd231pd */, X86::VFMADD231PDZ128mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11470 /* vfmadd231pd */, X86::VFMADD231PDZ256mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11470 /* vfmadd231pd */, X86::VFMADD231PDZmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11470 /* vfmadd231pd */, X86::VFMADD231PDZ128mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11470 /* vfmadd231pd */, X86::VFMADD231PDZ256mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11470 /* vfmadd231pd */, X86::VFMADD231PDZmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11470 /* vfmadd231pd */, X86::VFMADD231PDZrbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11470 /* vfmadd231pd */, X86::VFMADD231PDZ128mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11470 /* vfmadd231pd */, X86::VFMADD231PDZ256mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11470 /* vfmadd231pd */, X86::VFMADD231PDZmbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11482 /* vfmadd231ph */, X86::VFMADD231PHZ128r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11482 /* vfmadd231ph */, X86::VFMADD231PHZ256r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 11482 /* vfmadd231ph */, X86::VFMADD231PHZr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11482 /* vfmadd231ph */, X86::VFMADD231PHZ128m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 11482 /* vfmadd231ph */, X86::VFMADD231PHZ256m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 11482 /* vfmadd231ph */, X86::VFMADD231PHZm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 11482 /* vfmadd231ph */, X86::VFMADD231PHZrb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11482 /* vfmadd231ph */, X86::VFMADD231PHZ256mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK_VR256X }, },
{ 11482 /* vfmadd231ph */, X86::VFMADD231PHZmb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK_VR512 }, },
{ 11482 /* vfmadd231ph */, X86::VFMADD231PHZ128mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK_FR16X }, },
{ 11482 /* vfmadd231ph */, X86::VFMADD231PHZ128rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11482 /* vfmadd231ph */, X86::VFMADD231PHZ256rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11482 /* vfmadd231ph */, X86::VFMADD231PHZrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11482 /* vfmadd231ph */, X86::VFMADD231PHZ128mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11482 /* vfmadd231ph */, X86::VFMADD231PHZ256mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11482 /* vfmadd231ph */, X86::VFMADD231PHZmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11482 /* vfmadd231ph */, X86::VFMADD231PHZ128rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11482 /* vfmadd231ph */, X86::VFMADD231PHZ256rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11482 /* vfmadd231ph */, X86::VFMADD231PHZrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11482 /* vfmadd231ph */, X86::VFMADD231PHZrbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11482 /* vfmadd231ph */, X86::VFMADD231PHZ128mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11482 /* vfmadd231ph */, X86::VFMADD231PHZ256mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11482 /* vfmadd231ph */, X86::VFMADD231PHZmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11482 /* vfmadd231ph */, X86::VFMADD231PHZ128mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11482 /* vfmadd231ph */, X86::VFMADD231PHZ256mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11482 /* vfmadd231ph */, X86::VFMADD231PHZmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11482 /* vfmadd231ph */, X86::VFMADD231PHZrbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11482 /* vfmadd231ph */, X86::VFMADD231PHZ256mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11482 /* vfmadd231ph */, X86::VFMADD231PHZmbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11482 /* vfmadd231ph */, X86::VFMADD231PHZ128mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11494 /* vfmadd231ps */, X86::VFMADD231PSr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 11494 /* vfmadd231ps */, X86::VFMADD231PSYr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 11494 /* vfmadd231ps */, X86::VFMADD231PSZ128r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11494 /* vfmadd231ps */, X86::VFMADD231PSZ256r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 11494 /* vfmadd231ps */, X86::VFMADD231PSZr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11494 /* vfmadd231ps */, X86::VFMADD231PSm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 11494 /* vfmadd231ps */, X86::VFMADD231PSZ128m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 11494 /* vfmadd231ps */, X86::VFMADD231PSYm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 11494 /* vfmadd231ps */, X86::VFMADD231PSZ256m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 11494 /* vfmadd231ps */, X86::VFMADD231PSZm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 11494 /* vfmadd231ps */, X86::VFMADD231PSZrb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11494 /* vfmadd231ps */, X86::VFMADD231PSZmb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512 }, },
{ 11494 /* vfmadd231ps */, X86::VFMADD231PSZ128mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X }, },
{ 11494 /* vfmadd231ps */, X86::VFMADD231PSZ256mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X }, },
{ 11494 /* vfmadd231ps */, X86::VFMADD231PSZ128rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11494 /* vfmadd231ps */, X86::VFMADD231PSZ256rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11494 /* vfmadd231ps */, X86::VFMADD231PSZrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11494 /* vfmadd231ps */, X86::VFMADD231PSZ128mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11494 /* vfmadd231ps */, X86::VFMADD231PSZ256mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11494 /* vfmadd231ps */, X86::VFMADD231PSZmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11494 /* vfmadd231ps */, X86::VFMADD231PSZ128rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11494 /* vfmadd231ps */, X86::VFMADD231PSZ256rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11494 /* vfmadd231ps */, X86::VFMADD231PSZrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11494 /* vfmadd231ps */, X86::VFMADD231PSZrbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11494 /* vfmadd231ps */, X86::VFMADD231PSZ128mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11494 /* vfmadd231ps */, X86::VFMADD231PSZ256mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11494 /* vfmadd231ps */, X86::VFMADD231PSZmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11494 /* vfmadd231ps */, X86::VFMADD231PSZ128mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11494 /* vfmadd231ps */, X86::VFMADD231PSZ256mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11494 /* vfmadd231ps */, X86::VFMADD231PSZmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11494 /* vfmadd231ps */, X86::VFMADD231PSZrbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11494 /* vfmadd231ps */, X86::VFMADD231PSZmbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11494 /* vfmadd231ps */, X86::VFMADD231PSZ128mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11494 /* vfmadd231ps */, X86::VFMADD231PSZ256mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11506 /* vfmadd231sd */, X86::VFMADD231SDr_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 11506 /* vfmadd231sd */, X86::VFMADD231SDZr_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11506 /* vfmadd231sd */, X86::VFMADD231SDm_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16, MCK_FR16 }, },
{ 11506 /* vfmadd231sd */, X86::VFMADD231SDZm_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK_FR16X }, },
{ 11506 /* vfmadd231sd */, X86::VFMADD231SDZrb_Int, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11506 /* vfmadd231sd */, X86::VFMADD231SDZr_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11506 /* vfmadd231sd */, X86::VFMADD231SDZm_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11506 /* vfmadd231sd */, X86::VFMADD231SDZr_Intkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11506 /* vfmadd231sd */, X86::VFMADD231SDZrb_Intk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11506 /* vfmadd231sd */, X86::VFMADD231SDZm_Intkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11506 /* vfmadd231sd */, X86::VFMADD231SDZrb_Intkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11518 /* vfmadd231sh */, X86::VFMADD231SHZr_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11518 /* vfmadd231sh */, X86::VFMADD231SHZm_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16X, MCK_FR16X }, },
{ 11518 /* vfmadd231sh */, X86::VFMADD231SHZrb_Int, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11518 /* vfmadd231sh */, X86::VFMADD231SHZr_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11518 /* vfmadd231sh */, X86::VFMADD231SHZm_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11518 /* vfmadd231sh */, X86::VFMADD231SHZr_Intkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11518 /* vfmadd231sh */, X86::VFMADD231SHZrb_Intk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11518 /* vfmadd231sh */, X86::VFMADD231SHZm_Intkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11518 /* vfmadd231sh */, X86::VFMADD231SHZrb_Intkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11530 /* vfmadd231ss */, X86::VFMADD231SSr_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 11530 /* vfmadd231ss */, X86::VFMADD231SSZr_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11530 /* vfmadd231ss */, X86::VFMADD231SSm_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16, MCK_FR16 }, },
{ 11530 /* vfmadd231ss */, X86::VFMADD231SSZm_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X }, },
{ 11530 /* vfmadd231ss */, X86::VFMADD231SSZrb_Int, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11530 /* vfmadd231ss */, X86::VFMADD231SSZr_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11530 /* vfmadd231ss */, X86::VFMADD231SSZm_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11530 /* vfmadd231ss */, X86::VFMADD231SSZr_Intkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11530 /* vfmadd231ss */, X86::VFMADD231SSZrb_Intk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11530 /* vfmadd231ss */, X86::VFMADD231SSZm_Intkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11530 /* vfmadd231ss */, X86::VFMADD231SSZrb_Intkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11542 /* vfmaddcph */, X86::VFMADDCPHZ128r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11542 /* vfmaddcph */, X86::VFMADDCPHZ256r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 11542 /* vfmaddcph */, X86::VFMADDCPHZr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11542 /* vfmaddcph */, X86::VFMADDCPHZ128m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 11542 /* vfmaddcph */, X86::VFMADDCPHZ256m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 11542 /* vfmaddcph */, X86::VFMADDCPHZm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 11542 /* vfmaddcph */, X86::VFMADDCPHZrb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11542 /* vfmaddcph */, X86::VFMADDCPHZmb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512 }, },
{ 11542 /* vfmaddcph */, X86::VFMADDCPHZ128mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X }, },
{ 11542 /* vfmaddcph */, X86::VFMADDCPHZ256mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X }, },
{ 11542 /* vfmaddcph */, X86::VFMADDCPHZ128rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11542 /* vfmaddcph */, X86::VFMADDCPHZ256rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11542 /* vfmaddcph */, X86::VFMADDCPHZrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11542 /* vfmaddcph */, X86::VFMADDCPHZ128mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11542 /* vfmaddcph */, X86::VFMADDCPHZ256mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11542 /* vfmaddcph */, X86::VFMADDCPHZmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11542 /* vfmaddcph */, X86::VFMADDCPHZ128rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11542 /* vfmaddcph */, X86::VFMADDCPHZ256rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11542 /* vfmaddcph */, X86::VFMADDCPHZrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11542 /* vfmaddcph */, X86::VFMADDCPHZrbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11542 /* vfmaddcph */, X86::VFMADDCPHZ128mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11542 /* vfmaddcph */, X86::VFMADDCPHZ256mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11542 /* vfmaddcph */, X86::VFMADDCPHZmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11542 /* vfmaddcph */, X86::VFMADDCPHZ128mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11542 /* vfmaddcph */, X86::VFMADDCPHZ256mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11542 /* vfmaddcph */, X86::VFMADDCPHZmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11542 /* vfmaddcph */, X86::VFMADDCPHZrbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11542 /* vfmaddcph */, X86::VFMADDCPHZmbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11542 /* vfmaddcph */, X86::VFMADDCPHZ128mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11542 /* vfmaddcph */, X86::VFMADDCPHZ256mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11552 /* vfmaddcsh */, X86::VFMADDCSHZr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11552 /* vfmaddcsh */, X86::VFMADDCSHZm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X }, },
{ 11552 /* vfmaddcsh */, X86::VFMADDCSHZrb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11552 /* vfmaddcsh */, X86::VFMADDCSHZrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11552 /* vfmaddcsh */, X86::VFMADDCSHZmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11552 /* vfmaddcsh */, X86::VFMADDCSHZrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11552 /* vfmaddcsh */, X86::VFMADDCSHZrbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11552 /* vfmaddcsh */, X86::VFMADDCSHZmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11552 /* vfmaddcsh */, X86::VFMADDCSHZrbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11562 /* vfmaddpd */, X86::VFMADDPD4rr, Convert__Reg1_3__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 11562 /* vfmaddpd */, X86::VFMADDPD4mr, Convert__Reg1_3__Reg1_2__Mem1285_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 11562 /* vfmaddpd */, X86::VFMADDPD4Yrr, Convert__Reg1_3__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 11562 /* vfmaddpd */, X86::VFMADDPD4Ymr, Convert__Reg1_3__Reg1_2__Mem2565_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 11562 /* vfmaddpd */, X86::VFMADDPD4rm, Convert__Reg1_3__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 11562 /* vfmaddpd */, X86::VFMADDPD4Yrm, Convert__Reg1_3__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 11571 /* vfmaddps */, X86::VFMADDPS4rr, Convert__Reg1_3__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 11571 /* vfmaddps */, X86::VFMADDPS4mr, Convert__Reg1_3__Reg1_2__Mem1285_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 11571 /* vfmaddps */, X86::VFMADDPS4Yrr, Convert__Reg1_3__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 11571 /* vfmaddps */, X86::VFMADDPS4Ymr, Convert__Reg1_3__Reg1_2__Mem2565_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 11571 /* vfmaddps */, X86::VFMADDPS4rm, Convert__Reg1_3__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 11571 /* vfmaddps */, X86::VFMADDPS4Yrm, Convert__Reg1_3__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 11580 /* vfmaddsd */, X86::VFMADDSD4rr, Convert__Reg1_3__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 11580 /* vfmaddsd */, X86::VFMADDSD4mr, Convert__Reg1_3__Reg1_2__Mem645_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_Mem64, MCK_FR16, MCK_FR16 }, },
{ 11580 /* vfmaddsd */, X86::VFMADDSD4rm, Convert__Reg1_3__Reg1_2__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 11589 /* vfmaddss */, X86::VFMADDSS4rr, Convert__Reg1_3__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 11589 /* vfmaddss */, X86::VFMADDSS4mr, Convert__Reg1_3__Reg1_2__Mem325_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_Mem32, MCK_FR16, MCK_FR16 }, },
{ 11589 /* vfmaddss */, X86::VFMADDSS4rm, Convert__Reg1_3__Reg1_2__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 11598 /* vfmaddsub132pd */, X86::VFMADDSUB132PDr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 11598 /* vfmaddsub132pd */, X86::VFMADDSUB132PDYr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 11598 /* vfmaddsub132pd */, X86::VFMADDSUB132PDZ128r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11598 /* vfmaddsub132pd */, X86::VFMADDSUB132PDZ256r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 11598 /* vfmaddsub132pd */, X86::VFMADDSUB132PDZr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11598 /* vfmaddsub132pd */, X86::VFMADDSUB132PDm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 11598 /* vfmaddsub132pd */, X86::VFMADDSUB132PDZ128m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 11598 /* vfmaddsub132pd */, X86::VFMADDSUB132PDYm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 11598 /* vfmaddsub132pd */, X86::VFMADDSUB132PDZ256m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 11598 /* vfmaddsub132pd */, X86::VFMADDSUB132PDZm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 11598 /* vfmaddsub132pd */, X86::VFMADDSUB132PDZrb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11598 /* vfmaddsub132pd */, X86::VFMADDSUB132PDZ128mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X }, },
{ 11598 /* vfmaddsub132pd */, X86::VFMADDSUB132PDZ256mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X }, },
{ 11598 /* vfmaddsub132pd */, X86::VFMADDSUB132PDZmb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512 }, },
{ 11598 /* vfmaddsub132pd */, X86::VFMADDSUB132PDZ128rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11598 /* vfmaddsub132pd */, X86::VFMADDSUB132PDZ256rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11598 /* vfmaddsub132pd */, X86::VFMADDSUB132PDZrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11598 /* vfmaddsub132pd */, X86::VFMADDSUB132PDZ128mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11598 /* vfmaddsub132pd */, X86::VFMADDSUB132PDZ256mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11598 /* vfmaddsub132pd */, X86::VFMADDSUB132PDZmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11598 /* vfmaddsub132pd */, X86::VFMADDSUB132PDZ128rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11598 /* vfmaddsub132pd */, X86::VFMADDSUB132PDZ256rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11598 /* vfmaddsub132pd */, X86::VFMADDSUB132PDZrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11598 /* vfmaddsub132pd */, X86::VFMADDSUB132PDZrbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11598 /* vfmaddsub132pd */, X86::VFMADDSUB132PDZ128mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11598 /* vfmaddsub132pd */, X86::VFMADDSUB132PDZ256mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11598 /* vfmaddsub132pd */, X86::VFMADDSUB132PDZmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11598 /* vfmaddsub132pd */, X86::VFMADDSUB132PDZ128mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11598 /* vfmaddsub132pd */, X86::VFMADDSUB132PDZ256mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11598 /* vfmaddsub132pd */, X86::VFMADDSUB132PDZmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11598 /* vfmaddsub132pd */, X86::VFMADDSUB132PDZrbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11598 /* vfmaddsub132pd */, X86::VFMADDSUB132PDZ128mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11598 /* vfmaddsub132pd */, X86::VFMADDSUB132PDZ256mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11598 /* vfmaddsub132pd */, X86::VFMADDSUB132PDZmbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11613 /* vfmaddsub132ph */, X86::VFMADDSUB132PHZ128r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11613 /* vfmaddsub132ph */, X86::VFMADDSUB132PHZ256r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 11613 /* vfmaddsub132ph */, X86::VFMADDSUB132PHZr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11613 /* vfmaddsub132ph */, X86::VFMADDSUB132PHZ128m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 11613 /* vfmaddsub132ph */, X86::VFMADDSUB132PHZ256m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 11613 /* vfmaddsub132ph */, X86::VFMADDSUB132PHZm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 11613 /* vfmaddsub132ph */, X86::VFMADDSUB132PHZrb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11613 /* vfmaddsub132ph */, X86::VFMADDSUB132PHZ256mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK_VR256X }, },
{ 11613 /* vfmaddsub132ph */, X86::VFMADDSUB132PHZmb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK_VR512 }, },
{ 11613 /* vfmaddsub132ph */, X86::VFMADDSUB132PHZ128mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK_FR16X }, },
{ 11613 /* vfmaddsub132ph */, X86::VFMADDSUB132PHZ128rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11613 /* vfmaddsub132ph */, X86::VFMADDSUB132PHZ256rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11613 /* vfmaddsub132ph */, X86::VFMADDSUB132PHZrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11613 /* vfmaddsub132ph */, X86::VFMADDSUB132PHZ128mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11613 /* vfmaddsub132ph */, X86::VFMADDSUB132PHZ256mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11613 /* vfmaddsub132ph */, X86::VFMADDSUB132PHZmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11613 /* vfmaddsub132ph */, X86::VFMADDSUB132PHZ128rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11613 /* vfmaddsub132ph */, X86::VFMADDSUB132PHZ256rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11613 /* vfmaddsub132ph */, X86::VFMADDSUB132PHZrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11613 /* vfmaddsub132ph */, X86::VFMADDSUB132PHZrbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11613 /* vfmaddsub132ph */, X86::VFMADDSUB132PHZ128mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11613 /* vfmaddsub132ph */, X86::VFMADDSUB132PHZ256mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11613 /* vfmaddsub132ph */, X86::VFMADDSUB132PHZmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11613 /* vfmaddsub132ph */, X86::VFMADDSUB132PHZ128mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11613 /* vfmaddsub132ph */, X86::VFMADDSUB132PHZ256mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11613 /* vfmaddsub132ph */, X86::VFMADDSUB132PHZmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11613 /* vfmaddsub132ph */, X86::VFMADDSUB132PHZrbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11613 /* vfmaddsub132ph */, X86::VFMADDSUB132PHZ256mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11613 /* vfmaddsub132ph */, X86::VFMADDSUB132PHZmbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11613 /* vfmaddsub132ph */, X86::VFMADDSUB132PHZ128mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11628 /* vfmaddsub132ps */, X86::VFMADDSUB132PSr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 11628 /* vfmaddsub132ps */, X86::VFMADDSUB132PSYr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 11628 /* vfmaddsub132ps */, X86::VFMADDSUB132PSZ128r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11628 /* vfmaddsub132ps */, X86::VFMADDSUB132PSZ256r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 11628 /* vfmaddsub132ps */, X86::VFMADDSUB132PSZr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11628 /* vfmaddsub132ps */, X86::VFMADDSUB132PSm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 11628 /* vfmaddsub132ps */, X86::VFMADDSUB132PSZ128m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 11628 /* vfmaddsub132ps */, X86::VFMADDSUB132PSYm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 11628 /* vfmaddsub132ps */, X86::VFMADDSUB132PSZ256m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 11628 /* vfmaddsub132ps */, X86::VFMADDSUB132PSZm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 11628 /* vfmaddsub132ps */, X86::VFMADDSUB132PSZrb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11628 /* vfmaddsub132ps */, X86::VFMADDSUB132PSZmb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512 }, },
{ 11628 /* vfmaddsub132ps */, X86::VFMADDSUB132PSZ128mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X }, },
{ 11628 /* vfmaddsub132ps */, X86::VFMADDSUB132PSZ256mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X }, },
{ 11628 /* vfmaddsub132ps */, X86::VFMADDSUB132PSZ128rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11628 /* vfmaddsub132ps */, X86::VFMADDSUB132PSZ256rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11628 /* vfmaddsub132ps */, X86::VFMADDSUB132PSZrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11628 /* vfmaddsub132ps */, X86::VFMADDSUB132PSZ128mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11628 /* vfmaddsub132ps */, X86::VFMADDSUB132PSZ256mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11628 /* vfmaddsub132ps */, X86::VFMADDSUB132PSZmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11628 /* vfmaddsub132ps */, X86::VFMADDSUB132PSZ128rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11628 /* vfmaddsub132ps */, X86::VFMADDSUB132PSZ256rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11628 /* vfmaddsub132ps */, X86::VFMADDSUB132PSZrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11628 /* vfmaddsub132ps */, X86::VFMADDSUB132PSZrbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11628 /* vfmaddsub132ps */, X86::VFMADDSUB132PSZ128mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11628 /* vfmaddsub132ps */, X86::VFMADDSUB132PSZ256mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11628 /* vfmaddsub132ps */, X86::VFMADDSUB132PSZmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11628 /* vfmaddsub132ps */, X86::VFMADDSUB132PSZ128mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11628 /* vfmaddsub132ps */, X86::VFMADDSUB132PSZ256mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11628 /* vfmaddsub132ps */, X86::VFMADDSUB132PSZmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11628 /* vfmaddsub132ps */, X86::VFMADDSUB132PSZrbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11628 /* vfmaddsub132ps */, X86::VFMADDSUB132PSZmbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11628 /* vfmaddsub132ps */, X86::VFMADDSUB132PSZ128mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11628 /* vfmaddsub132ps */, X86::VFMADDSUB132PSZ256mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11643 /* vfmaddsub213pd */, X86::VFMADDSUB213PDr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 11643 /* vfmaddsub213pd */, X86::VFMADDSUB213PDYr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 11643 /* vfmaddsub213pd */, X86::VFMADDSUB213PDZ128r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11643 /* vfmaddsub213pd */, X86::VFMADDSUB213PDZ256r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 11643 /* vfmaddsub213pd */, X86::VFMADDSUB213PDZr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11643 /* vfmaddsub213pd */, X86::VFMADDSUB213PDm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 11643 /* vfmaddsub213pd */, X86::VFMADDSUB213PDZ128m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 11643 /* vfmaddsub213pd */, X86::VFMADDSUB213PDYm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 11643 /* vfmaddsub213pd */, X86::VFMADDSUB213PDZ256m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 11643 /* vfmaddsub213pd */, X86::VFMADDSUB213PDZm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 11643 /* vfmaddsub213pd */, X86::VFMADDSUB213PDZrb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11643 /* vfmaddsub213pd */, X86::VFMADDSUB213PDZ128mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X }, },
{ 11643 /* vfmaddsub213pd */, X86::VFMADDSUB213PDZ256mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X }, },
{ 11643 /* vfmaddsub213pd */, X86::VFMADDSUB213PDZmb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512 }, },
{ 11643 /* vfmaddsub213pd */, X86::VFMADDSUB213PDZ128rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11643 /* vfmaddsub213pd */, X86::VFMADDSUB213PDZ256rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11643 /* vfmaddsub213pd */, X86::VFMADDSUB213PDZrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11643 /* vfmaddsub213pd */, X86::VFMADDSUB213PDZ128mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11643 /* vfmaddsub213pd */, X86::VFMADDSUB213PDZ256mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11643 /* vfmaddsub213pd */, X86::VFMADDSUB213PDZmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11643 /* vfmaddsub213pd */, X86::VFMADDSUB213PDZ128rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11643 /* vfmaddsub213pd */, X86::VFMADDSUB213PDZ256rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11643 /* vfmaddsub213pd */, X86::VFMADDSUB213PDZrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11643 /* vfmaddsub213pd */, X86::VFMADDSUB213PDZrbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11643 /* vfmaddsub213pd */, X86::VFMADDSUB213PDZ128mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11643 /* vfmaddsub213pd */, X86::VFMADDSUB213PDZ256mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11643 /* vfmaddsub213pd */, X86::VFMADDSUB213PDZmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11643 /* vfmaddsub213pd */, X86::VFMADDSUB213PDZ128mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11643 /* vfmaddsub213pd */, X86::VFMADDSUB213PDZ256mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11643 /* vfmaddsub213pd */, X86::VFMADDSUB213PDZmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11643 /* vfmaddsub213pd */, X86::VFMADDSUB213PDZrbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11643 /* vfmaddsub213pd */, X86::VFMADDSUB213PDZ128mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11643 /* vfmaddsub213pd */, X86::VFMADDSUB213PDZ256mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11643 /* vfmaddsub213pd */, X86::VFMADDSUB213PDZmbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11658 /* vfmaddsub213ph */, X86::VFMADDSUB213PHZ128r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11658 /* vfmaddsub213ph */, X86::VFMADDSUB213PHZ256r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 11658 /* vfmaddsub213ph */, X86::VFMADDSUB213PHZr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11658 /* vfmaddsub213ph */, X86::VFMADDSUB213PHZ128m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 11658 /* vfmaddsub213ph */, X86::VFMADDSUB213PHZ256m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 11658 /* vfmaddsub213ph */, X86::VFMADDSUB213PHZm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 11658 /* vfmaddsub213ph */, X86::VFMADDSUB213PHZrb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11658 /* vfmaddsub213ph */, X86::VFMADDSUB213PHZ256mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK_VR256X }, },
{ 11658 /* vfmaddsub213ph */, X86::VFMADDSUB213PHZmb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK_VR512 }, },
{ 11658 /* vfmaddsub213ph */, X86::VFMADDSUB213PHZ128mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK_FR16X }, },
{ 11658 /* vfmaddsub213ph */, X86::VFMADDSUB213PHZ128rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11658 /* vfmaddsub213ph */, X86::VFMADDSUB213PHZ256rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11658 /* vfmaddsub213ph */, X86::VFMADDSUB213PHZrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11658 /* vfmaddsub213ph */, X86::VFMADDSUB213PHZ128mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11658 /* vfmaddsub213ph */, X86::VFMADDSUB213PHZ256mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11658 /* vfmaddsub213ph */, X86::VFMADDSUB213PHZmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11658 /* vfmaddsub213ph */, X86::VFMADDSUB213PHZ128rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11658 /* vfmaddsub213ph */, X86::VFMADDSUB213PHZ256rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11658 /* vfmaddsub213ph */, X86::VFMADDSUB213PHZrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11658 /* vfmaddsub213ph */, X86::VFMADDSUB213PHZrbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11658 /* vfmaddsub213ph */, X86::VFMADDSUB213PHZ128mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11658 /* vfmaddsub213ph */, X86::VFMADDSUB213PHZ256mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11658 /* vfmaddsub213ph */, X86::VFMADDSUB213PHZmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11658 /* vfmaddsub213ph */, X86::VFMADDSUB213PHZ128mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11658 /* vfmaddsub213ph */, X86::VFMADDSUB213PHZ256mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11658 /* vfmaddsub213ph */, X86::VFMADDSUB213PHZmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11658 /* vfmaddsub213ph */, X86::VFMADDSUB213PHZrbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11658 /* vfmaddsub213ph */, X86::VFMADDSUB213PHZ256mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11658 /* vfmaddsub213ph */, X86::VFMADDSUB213PHZmbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11658 /* vfmaddsub213ph */, X86::VFMADDSUB213PHZ128mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11673 /* vfmaddsub213ps */, X86::VFMADDSUB213PSr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 11673 /* vfmaddsub213ps */, X86::VFMADDSUB213PSYr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 11673 /* vfmaddsub213ps */, X86::VFMADDSUB213PSZ128r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11673 /* vfmaddsub213ps */, X86::VFMADDSUB213PSZ256r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 11673 /* vfmaddsub213ps */, X86::VFMADDSUB213PSZr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11673 /* vfmaddsub213ps */, X86::VFMADDSUB213PSm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 11673 /* vfmaddsub213ps */, X86::VFMADDSUB213PSZ128m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 11673 /* vfmaddsub213ps */, X86::VFMADDSUB213PSYm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 11673 /* vfmaddsub213ps */, X86::VFMADDSUB213PSZ256m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 11673 /* vfmaddsub213ps */, X86::VFMADDSUB213PSZm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 11673 /* vfmaddsub213ps */, X86::VFMADDSUB213PSZrb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11673 /* vfmaddsub213ps */, X86::VFMADDSUB213PSZmb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512 }, },
{ 11673 /* vfmaddsub213ps */, X86::VFMADDSUB213PSZ128mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X }, },
{ 11673 /* vfmaddsub213ps */, X86::VFMADDSUB213PSZ256mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X }, },
{ 11673 /* vfmaddsub213ps */, X86::VFMADDSUB213PSZ128rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11673 /* vfmaddsub213ps */, X86::VFMADDSUB213PSZ256rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11673 /* vfmaddsub213ps */, X86::VFMADDSUB213PSZrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11673 /* vfmaddsub213ps */, X86::VFMADDSUB213PSZ128mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11673 /* vfmaddsub213ps */, X86::VFMADDSUB213PSZ256mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11673 /* vfmaddsub213ps */, X86::VFMADDSUB213PSZmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11673 /* vfmaddsub213ps */, X86::VFMADDSUB213PSZ128rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11673 /* vfmaddsub213ps */, X86::VFMADDSUB213PSZ256rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11673 /* vfmaddsub213ps */, X86::VFMADDSUB213PSZrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11673 /* vfmaddsub213ps */, X86::VFMADDSUB213PSZrbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11673 /* vfmaddsub213ps */, X86::VFMADDSUB213PSZ128mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11673 /* vfmaddsub213ps */, X86::VFMADDSUB213PSZ256mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11673 /* vfmaddsub213ps */, X86::VFMADDSUB213PSZmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11673 /* vfmaddsub213ps */, X86::VFMADDSUB213PSZ128mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11673 /* vfmaddsub213ps */, X86::VFMADDSUB213PSZ256mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11673 /* vfmaddsub213ps */, X86::VFMADDSUB213PSZmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11673 /* vfmaddsub213ps */, X86::VFMADDSUB213PSZrbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11673 /* vfmaddsub213ps */, X86::VFMADDSUB213PSZmbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11673 /* vfmaddsub213ps */, X86::VFMADDSUB213PSZ128mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11673 /* vfmaddsub213ps */, X86::VFMADDSUB213PSZ256mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11688 /* vfmaddsub231pd */, X86::VFMADDSUB231PDr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 11688 /* vfmaddsub231pd */, X86::VFMADDSUB231PDYr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 11688 /* vfmaddsub231pd */, X86::VFMADDSUB231PDZ128r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11688 /* vfmaddsub231pd */, X86::VFMADDSUB231PDZ256r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 11688 /* vfmaddsub231pd */, X86::VFMADDSUB231PDZr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11688 /* vfmaddsub231pd */, X86::VFMADDSUB231PDm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 11688 /* vfmaddsub231pd */, X86::VFMADDSUB231PDZ128m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 11688 /* vfmaddsub231pd */, X86::VFMADDSUB231PDYm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 11688 /* vfmaddsub231pd */, X86::VFMADDSUB231PDZ256m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 11688 /* vfmaddsub231pd */, X86::VFMADDSUB231PDZm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 11688 /* vfmaddsub231pd */, X86::VFMADDSUB231PDZrb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11688 /* vfmaddsub231pd */, X86::VFMADDSUB231PDZ128mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X }, },
{ 11688 /* vfmaddsub231pd */, X86::VFMADDSUB231PDZ256mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X }, },
{ 11688 /* vfmaddsub231pd */, X86::VFMADDSUB231PDZmb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512 }, },
{ 11688 /* vfmaddsub231pd */, X86::VFMADDSUB231PDZ128rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11688 /* vfmaddsub231pd */, X86::VFMADDSUB231PDZ256rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11688 /* vfmaddsub231pd */, X86::VFMADDSUB231PDZrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11688 /* vfmaddsub231pd */, X86::VFMADDSUB231PDZ128mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11688 /* vfmaddsub231pd */, X86::VFMADDSUB231PDZ256mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11688 /* vfmaddsub231pd */, X86::VFMADDSUB231PDZmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11688 /* vfmaddsub231pd */, X86::VFMADDSUB231PDZ128rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11688 /* vfmaddsub231pd */, X86::VFMADDSUB231PDZ256rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11688 /* vfmaddsub231pd */, X86::VFMADDSUB231PDZrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11688 /* vfmaddsub231pd */, X86::VFMADDSUB231PDZrbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11688 /* vfmaddsub231pd */, X86::VFMADDSUB231PDZ128mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11688 /* vfmaddsub231pd */, X86::VFMADDSUB231PDZ256mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11688 /* vfmaddsub231pd */, X86::VFMADDSUB231PDZmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11688 /* vfmaddsub231pd */, X86::VFMADDSUB231PDZ128mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11688 /* vfmaddsub231pd */, X86::VFMADDSUB231PDZ256mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11688 /* vfmaddsub231pd */, X86::VFMADDSUB231PDZmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11688 /* vfmaddsub231pd */, X86::VFMADDSUB231PDZrbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11688 /* vfmaddsub231pd */, X86::VFMADDSUB231PDZ128mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11688 /* vfmaddsub231pd */, X86::VFMADDSUB231PDZ256mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11688 /* vfmaddsub231pd */, X86::VFMADDSUB231PDZmbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11703 /* vfmaddsub231ph */, X86::VFMADDSUB231PHZ128r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11703 /* vfmaddsub231ph */, X86::VFMADDSUB231PHZ256r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 11703 /* vfmaddsub231ph */, X86::VFMADDSUB231PHZr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11703 /* vfmaddsub231ph */, X86::VFMADDSUB231PHZ128m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 11703 /* vfmaddsub231ph */, X86::VFMADDSUB231PHZ256m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 11703 /* vfmaddsub231ph */, X86::VFMADDSUB231PHZm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 11703 /* vfmaddsub231ph */, X86::VFMADDSUB231PHZrb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11703 /* vfmaddsub231ph */, X86::VFMADDSUB231PHZ256mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK_VR256X }, },
{ 11703 /* vfmaddsub231ph */, X86::VFMADDSUB231PHZmb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK_VR512 }, },
{ 11703 /* vfmaddsub231ph */, X86::VFMADDSUB231PHZ128mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK_FR16X }, },
{ 11703 /* vfmaddsub231ph */, X86::VFMADDSUB231PHZ128rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11703 /* vfmaddsub231ph */, X86::VFMADDSUB231PHZ256rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11703 /* vfmaddsub231ph */, X86::VFMADDSUB231PHZrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11703 /* vfmaddsub231ph */, X86::VFMADDSUB231PHZ128mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11703 /* vfmaddsub231ph */, X86::VFMADDSUB231PHZ256mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11703 /* vfmaddsub231ph */, X86::VFMADDSUB231PHZmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11703 /* vfmaddsub231ph */, X86::VFMADDSUB231PHZ128rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11703 /* vfmaddsub231ph */, X86::VFMADDSUB231PHZ256rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11703 /* vfmaddsub231ph */, X86::VFMADDSUB231PHZrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11703 /* vfmaddsub231ph */, X86::VFMADDSUB231PHZrbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11703 /* vfmaddsub231ph */, X86::VFMADDSUB231PHZ128mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11703 /* vfmaddsub231ph */, X86::VFMADDSUB231PHZ256mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11703 /* vfmaddsub231ph */, X86::VFMADDSUB231PHZmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11703 /* vfmaddsub231ph */, X86::VFMADDSUB231PHZ128mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11703 /* vfmaddsub231ph */, X86::VFMADDSUB231PHZ256mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11703 /* vfmaddsub231ph */, X86::VFMADDSUB231PHZmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11703 /* vfmaddsub231ph */, X86::VFMADDSUB231PHZrbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11703 /* vfmaddsub231ph */, X86::VFMADDSUB231PHZ256mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11703 /* vfmaddsub231ph */, X86::VFMADDSUB231PHZmbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11703 /* vfmaddsub231ph */, X86::VFMADDSUB231PHZ128mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11718 /* vfmaddsub231ps */, X86::VFMADDSUB231PSr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 11718 /* vfmaddsub231ps */, X86::VFMADDSUB231PSYr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 11718 /* vfmaddsub231ps */, X86::VFMADDSUB231PSZ128r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11718 /* vfmaddsub231ps */, X86::VFMADDSUB231PSZ256r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 11718 /* vfmaddsub231ps */, X86::VFMADDSUB231PSZr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11718 /* vfmaddsub231ps */, X86::VFMADDSUB231PSm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 11718 /* vfmaddsub231ps */, X86::VFMADDSUB231PSZ128m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 11718 /* vfmaddsub231ps */, X86::VFMADDSUB231PSYm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 11718 /* vfmaddsub231ps */, X86::VFMADDSUB231PSZ256m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 11718 /* vfmaddsub231ps */, X86::VFMADDSUB231PSZm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 11718 /* vfmaddsub231ps */, X86::VFMADDSUB231PSZrb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11718 /* vfmaddsub231ps */, X86::VFMADDSUB231PSZmb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512 }, },
{ 11718 /* vfmaddsub231ps */, X86::VFMADDSUB231PSZ128mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X }, },
{ 11718 /* vfmaddsub231ps */, X86::VFMADDSUB231PSZ256mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X }, },
{ 11718 /* vfmaddsub231ps */, X86::VFMADDSUB231PSZ128rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11718 /* vfmaddsub231ps */, X86::VFMADDSUB231PSZ256rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11718 /* vfmaddsub231ps */, X86::VFMADDSUB231PSZrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11718 /* vfmaddsub231ps */, X86::VFMADDSUB231PSZ128mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11718 /* vfmaddsub231ps */, X86::VFMADDSUB231PSZ256mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11718 /* vfmaddsub231ps */, X86::VFMADDSUB231PSZmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11718 /* vfmaddsub231ps */, X86::VFMADDSUB231PSZ128rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11718 /* vfmaddsub231ps */, X86::VFMADDSUB231PSZ256rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11718 /* vfmaddsub231ps */, X86::VFMADDSUB231PSZrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11718 /* vfmaddsub231ps */, X86::VFMADDSUB231PSZrbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11718 /* vfmaddsub231ps */, X86::VFMADDSUB231PSZ128mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11718 /* vfmaddsub231ps */, X86::VFMADDSUB231PSZ256mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11718 /* vfmaddsub231ps */, X86::VFMADDSUB231PSZmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11718 /* vfmaddsub231ps */, X86::VFMADDSUB231PSZ128mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11718 /* vfmaddsub231ps */, X86::VFMADDSUB231PSZ256mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11718 /* vfmaddsub231ps */, X86::VFMADDSUB231PSZmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11718 /* vfmaddsub231ps */, X86::VFMADDSUB231PSZrbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11718 /* vfmaddsub231ps */, X86::VFMADDSUB231PSZmbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11718 /* vfmaddsub231ps */, X86::VFMADDSUB231PSZ128mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11718 /* vfmaddsub231ps */, X86::VFMADDSUB231PSZ256mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11733 /* vfmaddsubpd */, X86::VFMADDSUBPD4rr, Convert__Reg1_3__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 11733 /* vfmaddsubpd */, X86::VFMADDSUBPD4mr, Convert__Reg1_3__Reg1_2__Mem1285_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 11733 /* vfmaddsubpd */, X86::VFMADDSUBPD4Yrr, Convert__Reg1_3__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 11733 /* vfmaddsubpd */, X86::VFMADDSUBPD4Ymr, Convert__Reg1_3__Reg1_2__Mem2565_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 11733 /* vfmaddsubpd */, X86::VFMADDSUBPD4rm, Convert__Reg1_3__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 11733 /* vfmaddsubpd */, X86::VFMADDSUBPD4Yrm, Convert__Reg1_3__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 11745 /* vfmaddsubps */, X86::VFMADDSUBPS4rr, Convert__Reg1_3__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 11745 /* vfmaddsubps */, X86::VFMADDSUBPS4mr, Convert__Reg1_3__Reg1_2__Mem1285_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 11745 /* vfmaddsubps */, X86::VFMADDSUBPS4Yrr, Convert__Reg1_3__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 11745 /* vfmaddsubps */, X86::VFMADDSUBPS4Ymr, Convert__Reg1_3__Reg1_2__Mem2565_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 11745 /* vfmaddsubps */, X86::VFMADDSUBPS4rm, Convert__Reg1_3__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 11745 /* vfmaddsubps */, X86::VFMADDSUBPS4Yrm, Convert__Reg1_3__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 11757 /* vfmsub132pd */, X86::VFMSUB132PDr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 11757 /* vfmsub132pd */, X86::VFMSUB132PDYr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 11757 /* vfmsub132pd */, X86::VFMSUB132PDZ128r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11757 /* vfmsub132pd */, X86::VFMSUB132PDZ256r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 11757 /* vfmsub132pd */, X86::VFMSUB132PDZr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11757 /* vfmsub132pd */, X86::VFMSUB132PDm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 11757 /* vfmsub132pd */, X86::VFMSUB132PDZ128m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 11757 /* vfmsub132pd */, X86::VFMSUB132PDYm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 11757 /* vfmsub132pd */, X86::VFMSUB132PDZ256m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 11757 /* vfmsub132pd */, X86::VFMSUB132PDZm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 11757 /* vfmsub132pd */, X86::VFMSUB132PDZrb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11757 /* vfmsub132pd */, X86::VFMSUB132PDZ128mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X }, },
{ 11757 /* vfmsub132pd */, X86::VFMSUB132PDZ256mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X }, },
{ 11757 /* vfmsub132pd */, X86::VFMSUB132PDZmb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512 }, },
{ 11757 /* vfmsub132pd */, X86::VFMSUB132PDZ128rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11757 /* vfmsub132pd */, X86::VFMSUB132PDZ256rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11757 /* vfmsub132pd */, X86::VFMSUB132PDZrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11757 /* vfmsub132pd */, X86::VFMSUB132PDZ128mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11757 /* vfmsub132pd */, X86::VFMSUB132PDZ256mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11757 /* vfmsub132pd */, X86::VFMSUB132PDZmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11757 /* vfmsub132pd */, X86::VFMSUB132PDZ128rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11757 /* vfmsub132pd */, X86::VFMSUB132PDZ256rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11757 /* vfmsub132pd */, X86::VFMSUB132PDZrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11757 /* vfmsub132pd */, X86::VFMSUB132PDZrbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11757 /* vfmsub132pd */, X86::VFMSUB132PDZ128mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11757 /* vfmsub132pd */, X86::VFMSUB132PDZ256mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11757 /* vfmsub132pd */, X86::VFMSUB132PDZmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11757 /* vfmsub132pd */, X86::VFMSUB132PDZ128mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11757 /* vfmsub132pd */, X86::VFMSUB132PDZ256mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11757 /* vfmsub132pd */, X86::VFMSUB132PDZmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11757 /* vfmsub132pd */, X86::VFMSUB132PDZrbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11757 /* vfmsub132pd */, X86::VFMSUB132PDZ128mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11757 /* vfmsub132pd */, X86::VFMSUB132PDZ256mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11757 /* vfmsub132pd */, X86::VFMSUB132PDZmbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11769 /* vfmsub132ph */, X86::VFMSUB132PHZ128r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11769 /* vfmsub132ph */, X86::VFMSUB132PHZ256r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 11769 /* vfmsub132ph */, X86::VFMSUB132PHZr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11769 /* vfmsub132ph */, X86::VFMSUB132PHZ128m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 11769 /* vfmsub132ph */, X86::VFMSUB132PHZ256m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 11769 /* vfmsub132ph */, X86::VFMSUB132PHZm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 11769 /* vfmsub132ph */, X86::VFMSUB132PHZrb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11769 /* vfmsub132ph */, X86::VFMSUB132PHZ256mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK_VR256X }, },
{ 11769 /* vfmsub132ph */, X86::VFMSUB132PHZmb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK_VR512 }, },
{ 11769 /* vfmsub132ph */, X86::VFMSUB132PHZ128mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK_FR16X }, },
{ 11769 /* vfmsub132ph */, X86::VFMSUB132PHZ128rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11769 /* vfmsub132ph */, X86::VFMSUB132PHZ256rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11769 /* vfmsub132ph */, X86::VFMSUB132PHZrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11769 /* vfmsub132ph */, X86::VFMSUB132PHZ128mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11769 /* vfmsub132ph */, X86::VFMSUB132PHZ256mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11769 /* vfmsub132ph */, X86::VFMSUB132PHZmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11769 /* vfmsub132ph */, X86::VFMSUB132PHZ128rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11769 /* vfmsub132ph */, X86::VFMSUB132PHZ256rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11769 /* vfmsub132ph */, X86::VFMSUB132PHZrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11769 /* vfmsub132ph */, X86::VFMSUB132PHZrbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11769 /* vfmsub132ph */, X86::VFMSUB132PHZ128mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11769 /* vfmsub132ph */, X86::VFMSUB132PHZ256mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11769 /* vfmsub132ph */, X86::VFMSUB132PHZmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11769 /* vfmsub132ph */, X86::VFMSUB132PHZ128mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11769 /* vfmsub132ph */, X86::VFMSUB132PHZ256mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11769 /* vfmsub132ph */, X86::VFMSUB132PHZmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11769 /* vfmsub132ph */, X86::VFMSUB132PHZrbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11769 /* vfmsub132ph */, X86::VFMSUB132PHZ256mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11769 /* vfmsub132ph */, X86::VFMSUB132PHZmbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11769 /* vfmsub132ph */, X86::VFMSUB132PHZ128mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11781 /* vfmsub132ps */, X86::VFMSUB132PSr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 11781 /* vfmsub132ps */, X86::VFMSUB132PSYr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 11781 /* vfmsub132ps */, X86::VFMSUB132PSZ128r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11781 /* vfmsub132ps */, X86::VFMSUB132PSZ256r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 11781 /* vfmsub132ps */, X86::VFMSUB132PSZr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11781 /* vfmsub132ps */, X86::VFMSUB132PSm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 11781 /* vfmsub132ps */, X86::VFMSUB132PSZ128m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 11781 /* vfmsub132ps */, X86::VFMSUB132PSYm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 11781 /* vfmsub132ps */, X86::VFMSUB132PSZ256m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 11781 /* vfmsub132ps */, X86::VFMSUB132PSZm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 11781 /* vfmsub132ps */, X86::VFMSUB132PSZrb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11781 /* vfmsub132ps */, X86::VFMSUB132PSZmb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512 }, },
{ 11781 /* vfmsub132ps */, X86::VFMSUB132PSZ128mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X }, },
{ 11781 /* vfmsub132ps */, X86::VFMSUB132PSZ256mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X }, },
{ 11781 /* vfmsub132ps */, X86::VFMSUB132PSZ128rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11781 /* vfmsub132ps */, X86::VFMSUB132PSZ256rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11781 /* vfmsub132ps */, X86::VFMSUB132PSZrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11781 /* vfmsub132ps */, X86::VFMSUB132PSZ128mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11781 /* vfmsub132ps */, X86::VFMSUB132PSZ256mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11781 /* vfmsub132ps */, X86::VFMSUB132PSZmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11781 /* vfmsub132ps */, X86::VFMSUB132PSZ128rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11781 /* vfmsub132ps */, X86::VFMSUB132PSZ256rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11781 /* vfmsub132ps */, X86::VFMSUB132PSZrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11781 /* vfmsub132ps */, X86::VFMSUB132PSZrbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11781 /* vfmsub132ps */, X86::VFMSUB132PSZ128mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11781 /* vfmsub132ps */, X86::VFMSUB132PSZ256mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11781 /* vfmsub132ps */, X86::VFMSUB132PSZmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11781 /* vfmsub132ps */, X86::VFMSUB132PSZ128mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11781 /* vfmsub132ps */, X86::VFMSUB132PSZ256mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11781 /* vfmsub132ps */, X86::VFMSUB132PSZmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11781 /* vfmsub132ps */, X86::VFMSUB132PSZrbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11781 /* vfmsub132ps */, X86::VFMSUB132PSZmbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11781 /* vfmsub132ps */, X86::VFMSUB132PSZ128mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11781 /* vfmsub132ps */, X86::VFMSUB132PSZ256mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11793 /* vfmsub132sd */, X86::VFMSUB132SDr_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 11793 /* vfmsub132sd */, X86::VFMSUB132SDZr_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11793 /* vfmsub132sd */, X86::VFMSUB132SDm_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16, MCK_FR16 }, },
{ 11793 /* vfmsub132sd */, X86::VFMSUB132SDZm_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK_FR16X }, },
{ 11793 /* vfmsub132sd */, X86::VFMSUB132SDZrb_Int, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11793 /* vfmsub132sd */, X86::VFMSUB132SDZr_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11793 /* vfmsub132sd */, X86::VFMSUB132SDZm_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11793 /* vfmsub132sd */, X86::VFMSUB132SDZr_Intkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11793 /* vfmsub132sd */, X86::VFMSUB132SDZrb_Intk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11793 /* vfmsub132sd */, X86::VFMSUB132SDZm_Intkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11793 /* vfmsub132sd */, X86::VFMSUB132SDZrb_Intkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11805 /* vfmsub132sh */, X86::VFMSUB132SHZr_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11805 /* vfmsub132sh */, X86::VFMSUB132SHZm_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16X, MCK_FR16X }, },
{ 11805 /* vfmsub132sh */, X86::VFMSUB132SHZrb_Int, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11805 /* vfmsub132sh */, X86::VFMSUB132SHZr_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11805 /* vfmsub132sh */, X86::VFMSUB132SHZm_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11805 /* vfmsub132sh */, X86::VFMSUB132SHZr_Intkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11805 /* vfmsub132sh */, X86::VFMSUB132SHZrb_Intk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11805 /* vfmsub132sh */, X86::VFMSUB132SHZm_Intkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11805 /* vfmsub132sh */, X86::VFMSUB132SHZrb_Intkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11817 /* vfmsub132ss */, X86::VFMSUB132SSr_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 11817 /* vfmsub132ss */, X86::VFMSUB132SSZr_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11817 /* vfmsub132ss */, X86::VFMSUB132SSm_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16, MCK_FR16 }, },
{ 11817 /* vfmsub132ss */, X86::VFMSUB132SSZm_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X }, },
{ 11817 /* vfmsub132ss */, X86::VFMSUB132SSZrb_Int, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11817 /* vfmsub132ss */, X86::VFMSUB132SSZr_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11817 /* vfmsub132ss */, X86::VFMSUB132SSZm_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11817 /* vfmsub132ss */, X86::VFMSUB132SSZr_Intkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11817 /* vfmsub132ss */, X86::VFMSUB132SSZrb_Intk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11817 /* vfmsub132ss */, X86::VFMSUB132SSZm_Intkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11817 /* vfmsub132ss */, X86::VFMSUB132SSZrb_Intkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11829 /* vfmsub213pd */, X86::VFMSUB213PDr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 11829 /* vfmsub213pd */, X86::VFMSUB213PDYr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 11829 /* vfmsub213pd */, X86::VFMSUB213PDZ128r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11829 /* vfmsub213pd */, X86::VFMSUB213PDZ256r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 11829 /* vfmsub213pd */, X86::VFMSUB213PDZr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11829 /* vfmsub213pd */, X86::VFMSUB213PDm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 11829 /* vfmsub213pd */, X86::VFMSUB213PDZ128m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 11829 /* vfmsub213pd */, X86::VFMSUB213PDYm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 11829 /* vfmsub213pd */, X86::VFMSUB213PDZ256m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 11829 /* vfmsub213pd */, X86::VFMSUB213PDZm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 11829 /* vfmsub213pd */, X86::VFMSUB213PDZrb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11829 /* vfmsub213pd */, X86::VFMSUB213PDZ128mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X }, },
{ 11829 /* vfmsub213pd */, X86::VFMSUB213PDZ256mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X }, },
{ 11829 /* vfmsub213pd */, X86::VFMSUB213PDZmb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512 }, },
{ 11829 /* vfmsub213pd */, X86::VFMSUB213PDZ128rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11829 /* vfmsub213pd */, X86::VFMSUB213PDZ256rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11829 /* vfmsub213pd */, X86::VFMSUB213PDZrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11829 /* vfmsub213pd */, X86::VFMSUB213PDZ128mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11829 /* vfmsub213pd */, X86::VFMSUB213PDZ256mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11829 /* vfmsub213pd */, X86::VFMSUB213PDZmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11829 /* vfmsub213pd */, X86::VFMSUB213PDZ128rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11829 /* vfmsub213pd */, X86::VFMSUB213PDZ256rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11829 /* vfmsub213pd */, X86::VFMSUB213PDZrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11829 /* vfmsub213pd */, X86::VFMSUB213PDZrbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11829 /* vfmsub213pd */, X86::VFMSUB213PDZ128mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11829 /* vfmsub213pd */, X86::VFMSUB213PDZ256mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11829 /* vfmsub213pd */, X86::VFMSUB213PDZmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11829 /* vfmsub213pd */, X86::VFMSUB213PDZ128mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11829 /* vfmsub213pd */, X86::VFMSUB213PDZ256mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11829 /* vfmsub213pd */, X86::VFMSUB213PDZmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11829 /* vfmsub213pd */, X86::VFMSUB213PDZrbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11829 /* vfmsub213pd */, X86::VFMSUB213PDZ128mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11829 /* vfmsub213pd */, X86::VFMSUB213PDZ256mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11829 /* vfmsub213pd */, X86::VFMSUB213PDZmbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11841 /* vfmsub213ph */, X86::VFMSUB213PHZ128r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11841 /* vfmsub213ph */, X86::VFMSUB213PHZ256r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 11841 /* vfmsub213ph */, X86::VFMSUB213PHZr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11841 /* vfmsub213ph */, X86::VFMSUB213PHZ128m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 11841 /* vfmsub213ph */, X86::VFMSUB213PHZ256m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 11841 /* vfmsub213ph */, X86::VFMSUB213PHZm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 11841 /* vfmsub213ph */, X86::VFMSUB213PHZrb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11841 /* vfmsub213ph */, X86::VFMSUB213PHZ256mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK_VR256X }, },
{ 11841 /* vfmsub213ph */, X86::VFMSUB213PHZmb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK_VR512 }, },
{ 11841 /* vfmsub213ph */, X86::VFMSUB213PHZ128mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK_FR16X }, },
{ 11841 /* vfmsub213ph */, X86::VFMSUB213PHZ128rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11841 /* vfmsub213ph */, X86::VFMSUB213PHZ256rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11841 /* vfmsub213ph */, X86::VFMSUB213PHZrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11841 /* vfmsub213ph */, X86::VFMSUB213PHZ128mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11841 /* vfmsub213ph */, X86::VFMSUB213PHZ256mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11841 /* vfmsub213ph */, X86::VFMSUB213PHZmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11841 /* vfmsub213ph */, X86::VFMSUB213PHZ128rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11841 /* vfmsub213ph */, X86::VFMSUB213PHZ256rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11841 /* vfmsub213ph */, X86::VFMSUB213PHZrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11841 /* vfmsub213ph */, X86::VFMSUB213PHZrbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11841 /* vfmsub213ph */, X86::VFMSUB213PHZ128mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11841 /* vfmsub213ph */, X86::VFMSUB213PHZ256mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11841 /* vfmsub213ph */, X86::VFMSUB213PHZmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11841 /* vfmsub213ph */, X86::VFMSUB213PHZ128mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11841 /* vfmsub213ph */, X86::VFMSUB213PHZ256mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11841 /* vfmsub213ph */, X86::VFMSUB213PHZmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11841 /* vfmsub213ph */, X86::VFMSUB213PHZrbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11841 /* vfmsub213ph */, X86::VFMSUB213PHZ256mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11841 /* vfmsub213ph */, X86::VFMSUB213PHZmbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11841 /* vfmsub213ph */, X86::VFMSUB213PHZ128mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11853 /* vfmsub213ps */, X86::VFMSUB213PSr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 11853 /* vfmsub213ps */, X86::VFMSUB213PSYr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 11853 /* vfmsub213ps */, X86::VFMSUB213PSZ128r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11853 /* vfmsub213ps */, X86::VFMSUB213PSZ256r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 11853 /* vfmsub213ps */, X86::VFMSUB213PSZr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11853 /* vfmsub213ps */, X86::VFMSUB213PSm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 11853 /* vfmsub213ps */, X86::VFMSUB213PSZ128m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 11853 /* vfmsub213ps */, X86::VFMSUB213PSYm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 11853 /* vfmsub213ps */, X86::VFMSUB213PSZ256m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 11853 /* vfmsub213ps */, X86::VFMSUB213PSZm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 11853 /* vfmsub213ps */, X86::VFMSUB213PSZrb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11853 /* vfmsub213ps */, X86::VFMSUB213PSZmb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512 }, },
{ 11853 /* vfmsub213ps */, X86::VFMSUB213PSZ128mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X }, },
{ 11853 /* vfmsub213ps */, X86::VFMSUB213PSZ256mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X }, },
{ 11853 /* vfmsub213ps */, X86::VFMSUB213PSZ128rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11853 /* vfmsub213ps */, X86::VFMSUB213PSZ256rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11853 /* vfmsub213ps */, X86::VFMSUB213PSZrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11853 /* vfmsub213ps */, X86::VFMSUB213PSZ128mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11853 /* vfmsub213ps */, X86::VFMSUB213PSZ256mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11853 /* vfmsub213ps */, X86::VFMSUB213PSZmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11853 /* vfmsub213ps */, X86::VFMSUB213PSZ128rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11853 /* vfmsub213ps */, X86::VFMSUB213PSZ256rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11853 /* vfmsub213ps */, X86::VFMSUB213PSZrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11853 /* vfmsub213ps */, X86::VFMSUB213PSZrbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11853 /* vfmsub213ps */, X86::VFMSUB213PSZ128mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11853 /* vfmsub213ps */, X86::VFMSUB213PSZ256mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11853 /* vfmsub213ps */, X86::VFMSUB213PSZmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11853 /* vfmsub213ps */, X86::VFMSUB213PSZ128mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11853 /* vfmsub213ps */, X86::VFMSUB213PSZ256mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11853 /* vfmsub213ps */, X86::VFMSUB213PSZmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11853 /* vfmsub213ps */, X86::VFMSUB213PSZrbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11853 /* vfmsub213ps */, X86::VFMSUB213PSZmbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11853 /* vfmsub213ps */, X86::VFMSUB213PSZ128mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11853 /* vfmsub213ps */, X86::VFMSUB213PSZ256mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11865 /* vfmsub213sd */, X86::VFMSUB213SDr_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 11865 /* vfmsub213sd */, X86::VFMSUB213SDZr_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11865 /* vfmsub213sd */, X86::VFMSUB213SDm_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16, MCK_FR16 }, },
{ 11865 /* vfmsub213sd */, X86::VFMSUB213SDZm_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK_FR16X }, },
{ 11865 /* vfmsub213sd */, X86::VFMSUB213SDZrb_Int, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11865 /* vfmsub213sd */, X86::VFMSUB213SDZr_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11865 /* vfmsub213sd */, X86::VFMSUB213SDZm_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11865 /* vfmsub213sd */, X86::VFMSUB213SDZr_Intkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11865 /* vfmsub213sd */, X86::VFMSUB213SDZrb_Intk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11865 /* vfmsub213sd */, X86::VFMSUB213SDZm_Intkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11865 /* vfmsub213sd */, X86::VFMSUB213SDZrb_Intkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11877 /* vfmsub213sh */, X86::VFMSUB213SHZr_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11877 /* vfmsub213sh */, X86::VFMSUB213SHZm_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16X, MCK_FR16X }, },
{ 11877 /* vfmsub213sh */, X86::VFMSUB213SHZrb_Int, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11877 /* vfmsub213sh */, X86::VFMSUB213SHZr_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11877 /* vfmsub213sh */, X86::VFMSUB213SHZm_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11877 /* vfmsub213sh */, X86::VFMSUB213SHZr_Intkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11877 /* vfmsub213sh */, X86::VFMSUB213SHZrb_Intk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11877 /* vfmsub213sh */, X86::VFMSUB213SHZm_Intkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11877 /* vfmsub213sh */, X86::VFMSUB213SHZrb_Intkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11889 /* vfmsub213ss */, X86::VFMSUB213SSr_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 11889 /* vfmsub213ss */, X86::VFMSUB213SSZr_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11889 /* vfmsub213ss */, X86::VFMSUB213SSm_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16, MCK_FR16 }, },
{ 11889 /* vfmsub213ss */, X86::VFMSUB213SSZm_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X }, },
{ 11889 /* vfmsub213ss */, X86::VFMSUB213SSZrb_Int, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11889 /* vfmsub213ss */, X86::VFMSUB213SSZr_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11889 /* vfmsub213ss */, X86::VFMSUB213SSZm_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11889 /* vfmsub213ss */, X86::VFMSUB213SSZr_Intkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11889 /* vfmsub213ss */, X86::VFMSUB213SSZrb_Intk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11889 /* vfmsub213ss */, X86::VFMSUB213SSZm_Intkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11889 /* vfmsub213ss */, X86::VFMSUB213SSZrb_Intkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11901 /* vfmsub231pd */, X86::VFMSUB231PDr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 11901 /* vfmsub231pd */, X86::VFMSUB231PDYr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 11901 /* vfmsub231pd */, X86::VFMSUB231PDZ128r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11901 /* vfmsub231pd */, X86::VFMSUB231PDZ256r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 11901 /* vfmsub231pd */, X86::VFMSUB231PDZr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11901 /* vfmsub231pd */, X86::VFMSUB231PDm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 11901 /* vfmsub231pd */, X86::VFMSUB231PDZ128m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 11901 /* vfmsub231pd */, X86::VFMSUB231PDYm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 11901 /* vfmsub231pd */, X86::VFMSUB231PDZ256m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 11901 /* vfmsub231pd */, X86::VFMSUB231PDZm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 11901 /* vfmsub231pd */, X86::VFMSUB231PDZrb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11901 /* vfmsub231pd */, X86::VFMSUB231PDZ128mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X }, },
{ 11901 /* vfmsub231pd */, X86::VFMSUB231PDZ256mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X }, },
{ 11901 /* vfmsub231pd */, X86::VFMSUB231PDZmb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512 }, },
{ 11901 /* vfmsub231pd */, X86::VFMSUB231PDZ128rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11901 /* vfmsub231pd */, X86::VFMSUB231PDZ256rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11901 /* vfmsub231pd */, X86::VFMSUB231PDZrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11901 /* vfmsub231pd */, X86::VFMSUB231PDZ128mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11901 /* vfmsub231pd */, X86::VFMSUB231PDZ256mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11901 /* vfmsub231pd */, X86::VFMSUB231PDZmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11901 /* vfmsub231pd */, X86::VFMSUB231PDZ128rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11901 /* vfmsub231pd */, X86::VFMSUB231PDZ256rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11901 /* vfmsub231pd */, X86::VFMSUB231PDZrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11901 /* vfmsub231pd */, X86::VFMSUB231PDZrbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11901 /* vfmsub231pd */, X86::VFMSUB231PDZ128mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11901 /* vfmsub231pd */, X86::VFMSUB231PDZ256mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11901 /* vfmsub231pd */, X86::VFMSUB231PDZmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11901 /* vfmsub231pd */, X86::VFMSUB231PDZ128mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11901 /* vfmsub231pd */, X86::VFMSUB231PDZ256mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11901 /* vfmsub231pd */, X86::VFMSUB231PDZmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11901 /* vfmsub231pd */, X86::VFMSUB231PDZrbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11901 /* vfmsub231pd */, X86::VFMSUB231PDZ128mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11901 /* vfmsub231pd */, X86::VFMSUB231PDZ256mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11901 /* vfmsub231pd */, X86::VFMSUB231PDZmbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11913 /* vfmsub231ph */, X86::VFMSUB231PHZ128r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11913 /* vfmsub231ph */, X86::VFMSUB231PHZ256r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 11913 /* vfmsub231ph */, X86::VFMSUB231PHZr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11913 /* vfmsub231ph */, X86::VFMSUB231PHZ128m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 11913 /* vfmsub231ph */, X86::VFMSUB231PHZ256m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 11913 /* vfmsub231ph */, X86::VFMSUB231PHZm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 11913 /* vfmsub231ph */, X86::VFMSUB231PHZrb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11913 /* vfmsub231ph */, X86::VFMSUB231PHZ256mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK_VR256X }, },
{ 11913 /* vfmsub231ph */, X86::VFMSUB231PHZmb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK_VR512 }, },
{ 11913 /* vfmsub231ph */, X86::VFMSUB231PHZ128mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK_FR16X }, },
{ 11913 /* vfmsub231ph */, X86::VFMSUB231PHZ128rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11913 /* vfmsub231ph */, X86::VFMSUB231PHZ256rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11913 /* vfmsub231ph */, X86::VFMSUB231PHZrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11913 /* vfmsub231ph */, X86::VFMSUB231PHZ128mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11913 /* vfmsub231ph */, X86::VFMSUB231PHZ256mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11913 /* vfmsub231ph */, X86::VFMSUB231PHZmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11913 /* vfmsub231ph */, X86::VFMSUB231PHZ128rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11913 /* vfmsub231ph */, X86::VFMSUB231PHZ256rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11913 /* vfmsub231ph */, X86::VFMSUB231PHZrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11913 /* vfmsub231ph */, X86::VFMSUB231PHZrbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11913 /* vfmsub231ph */, X86::VFMSUB231PHZ128mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11913 /* vfmsub231ph */, X86::VFMSUB231PHZ256mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11913 /* vfmsub231ph */, X86::VFMSUB231PHZmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11913 /* vfmsub231ph */, X86::VFMSUB231PHZ128mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11913 /* vfmsub231ph */, X86::VFMSUB231PHZ256mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11913 /* vfmsub231ph */, X86::VFMSUB231PHZmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11913 /* vfmsub231ph */, X86::VFMSUB231PHZrbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11913 /* vfmsub231ph */, X86::VFMSUB231PHZ256mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11913 /* vfmsub231ph */, X86::VFMSUB231PHZmbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11913 /* vfmsub231ph */, X86::VFMSUB231PHZ128mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11925 /* vfmsub231ps */, X86::VFMSUB231PSr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 11925 /* vfmsub231ps */, X86::VFMSUB231PSYr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 11925 /* vfmsub231ps */, X86::VFMSUB231PSZ128r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11925 /* vfmsub231ps */, X86::VFMSUB231PSZ256r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 11925 /* vfmsub231ps */, X86::VFMSUB231PSZr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11925 /* vfmsub231ps */, X86::VFMSUB231PSm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 11925 /* vfmsub231ps */, X86::VFMSUB231PSZ128m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 11925 /* vfmsub231ps */, X86::VFMSUB231PSYm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 11925 /* vfmsub231ps */, X86::VFMSUB231PSZ256m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 11925 /* vfmsub231ps */, X86::VFMSUB231PSZm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 11925 /* vfmsub231ps */, X86::VFMSUB231PSZrb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11925 /* vfmsub231ps */, X86::VFMSUB231PSZmb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512 }, },
{ 11925 /* vfmsub231ps */, X86::VFMSUB231PSZ128mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X }, },
{ 11925 /* vfmsub231ps */, X86::VFMSUB231PSZ256mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X }, },
{ 11925 /* vfmsub231ps */, X86::VFMSUB231PSZ128rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11925 /* vfmsub231ps */, X86::VFMSUB231PSZ256rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11925 /* vfmsub231ps */, X86::VFMSUB231PSZrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11925 /* vfmsub231ps */, X86::VFMSUB231PSZ128mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11925 /* vfmsub231ps */, X86::VFMSUB231PSZ256mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11925 /* vfmsub231ps */, X86::VFMSUB231PSZmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11925 /* vfmsub231ps */, X86::VFMSUB231PSZ128rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11925 /* vfmsub231ps */, X86::VFMSUB231PSZ256rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11925 /* vfmsub231ps */, X86::VFMSUB231PSZrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11925 /* vfmsub231ps */, X86::VFMSUB231PSZrbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11925 /* vfmsub231ps */, X86::VFMSUB231PSZ128mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11925 /* vfmsub231ps */, X86::VFMSUB231PSZ256mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11925 /* vfmsub231ps */, X86::VFMSUB231PSZmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11925 /* vfmsub231ps */, X86::VFMSUB231PSZ128mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11925 /* vfmsub231ps */, X86::VFMSUB231PSZ256mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11925 /* vfmsub231ps */, X86::VFMSUB231PSZmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11925 /* vfmsub231ps */, X86::VFMSUB231PSZrbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11925 /* vfmsub231ps */, X86::VFMSUB231PSZmbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11925 /* vfmsub231ps */, X86::VFMSUB231PSZ128mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11925 /* vfmsub231ps */, X86::VFMSUB231PSZ256mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11937 /* vfmsub231sd */, X86::VFMSUB231SDr_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 11937 /* vfmsub231sd */, X86::VFMSUB231SDZr_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11937 /* vfmsub231sd */, X86::VFMSUB231SDm_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16, MCK_FR16 }, },
{ 11937 /* vfmsub231sd */, X86::VFMSUB231SDZm_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK_FR16X }, },
{ 11937 /* vfmsub231sd */, X86::VFMSUB231SDZrb_Int, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11937 /* vfmsub231sd */, X86::VFMSUB231SDZr_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11937 /* vfmsub231sd */, X86::VFMSUB231SDZm_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11937 /* vfmsub231sd */, X86::VFMSUB231SDZr_Intkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11937 /* vfmsub231sd */, X86::VFMSUB231SDZrb_Intk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11937 /* vfmsub231sd */, X86::VFMSUB231SDZm_Intkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11937 /* vfmsub231sd */, X86::VFMSUB231SDZrb_Intkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11949 /* vfmsub231sh */, X86::VFMSUB231SHZr_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11949 /* vfmsub231sh */, X86::VFMSUB231SHZm_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16X, MCK_FR16X }, },
{ 11949 /* vfmsub231sh */, X86::VFMSUB231SHZrb_Int, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11949 /* vfmsub231sh */, X86::VFMSUB231SHZr_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11949 /* vfmsub231sh */, X86::VFMSUB231SHZm_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11949 /* vfmsub231sh */, X86::VFMSUB231SHZr_Intkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11949 /* vfmsub231sh */, X86::VFMSUB231SHZrb_Intk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11949 /* vfmsub231sh */, X86::VFMSUB231SHZm_Intkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11949 /* vfmsub231sh */, X86::VFMSUB231SHZrb_Intkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11961 /* vfmsub231ss */, X86::VFMSUB231SSr_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 11961 /* vfmsub231ss */, X86::VFMSUB231SSZr_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11961 /* vfmsub231ss */, X86::VFMSUB231SSm_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16, MCK_FR16 }, },
{ 11961 /* vfmsub231ss */, X86::VFMSUB231SSZm_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X }, },
{ 11961 /* vfmsub231ss */, X86::VFMSUB231SSZrb_Int, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11961 /* vfmsub231ss */, X86::VFMSUB231SSZr_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11961 /* vfmsub231ss */, X86::VFMSUB231SSZm_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11961 /* vfmsub231ss */, X86::VFMSUB231SSZr_Intkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11961 /* vfmsub231ss */, X86::VFMSUB231SSZrb_Intk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11961 /* vfmsub231ss */, X86::VFMSUB231SSZm_Intkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11961 /* vfmsub231ss */, X86::VFMSUB231SSZrb_Intkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11973 /* vfmsubadd132pd */, X86::VFMSUBADD132PDr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 11973 /* vfmsubadd132pd */, X86::VFMSUBADD132PDYr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 11973 /* vfmsubadd132pd */, X86::VFMSUBADD132PDZ128r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11973 /* vfmsubadd132pd */, X86::VFMSUBADD132PDZ256r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 11973 /* vfmsubadd132pd */, X86::VFMSUBADD132PDZr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11973 /* vfmsubadd132pd */, X86::VFMSUBADD132PDm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 11973 /* vfmsubadd132pd */, X86::VFMSUBADD132PDZ128m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 11973 /* vfmsubadd132pd */, X86::VFMSUBADD132PDYm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 11973 /* vfmsubadd132pd */, X86::VFMSUBADD132PDZ256m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 11973 /* vfmsubadd132pd */, X86::VFMSUBADD132PDZm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 11973 /* vfmsubadd132pd */, X86::VFMSUBADD132PDZrb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11973 /* vfmsubadd132pd */, X86::VFMSUBADD132PDZ128mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X }, },
{ 11973 /* vfmsubadd132pd */, X86::VFMSUBADD132PDZ256mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X }, },
{ 11973 /* vfmsubadd132pd */, X86::VFMSUBADD132PDZmb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512 }, },
{ 11973 /* vfmsubadd132pd */, X86::VFMSUBADD132PDZ128rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11973 /* vfmsubadd132pd */, X86::VFMSUBADD132PDZ256rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11973 /* vfmsubadd132pd */, X86::VFMSUBADD132PDZrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11973 /* vfmsubadd132pd */, X86::VFMSUBADD132PDZ128mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11973 /* vfmsubadd132pd */, X86::VFMSUBADD132PDZ256mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11973 /* vfmsubadd132pd */, X86::VFMSUBADD132PDZmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11973 /* vfmsubadd132pd */, X86::VFMSUBADD132PDZ128rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11973 /* vfmsubadd132pd */, X86::VFMSUBADD132PDZ256rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11973 /* vfmsubadd132pd */, X86::VFMSUBADD132PDZrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11973 /* vfmsubadd132pd */, X86::VFMSUBADD132PDZrbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11973 /* vfmsubadd132pd */, X86::VFMSUBADD132PDZ128mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11973 /* vfmsubadd132pd */, X86::VFMSUBADD132PDZ256mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11973 /* vfmsubadd132pd */, X86::VFMSUBADD132PDZmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11973 /* vfmsubadd132pd */, X86::VFMSUBADD132PDZ128mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11973 /* vfmsubadd132pd */, X86::VFMSUBADD132PDZ256mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11973 /* vfmsubadd132pd */, X86::VFMSUBADD132PDZmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11973 /* vfmsubadd132pd */, X86::VFMSUBADD132PDZrbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11973 /* vfmsubadd132pd */, X86::VFMSUBADD132PDZ128mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11973 /* vfmsubadd132pd */, X86::VFMSUBADD132PDZ256mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11973 /* vfmsubadd132pd */, X86::VFMSUBADD132PDZmbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11988 /* vfmsubadd132ph */, X86::VFMSUBADD132PHZ128r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11988 /* vfmsubadd132ph */, X86::VFMSUBADD132PHZ256r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 11988 /* vfmsubadd132ph */, X86::VFMSUBADD132PHZr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11988 /* vfmsubadd132ph */, X86::VFMSUBADD132PHZ128m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 11988 /* vfmsubadd132ph */, X86::VFMSUBADD132PHZ256m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 11988 /* vfmsubadd132ph */, X86::VFMSUBADD132PHZm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 11988 /* vfmsubadd132ph */, X86::VFMSUBADD132PHZrb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11988 /* vfmsubadd132ph */, X86::VFMSUBADD132PHZ256mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK_VR256X }, },
{ 11988 /* vfmsubadd132ph */, X86::VFMSUBADD132PHZmb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK_VR512 }, },
{ 11988 /* vfmsubadd132ph */, X86::VFMSUBADD132PHZ128mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK_FR16X }, },
{ 11988 /* vfmsubadd132ph */, X86::VFMSUBADD132PHZ128rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11988 /* vfmsubadd132ph */, X86::VFMSUBADD132PHZ256rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11988 /* vfmsubadd132ph */, X86::VFMSUBADD132PHZrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11988 /* vfmsubadd132ph */, X86::VFMSUBADD132PHZ128mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11988 /* vfmsubadd132ph */, X86::VFMSUBADD132PHZ256mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11988 /* vfmsubadd132ph */, X86::VFMSUBADD132PHZmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11988 /* vfmsubadd132ph */, X86::VFMSUBADD132PHZ128rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11988 /* vfmsubadd132ph */, X86::VFMSUBADD132PHZ256rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11988 /* vfmsubadd132ph */, X86::VFMSUBADD132PHZrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11988 /* vfmsubadd132ph */, X86::VFMSUBADD132PHZrbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11988 /* vfmsubadd132ph */, X86::VFMSUBADD132PHZ128mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11988 /* vfmsubadd132ph */, X86::VFMSUBADD132PHZ256mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11988 /* vfmsubadd132ph */, X86::VFMSUBADD132PHZmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11988 /* vfmsubadd132ph */, X86::VFMSUBADD132PHZ128mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 11988 /* vfmsubadd132ph */, X86::VFMSUBADD132PHZ256mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11988 /* vfmsubadd132ph */, X86::VFMSUBADD132PHZmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11988 /* vfmsubadd132ph */, X86::VFMSUBADD132PHZrbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11988 /* vfmsubadd132ph */, X86::VFMSUBADD132PHZ256mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11988 /* vfmsubadd132ph */, X86::VFMSUBADD132PHZmbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 11988 /* vfmsubadd132ph */, X86::VFMSUBADD132PHZ128mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12003 /* vfmsubadd132ps */, X86::VFMSUBADD132PSr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12003 /* vfmsubadd132ps */, X86::VFMSUBADD132PSYr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 12003 /* vfmsubadd132ps */, X86::VFMSUBADD132PSZ128r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12003 /* vfmsubadd132ps */, X86::VFMSUBADD132PSZ256r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 12003 /* vfmsubadd132ps */, X86::VFMSUBADD132PSZr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 12003 /* vfmsubadd132ps */, X86::VFMSUBADD132PSm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 12003 /* vfmsubadd132ps */, X86::VFMSUBADD132PSZ128m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 12003 /* vfmsubadd132ps */, X86::VFMSUBADD132PSYm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 12003 /* vfmsubadd132ps */, X86::VFMSUBADD132PSZ256m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 12003 /* vfmsubadd132ps */, X86::VFMSUBADD132PSZm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 12003 /* vfmsubadd132ps */, X86::VFMSUBADD132PSZrb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 12003 /* vfmsubadd132ps */, X86::VFMSUBADD132PSZmb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512 }, },
{ 12003 /* vfmsubadd132ps */, X86::VFMSUBADD132PSZ128mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X }, },
{ 12003 /* vfmsubadd132ps */, X86::VFMSUBADD132PSZ256mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X }, },
{ 12003 /* vfmsubadd132ps */, X86::VFMSUBADD132PSZ128rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12003 /* vfmsubadd132ps */, X86::VFMSUBADD132PSZ256rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12003 /* vfmsubadd132ps */, X86::VFMSUBADD132PSZrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12003 /* vfmsubadd132ps */, X86::VFMSUBADD132PSZ128mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12003 /* vfmsubadd132ps */, X86::VFMSUBADD132PSZ256mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12003 /* vfmsubadd132ps */, X86::VFMSUBADD132PSZmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12003 /* vfmsubadd132ps */, X86::VFMSUBADD132PSZ128rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12003 /* vfmsubadd132ps */, X86::VFMSUBADD132PSZ256rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12003 /* vfmsubadd132ps */, X86::VFMSUBADD132PSZrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12003 /* vfmsubadd132ps */, X86::VFMSUBADD132PSZrbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12003 /* vfmsubadd132ps */, X86::VFMSUBADD132PSZ128mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12003 /* vfmsubadd132ps */, X86::VFMSUBADD132PSZ256mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12003 /* vfmsubadd132ps */, X86::VFMSUBADD132PSZmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12003 /* vfmsubadd132ps */, X86::VFMSUBADD132PSZ128mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12003 /* vfmsubadd132ps */, X86::VFMSUBADD132PSZ256mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12003 /* vfmsubadd132ps */, X86::VFMSUBADD132PSZmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12003 /* vfmsubadd132ps */, X86::VFMSUBADD132PSZrbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12003 /* vfmsubadd132ps */, X86::VFMSUBADD132PSZmbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12003 /* vfmsubadd132ps */, X86::VFMSUBADD132PSZ128mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12003 /* vfmsubadd132ps */, X86::VFMSUBADD132PSZ256mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12018 /* vfmsubadd213pd */, X86::VFMSUBADD213PDr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12018 /* vfmsubadd213pd */, X86::VFMSUBADD213PDYr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 12018 /* vfmsubadd213pd */, X86::VFMSUBADD213PDZ128r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12018 /* vfmsubadd213pd */, X86::VFMSUBADD213PDZ256r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 12018 /* vfmsubadd213pd */, X86::VFMSUBADD213PDZr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 12018 /* vfmsubadd213pd */, X86::VFMSUBADD213PDm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 12018 /* vfmsubadd213pd */, X86::VFMSUBADD213PDZ128m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 12018 /* vfmsubadd213pd */, X86::VFMSUBADD213PDYm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 12018 /* vfmsubadd213pd */, X86::VFMSUBADD213PDZ256m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 12018 /* vfmsubadd213pd */, X86::VFMSUBADD213PDZm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 12018 /* vfmsubadd213pd */, X86::VFMSUBADD213PDZrb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 12018 /* vfmsubadd213pd */, X86::VFMSUBADD213PDZ128mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X }, },
{ 12018 /* vfmsubadd213pd */, X86::VFMSUBADD213PDZ256mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X }, },
{ 12018 /* vfmsubadd213pd */, X86::VFMSUBADD213PDZmb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512 }, },
{ 12018 /* vfmsubadd213pd */, X86::VFMSUBADD213PDZ128rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12018 /* vfmsubadd213pd */, X86::VFMSUBADD213PDZ256rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12018 /* vfmsubadd213pd */, X86::VFMSUBADD213PDZrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12018 /* vfmsubadd213pd */, X86::VFMSUBADD213PDZ128mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12018 /* vfmsubadd213pd */, X86::VFMSUBADD213PDZ256mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12018 /* vfmsubadd213pd */, X86::VFMSUBADD213PDZmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12018 /* vfmsubadd213pd */, X86::VFMSUBADD213PDZ128rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12018 /* vfmsubadd213pd */, X86::VFMSUBADD213PDZ256rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12018 /* vfmsubadd213pd */, X86::VFMSUBADD213PDZrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12018 /* vfmsubadd213pd */, X86::VFMSUBADD213PDZrbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12018 /* vfmsubadd213pd */, X86::VFMSUBADD213PDZ128mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12018 /* vfmsubadd213pd */, X86::VFMSUBADD213PDZ256mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12018 /* vfmsubadd213pd */, X86::VFMSUBADD213PDZmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12018 /* vfmsubadd213pd */, X86::VFMSUBADD213PDZ128mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12018 /* vfmsubadd213pd */, X86::VFMSUBADD213PDZ256mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12018 /* vfmsubadd213pd */, X86::VFMSUBADD213PDZmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12018 /* vfmsubadd213pd */, X86::VFMSUBADD213PDZrbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12018 /* vfmsubadd213pd */, X86::VFMSUBADD213PDZ128mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12018 /* vfmsubadd213pd */, X86::VFMSUBADD213PDZ256mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12018 /* vfmsubadd213pd */, X86::VFMSUBADD213PDZmbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12033 /* vfmsubadd213ph */, X86::VFMSUBADD213PHZ128r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12033 /* vfmsubadd213ph */, X86::VFMSUBADD213PHZ256r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 12033 /* vfmsubadd213ph */, X86::VFMSUBADD213PHZr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 12033 /* vfmsubadd213ph */, X86::VFMSUBADD213PHZ128m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 12033 /* vfmsubadd213ph */, X86::VFMSUBADD213PHZ256m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 12033 /* vfmsubadd213ph */, X86::VFMSUBADD213PHZm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 12033 /* vfmsubadd213ph */, X86::VFMSUBADD213PHZrb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 12033 /* vfmsubadd213ph */, X86::VFMSUBADD213PHZ256mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK_VR256X }, },
{ 12033 /* vfmsubadd213ph */, X86::VFMSUBADD213PHZmb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK_VR512 }, },
{ 12033 /* vfmsubadd213ph */, X86::VFMSUBADD213PHZ128mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK_FR16X }, },
{ 12033 /* vfmsubadd213ph */, X86::VFMSUBADD213PHZ128rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12033 /* vfmsubadd213ph */, X86::VFMSUBADD213PHZ256rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12033 /* vfmsubadd213ph */, X86::VFMSUBADD213PHZrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12033 /* vfmsubadd213ph */, X86::VFMSUBADD213PHZ128mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12033 /* vfmsubadd213ph */, X86::VFMSUBADD213PHZ256mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12033 /* vfmsubadd213ph */, X86::VFMSUBADD213PHZmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12033 /* vfmsubadd213ph */, X86::VFMSUBADD213PHZ128rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12033 /* vfmsubadd213ph */, X86::VFMSUBADD213PHZ256rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12033 /* vfmsubadd213ph */, X86::VFMSUBADD213PHZrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12033 /* vfmsubadd213ph */, X86::VFMSUBADD213PHZrbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12033 /* vfmsubadd213ph */, X86::VFMSUBADD213PHZ128mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12033 /* vfmsubadd213ph */, X86::VFMSUBADD213PHZ256mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12033 /* vfmsubadd213ph */, X86::VFMSUBADD213PHZmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12033 /* vfmsubadd213ph */, X86::VFMSUBADD213PHZ128mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12033 /* vfmsubadd213ph */, X86::VFMSUBADD213PHZ256mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12033 /* vfmsubadd213ph */, X86::VFMSUBADD213PHZmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12033 /* vfmsubadd213ph */, X86::VFMSUBADD213PHZrbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12033 /* vfmsubadd213ph */, X86::VFMSUBADD213PHZ256mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12033 /* vfmsubadd213ph */, X86::VFMSUBADD213PHZmbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12033 /* vfmsubadd213ph */, X86::VFMSUBADD213PHZ128mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12048 /* vfmsubadd213ps */, X86::VFMSUBADD213PSr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12048 /* vfmsubadd213ps */, X86::VFMSUBADD213PSYr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 12048 /* vfmsubadd213ps */, X86::VFMSUBADD213PSZ128r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12048 /* vfmsubadd213ps */, X86::VFMSUBADD213PSZ256r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 12048 /* vfmsubadd213ps */, X86::VFMSUBADD213PSZr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 12048 /* vfmsubadd213ps */, X86::VFMSUBADD213PSm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 12048 /* vfmsubadd213ps */, X86::VFMSUBADD213PSZ128m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 12048 /* vfmsubadd213ps */, X86::VFMSUBADD213PSYm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 12048 /* vfmsubadd213ps */, X86::VFMSUBADD213PSZ256m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 12048 /* vfmsubadd213ps */, X86::VFMSUBADD213PSZm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 12048 /* vfmsubadd213ps */, X86::VFMSUBADD213PSZrb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 12048 /* vfmsubadd213ps */, X86::VFMSUBADD213PSZmb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512 }, },
{ 12048 /* vfmsubadd213ps */, X86::VFMSUBADD213PSZ128mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X }, },
{ 12048 /* vfmsubadd213ps */, X86::VFMSUBADD213PSZ256mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X }, },
{ 12048 /* vfmsubadd213ps */, X86::VFMSUBADD213PSZ128rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12048 /* vfmsubadd213ps */, X86::VFMSUBADD213PSZ256rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12048 /* vfmsubadd213ps */, X86::VFMSUBADD213PSZrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12048 /* vfmsubadd213ps */, X86::VFMSUBADD213PSZ128mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12048 /* vfmsubadd213ps */, X86::VFMSUBADD213PSZ256mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12048 /* vfmsubadd213ps */, X86::VFMSUBADD213PSZmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12048 /* vfmsubadd213ps */, X86::VFMSUBADD213PSZ128rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12048 /* vfmsubadd213ps */, X86::VFMSUBADD213PSZ256rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12048 /* vfmsubadd213ps */, X86::VFMSUBADD213PSZrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12048 /* vfmsubadd213ps */, X86::VFMSUBADD213PSZrbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12048 /* vfmsubadd213ps */, X86::VFMSUBADD213PSZ128mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12048 /* vfmsubadd213ps */, X86::VFMSUBADD213PSZ256mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12048 /* vfmsubadd213ps */, X86::VFMSUBADD213PSZmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12048 /* vfmsubadd213ps */, X86::VFMSUBADD213PSZ128mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12048 /* vfmsubadd213ps */, X86::VFMSUBADD213PSZ256mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12048 /* vfmsubadd213ps */, X86::VFMSUBADD213PSZmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12048 /* vfmsubadd213ps */, X86::VFMSUBADD213PSZrbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12048 /* vfmsubadd213ps */, X86::VFMSUBADD213PSZmbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12048 /* vfmsubadd213ps */, X86::VFMSUBADD213PSZ128mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12048 /* vfmsubadd213ps */, X86::VFMSUBADD213PSZ256mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12063 /* vfmsubadd231pd */, X86::VFMSUBADD231PDr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12063 /* vfmsubadd231pd */, X86::VFMSUBADD231PDYr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 12063 /* vfmsubadd231pd */, X86::VFMSUBADD231PDZ128r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12063 /* vfmsubadd231pd */, X86::VFMSUBADD231PDZ256r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 12063 /* vfmsubadd231pd */, X86::VFMSUBADD231PDZr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 12063 /* vfmsubadd231pd */, X86::VFMSUBADD231PDm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 12063 /* vfmsubadd231pd */, X86::VFMSUBADD231PDZ128m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 12063 /* vfmsubadd231pd */, X86::VFMSUBADD231PDYm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 12063 /* vfmsubadd231pd */, X86::VFMSUBADD231PDZ256m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 12063 /* vfmsubadd231pd */, X86::VFMSUBADD231PDZm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 12063 /* vfmsubadd231pd */, X86::VFMSUBADD231PDZrb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 12063 /* vfmsubadd231pd */, X86::VFMSUBADD231PDZ128mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X }, },
{ 12063 /* vfmsubadd231pd */, X86::VFMSUBADD231PDZ256mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X }, },
{ 12063 /* vfmsubadd231pd */, X86::VFMSUBADD231PDZmb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512 }, },
{ 12063 /* vfmsubadd231pd */, X86::VFMSUBADD231PDZ128rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12063 /* vfmsubadd231pd */, X86::VFMSUBADD231PDZ256rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12063 /* vfmsubadd231pd */, X86::VFMSUBADD231PDZrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12063 /* vfmsubadd231pd */, X86::VFMSUBADD231PDZ128mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12063 /* vfmsubadd231pd */, X86::VFMSUBADD231PDZ256mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12063 /* vfmsubadd231pd */, X86::VFMSUBADD231PDZmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12063 /* vfmsubadd231pd */, X86::VFMSUBADD231PDZ128rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12063 /* vfmsubadd231pd */, X86::VFMSUBADD231PDZ256rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12063 /* vfmsubadd231pd */, X86::VFMSUBADD231PDZrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12063 /* vfmsubadd231pd */, X86::VFMSUBADD231PDZrbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12063 /* vfmsubadd231pd */, X86::VFMSUBADD231PDZ128mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12063 /* vfmsubadd231pd */, X86::VFMSUBADD231PDZ256mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12063 /* vfmsubadd231pd */, X86::VFMSUBADD231PDZmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12063 /* vfmsubadd231pd */, X86::VFMSUBADD231PDZ128mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12063 /* vfmsubadd231pd */, X86::VFMSUBADD231PDZ256mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12063 /* vfmsubadd231pd */, X86::VFMSUBADD231PDZmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12063 /* vfmsubadd231pd */, X86::VFMSUBADD231PDZrbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12063 /* vfmsubadd231pd */, X86::VFMSUBADD231PDZ128mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12063 /* vfmsubadd231pd */, X86::VFMSUBADD231PDZ256mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12063 /* vfmsubadd231pd */, X86::VFMSUBADD231PDZmbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12078 /* vfmsubadd231ph */, X86::VFMSUBADD231PHZ128r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12078 /* vfmsubadd231ph */, X86::VFMSUBADD231PHZ256r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 12078 /* vfmsubadd231ph */, X86::VFMSUBADD231PHZr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 12078 /* vfmsubadd231ph */, X86::VFMSUBADD231PHZ128m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 12078 /* vfmsubadd231ph */, X86::VFMSUBADD231PHZ256m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 12078 /* vfmsubadd231ph */, X86::VFMSUBADD231PHZm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 12078 /* vfmsubadd231ph */, X86::VFMSUBADD231PHZrb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 12078 /* vfmsubadd231ph */, X86::VFMSUBADD231PHZ256mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK_VR256X }, },
{ 12078 /* vfmsubadd231ph */, X86::VFMSUBADD231PHZmb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK_VR512 }, },
{ 12078 /* vfmsubadd231ph */, X86::VFMSUBADD231PHZ128mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK_FR16X }, },
{ 12078 /* vfmsubadd231ph */, X86::VFMSUBADD231PHZ128rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12078 /* vfmsubadd231ph */, X86::VFMSUBADD231PHZ256rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12078 /* vfmsubadd231ph */, X86::VFMSUBADD231PHZrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12078 /* vfmsubadd231ph */, X86::VFMSUBADD231PHZ128mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12078 /* vfmsubadd231ph */, X86::VFMSUBADD231PHZ256mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12078 /* vfmsubadd231ph */, X86::VFMSUBADD231PHZmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12078 /* vfmsubadd231ph */, X86::VFMSUBADD231PHZ128rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12078 /* vfmsubadd231ph */, X86::VFMSUBADD231PHZ256rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12078 /* vfmsubadd231ph */, X86::VFMSUBADD231PHZrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12078 /* vfmsubadd231ph */, X86::VFMSUBADD231PHZrbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12078 /* vfmsubadd231ph */, X86::VFMSUBADD231PHZ128mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12078 /* vfmsubadd231ph */, X86::VFMSUBADD231PHZ256mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12078 /* vfmsubadd231ph */, X86::VFMSUBADD231PHZmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12078 /* vfmsubadd231ph */, X86::VFMSUBADD231PHZ128mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12078 /* vfmsubadd231ph */, X86::VFMSUBADD231PHZ256mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12078 /* vfmsubadd231ph */, X86::VFMSUBADD231PHZmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12078 /* vfmsubadd231ph */, X86::VFMSUBADD231PHZrbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12078 /* vfmsubadd231ph */, X86::VFMSUBADD231PHZ256mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12078 /* vfmsubadd231ph */, X86::VFMSUBADD231PHZmbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12078 /* vfmsubadd231ph */, X86::VFMSUBADD231PHZ128mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12093 /* vfmsubadd231ps */, X86::VFMSUBADD231PSr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12093 /* vfmsubadd231ps */, X86::VFMSUBADD231PSYr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 12093 /* vfmsubadd231ps */, X86::VFMSUBADD231PSZ128r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12093 /* vfmsubadd231ps */, X86::VFMSUBADD231PSZ256r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 12093 /* vfmsubadd231ps */, X86::VFMSUBADD231PSZr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 12093 /* vfmsubadd231ps */, X86::VFMSUBADD231PSm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 12093 /* vfmsubadd231ps */, X86::VFMSUBADD231PSZ128m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 12093 /* vfmsubadd231ps */, X86::VFMSUBADD231PSYm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 12093 /* vfmsubadd231ps */, X86::VFMSUBADD231PSZ256m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 12093 /* vfmsubadd231ps */, X86::VFMSUBADD231PSZm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 12093 /* vfmsubadd231ps */, X86::VFMSUBADD231PSZrb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 12093 /* vfmsubadd231ps */, X86::VFMSUBADD231PSZmb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512 }, },
{ 12093 /* vfmsubadd231ps */, X86::VFMSUBADD231PSZ128mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X }, },
{ 12093 /* vfmsubadd231ps */, X86::VFMSUBADD231PSZ256mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X }, },
{ 12093 /* vfmsubadd231ps */, X86::VFMSUBADD231PSZ128rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12093 /* vfmsubadd231ps */, X86::VFMSUBADD231PSZ256rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12093 /* vfmsubadd231ps */, X86::VFMSUBADD231PSZrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12093 /* vfmsubadd231ps */, X86::VFMSUBADD231PSZ128mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12093 /* vfmsubadd231ps */, X86::VFMSUBADD231PSZ256mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12093 /* vfmsubadd231ps */, X86::VFMSUBADD231PSZmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12093 /* vfmsubadd231ps */, X86::VFMSUBADD231PSZ128rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12093 /* vfmsubadd231ps */, X86::VFMSUBADD231PSZ256rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12093 /* vfmsubadd231ps */, X86::VFMSUBADD231PSZrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12093 /* vfmsubadd231ps */, X86::VFMSUBADD231PSZrbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12093 /* vfmsubadd231ps */, X86::VFMSUBADD231PSZ128mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12093 /* vfmsubadd231ps */, X86::VFMSUBADD231PSZ256mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12093 /* vfmsubadd231ps */, X86::VFMSUBADD231PSZmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12093 /* vfmsubadd231ps */, X86::VFMSUBADD231PSZ128mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12093 /* vfmsubadd231ps */, X86::VFMSUBADD231PSZ256mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12093 /* vfmsubadd231ps */, X86::VFMSUBADD231PSZmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12093 /* vfmsubadd231ps */, X86::VFMSUBADD231PSZrbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12093 /* vfmsubadd231ps */, X86::VFMSUBADD231PSZmbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12093 /* vfmsubadd231ps */, X86::VFMSUBADD231PSZ128mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12093 /* vfmsubadd231ps */, X86::VFMSUBADD231PSZ256mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12108 /* vfmsubaddpd */, X86::VFMSUBADDPD4rr, Convert__Reg1_3__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12108 /* vfmsubaddpd */, X86::VFMSUBADDPD4mr, Convert__Reg1_3__Reg1_2__Mem1285_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 12108 /* vfmsubaddpd */, X86::VFMSUBADDPD4Yrr, Convert__Reg1_3__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 12108 /* vfmsubaddpd */, X86::VFMSUBADDPD4Ymr, Convert__Reg1_3__Reg1_2__Mem2565_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 12108 /* vfmsubaddpd */, X86::VFMSUBADDPD4rm, Convert__Reg1_3__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12108 /* vfmsubaddpd */, X86::VFMSUBADDPD4Yrm, Convert__Reg1_3__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 12120 /* vfmsubaddps */, X86::VFMSUBADDPS4rr, Convert__Reg1_3__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12120 /* vfmsubaddps */, X86::VFMSUBADDPS4mr, Convert__Reg1_3__Reg1_2__Mem1285_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 12120 /* vfmsubaddps */, X86::VFMSUBADDPS4Yrr, Convert__Reg1_3__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 12120 /* vfmsubaddps */, X86::VFMSUBADDPS4Ymr, Convert__Reg1_3__Reg1_2__Mem2565_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 12120 /* vfmsubaddps */, X86::VFMSUBADDPS4rm, Convert__Reg1_3__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12120 /* vfmsubaddps */, X86::VFMSUBADDPS4Yrm, Convert__Reg1_3__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 12132 /* vfmsubpd */, X86::VFMSUBPD4rr, Convert__Reg1_3__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12132 /* vfmsubpd */, X86::VFMSUBPD4mr, Convert__Reg1_3__Reg1_2__Mem1285_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 12132 /* vfmsubpd */, X86::VFMSUBPD4Yrr, Convert__Reg1_3__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 12132 /* vfmsubpd */, X86::VFMSUBPD4Ymr, Convert__Reg1_3__Reg1_2__Mem2565_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 12132 /* vfmsubpd */, X86::VFMSUBPD4rm, Convert__Reg1_3__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12132 /* vfmsubpd */, X86::VFMSUBPD4Yrm, Convert__Reg1_3__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 12141 /* vfmsubps */, X86::VFMSUBPS4rr, Convert__Reg1_3__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12141 /* vfmsubps */, X86::VFMSUBPS4mr, Convert__Reg1_3__Reg1_2__Mem1285_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 12141 /* vfmsubps */, X86::VFMSUBPS4Yrr, Convert__Reg1_3__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 12141 /* vfmsubps */, X86::VFMSUBPS4Ymr, Convert__Reg1_3__Reg1_2__Mem2565_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 12141 /* vfmsubps */, X86::VFMSUBPS4rm, Convert__Reg1_3__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12141 /* vfmsubps */, X86::VFMSUBPS4Yrm, Convert__Reg1_3__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 12150 /* vfmsubsd */, X86::VFMSUBSD4rr, Convert__Reg1_3__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12150 /* vfmsubsd */, X86::VFMSUBSD4mr, Convert__Reg1_3__Reg1_2__Mem645_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_Mem64, MCK_FR16, MCK_FR16 }, },
{ 12150 /* vfmsubsd */, X86::VFMSUBSD4rm, Convert__Reg1_3__Reg1_2__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12159 /* vfmsubss */, X86::VFMSUBSS4rr, Convert__Reg1_3__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12159 /* vfmsubss */, X86::VFMSUBSS4mr, Convert__Reg1_3__Reg1_2__Mem325_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_Mem32, MCK_FR16, MCK_FR16 }, },
{ 12159 /* vfmsubss */, X86::VFMSUBSS4rm, Convert__Reg1_3__Reg1_2__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12168 /* vfmulcph */, X86::VFMULCPHZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12168 /* vfmulcph */, X86::VFMULCPHZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 12168 /* vfmulcph */, X86::VFMULCPHZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 12168 /* vfmulcph */, X86::VFMULCPHZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 12168 /* vfmulcph */, X86::VFMULCPHZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 12168 /* vfmulcph */, X86::VFMULCPHZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 12168 /* vfmulcph */, X86::VFMULCPHZrrb, Convert__Reg1_3__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 12168 /* vfmulcph */, X86::VFMULCPHZrmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512 }, },
{ 12168 /* vfmulcph */, X86::VFMULCPHZ128rmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X }, },
{ 12168 /* vfmulcph */, X86::VFMULCPHZ256rmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X }, },
{ 12168 /* vfmulcph */, X86::VFMULCPHZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12168 /* vfmulcph */, X86::VFMULCPHZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12168 /* vfmulcph */, X86::VFMULCPHZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12168 /* vfmulcph */, X86::VFMULCPHZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12168 /* vfmulcph */, X86::VFMULCPHZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12168 /* vfmulcph */, X86::VFMULCPHZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12168 /* vfmulcph */, X86::VFMULCPHZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12168 /* vfmulcph */, X86::VFMULCPHZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12168 /* vfmulcph */, X86::VFMULCPHZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12168 /* vfmulcph */, X86::VFMULCPHZrrbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12168 /* vfmulcph */, X86::VFMULCPHZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12168 /* vfmulcph */, X86::VFMULCPHZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12168 /* vfmulcph */, X86::VFMULCPHZrmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12168 /* vfmulcph */, X86::VFMULCPHZ128rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12168 /* vfmulcph */, X86::VFMULCPHZ256rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12168 /* vfmulcph */, X86::VFMULCPHZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12168 /* vfmulcph */, X86::VFMULCPHZrrbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12168 /* vfmulcph */, X86::VFMULCPHZrmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12168 /* vfmulcph */, X86::VFMULCPHZ128rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12168 /* vfmulcph */, X86::VFMULCPHZ256rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12177 /* vfmulcsh */, X86::VFMULCSHZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12177 /* vfmulcsh */, X86::VFMULCSHZrm, Convert__Reg1_2__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X }, },
{ 12177 /* vfmulcsh */, X86::VFMULCSHZrrb, Convert__Reg1_3__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12177 /* vfmulcsh */, X86::VFMULCSHZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12177 /* vfmulcsh */, X86::VFMULCSHZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12177 /* vfmulcsh */, X86::VFMULCSHZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12177 /* vfmulcsh */, X86::VFMULCSHZrrbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12177 /* vfmulcsh */, X86::VFMULCSHZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12177 /* vfmulcsh */, X86::VFMULCSHZrrbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12186 /* vfnmadd132pd */, X86::VFNMADD132PDr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12186 /* vfnmadd132pd */, X86::VFNMADD132PDYr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 12186 /* vfnmadd132pd */, X86::VFNMADD132PDZ128r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12186 /* vfnmadd132pd */, X86::VFNMADD132PDZ256r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 12186 /* vfnmadd132pd */, X86::VFNMADD132PDZr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 12186 /* vfnmadd132pd */, X86::VFNMADD132PDm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 12186 /* vfnmadd132pd */, X86::VFNMADD132PDZ128m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 12186 /* vfnmadd132pd */, X86::VFNMADD132PDYm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 12186 /* vfnmadd132pd */, X86::VFNMADD132PDZ256m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 12186 /* vfnmadd132pd */, X86::VFNMADD132PDZm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 12186 /* vfnmadd132pd */, X86::VFNMADD132PDZrb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 12186 /* vfnmadd132pd */, X86::VFNMADD132PDZ128mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X }, },
{ 12186 /* vfnmadd132pd */, X86::VFNMADD132PDZ256mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X }, },
{ 12186 /* vfnmadd132pd */, X86::VFNMADD132PDZmb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512 }, },
{ 12186 /* vfnmadd132pd */, X86::VFNMADD132PDZ128rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12186 /* vfnmadd132pd */, X86::VFNMADD132PDZ256rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12186 /* vfnmadd132pd */, X86::VFNMADD132PDZrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12186 /* vfnmadd132pd */, X86::VFNMADD132PDZ128mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12186 /* vfnmadd132pd */, X86::VFNMADD132PDZ256mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12186 /* vfnmadd132pd */, X86::VFNMADD132PDZmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12186 /* vfnmadd132pd */, X86::VFNMADD132PDZ128rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12186 /* vfnmadd132pd */, X86::VFNMADD132PDZ256rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12186 /* vfnmadd132pd */, X86::VFNMADD132PDZrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12186 /* vfnmadd132pd */, X86::VFNMADD132PDZrbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12186 /* vfnmadd132pd */, X86::VFNMADD132PDZ128mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12186 /* vfnmadd132pd */, X86::VFNMADD132PDZ256mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12186 /* vfnmadd132pd */, X86::VFNMADD132PDZmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12186 /* vfnmadd132pd */, X86::VFNMADD132PDZ128mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12186 /* vfnmadd132pd */, X86::VFNMADD132PDZ256mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12186 /* vfnmadd132pd */, X86::VFNMADD132PDZmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12186 /* vfnmadd132pd */, X86::VFNMADD132PDZrbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12186 /* vfnmadd132pd */, X86::VFNMADD132PDZ128mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12186 /* vfnmadd132pd */, X86::VFNMADD132PDZ256mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12186 /* vfnmadd132pd */, X86::VFNMADD132PDZmbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12199 /* vfnmadd132ph */, X86::VFNMADD132PHZ128r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12199 /* vfnmadd132ph */, X86::VFNMADD132PHZ256r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 12199 /* vfnmadd132ph */, X86::VFNMADD132PHZr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 12199 /* vfnmadd132ph */, X86::VFNMADD132PHZ128m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 12199 /* vfnmadd132ph */, X86::VFNMADD132PHZ256m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 12199 /* vfnmadd132ph */, X86::VFNMADD132PHZm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 12199 /* vfnmadd132ph */, X86::VFNMADD132PHZrb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 12199 /* vfnmadd132ph */, X86::VFNMADD132PHZ256mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK_VR256X }, },
{ 12199 /* vfnmadd132ph */, X86::VFNMADD132PHZmb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK_VR512 }, },
{ 12199 /* vfnmadd132ph */, X86::VFNMADD132PHZ128mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK_FR16X }, },
{ 12199 /* vfnmadd132ph */, X86::VFNMADD132PHZ128rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12199 /* vfnmadd132ph */, X86::VFNMADD132PHZ256rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12199 /* vfnmadd132ph */, X86::VFNMADD132PHZrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12199 /* vfnmadd132ph */, X86::VFNMADD132PHZ128mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12199 /* vfnmadd132ph */, X86::VFNMADD132PHZ256mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12199 /* vfnmadd132ph */, X86::VFNMADD132PHZmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12199 /* vfnmadd132ph */, X86::VFNMADD132PHZ128rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12199 /* vfnmadd132ph */, X86::VFNMADD132PHZ256rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12199 /* vfnmadd132ph */, X86::VFNMADD132PHZrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12199 /* vfnmadd132ph */, X86::VFNMADD132PHZrbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12199 /* vfnmadd132ph */, X86::VFNMADD132PHZ128mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12199 /* vfnmadd132ph */, X86::VFNMADD132PHZ256mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12199 /* vfnmadd132ph */, X86::VFNMADD132PHZmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12199 /* vfnmadd132ph */, X86::VFNMADD132PHZ128mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12199 /* vfnmadd132ph */, X86::VFNMADD132PHZ256mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12199 /* vfnmadd132ph */, X86::VFNMADD132PHZmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12199 /* vfnmadd132ph */, X86::VFNMADD132PHZrbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12199 /* vfnmadd132ph */, X86::VFNMADD132PHZ256mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12199 /* vfnmadd132ph */, X86::VFNMADD132PHZmbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12199 /* vfnmadd132ph */, X86::VFNMADD132PHZ128mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12212 /* vfnmadd132ps */, X86::VFNMADD132PSr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12212 /* vfnmadd132ps */, X86::VFNMADD132PSYr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 12212 /* vfnmadd132ps */, X86::VFNMADD132PSZ128r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12212 /* vfnmadd132ps */, X86::VFNMADD132PSZ256r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 12212 /* vfnmadd132ps */, X86::VFNMADD132PSZr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 12212 /* vfnmadd132ps */, X86::VFNMADD132PSm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 12212 /* vfnmadd132ps */, X86::VFNMADD132PSZ128m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 12212 /* vfnmadd132ps */, X86::VFNMADD132PSYm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 12212 /* vfnmadd132ps */, X86::VFNMADD132PSZ256m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 12212 /* vfnmadd132ps */, X86::VFNMADD132PSZm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 12212 /* vfnmadd132ps */, X86::VFNMADD132PSZrb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 12212 /* vfnmadd132ps */, X86::VFNMADD132PSZmb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512 }, },
{ 12212 /* vfnmadd132ps */, X86::VFNMADD132PSZ128mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X }, },
{ 12212 /* vfnmadd132ps */, X86::VFNMADD132PSZ256mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X }, },
{ 12212 /* vfnmadd132ps */, X86::VFNMADD132PSZ128rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12212 /* vfnmadd132ps */, X86::VFNMADD132PSZ256rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12212 /* vfnmadd132ps */, X86::VFNMADD132PSZrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12212 /* vfnmadd132ps */, X86::VFNMADD132PSZ128mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12212 /* vfnmadd132ps */, X86::VFNMADD132PSZ256mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12212 /* vfnmadd132ps */, X86::VFNMADD132PSZmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12212 /* vfnmadd132ps */, X86::VFNMADD132PSZ128rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12212 /* vfnmadd132ps */, X86::VFNMADD132PSZ256rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12212 /* vfnmadd132ps */, X86::VFNMADD132PSZrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12212 /* vfnmadd132ps */, X86::VFNMADD132PSZrbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12212 /* vfnmadd132ps */, X86::VFNMADD132PSZ128mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12212 /* vfnmadd132ps */, X86::VFNMADD132PSZ256mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12212 /* vfnmadd132ps */, X86::VFNMADD132PSZmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12212 /* vfnmadd132ps */, X86::VFNMADD132PSZ128mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12212 /* vfnmadd132ps */, X86::VFNMADD132PSZ256mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12212 /* vfnmadd132ps */, X86::VFNMADD132PSZmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12212 /* vfnmadd132ps */, X86::VFNMADD132PSZrbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12212 /* vfnmadd132ps */, X86::VFNMADD132PSZmbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12212 /* vfnmadd132ps */, X86::VFNMADD132PSZ128mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12212 /* vfnmadd132ps */, X86::VFNMADD132PSZ256mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12225 /* vfnmadd132sd */, X86::VFNMADD132SDr_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12225 /* vfnmadd132sd */, X86::VFNMADD132SDZr_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12225 /* vfnmadd132sd */, X86::VFNMADD132SDm_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16, MCK_FR16 }, },
{ 12225 /* vfnmadd132sd */, X86::VFNMADD132SDZm_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK_FR16X }, },
{ 12225 /* vfnmadd132sd */, X86::VFNMADD132SDZrb_Int, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12225 /* vfnmadd132sd */, X86::VFNMADD132SDZr_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12225 /* vfnmadd132sd */, X86::VFNMADD132SDZm_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12225 /* vfnmadd132sd */, X86::VFNMADD132SDZr_Intkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12225 /* vfnmadd132sd */, X86::VFNMADD132SDZrb_Intk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12225 /* vfnmadd132sd */, X86::VFNMADD132SDZm_Intkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12225 /* vfnmadd132sd */, X86::VFNMADD132SDZrb_Intkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12238 /* vfnmadd132sh */, X86::VFNMADD132SHZr_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12238 /* vfnmadd132sh */, X86::VFNMADD132SHZm_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16X, MCK_FR16X }, },
{ 12238 /* vfnmadd132sh */, X86::VFNMADD132SHZrb_Int, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12238 /* vfnmadd132sh */, X86::VFNMADD132SHZr_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12238 /* vfnmadd132sh */, X86::VFNMADD132SHZm_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12238 /* vfnmadd132sh */, X86::VFNMADD132SHZr_Intkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12238 /* vfnmadd132sh */, X86::VFNMADD132SHZrb_Intk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12238 /* vfnmadd132sh */, X86::VFNMADD132SHZm_Intkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12238 /* vfnmadd132sh */, X86::VFNMADD132SHZrb_Intkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12251 /* vfnmadd132ss */, X86::VFNMADD132SSr_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12251 /* vfnmadd132ss */, X86::VFNMADD132SSZr_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12251 /* vfnmadd132ss */, X86::VFNMADD132SSm_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16, MCK_FR16 }, },
{ 12251 /* vfnmadd132ss */, X86::VFNMADD132SSZm_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X }, },
{ 12251 /* vfnmadd132ss */, X86::VFNMADD132SSZrb_Int, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12251 /* vfnmadd132ss */, X86::VFNMADD132SSZr_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12251 /* vfnmadd132ss */, X86::VFNMADD132SSZm_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12251 /* vfnmadd132ss */, X86::VFNMADD132SSZr_Intkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12251 /* vfnmadd132ss */, X86::VFNMADD132SSZrb_Intk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12251 /* vfnmadd132ss */, X86::VFNMADD132SSZm_Intkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12251 /* vfnmadd132ss */, X86::VFNMADD132SSZrb_Intkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12264 /* vfnmadd213pd */, X86::VFNMADD213PDr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12264 /* vfnmadd213pd */, X86::VFNMADD213PDYr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 12264 /* vfnmadd213pd */, X86::VFNMADD213PDZ128r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12264 /* vfnmadd213pd */, X86::VFNMADD213PDZ256r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 12264 /* vfnmadd213pd */, X86::VFNMADD213PDZr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 12264 /* vfnmadd213pd */, X86::VFNMADD213PDm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 12264 /* vfnmadd213pd */, X86::VFNMADD213PDZ128m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 12264 /* vfnmadd213pd */, X86::VFNMADD213PDYm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 12264 /* vfnmadd213pd */, X86::VFNMADD213PDZ256m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 12264 /* vfnmadd213pd */, X86::VFNMADD213PDZm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 12264 /* vfnmadd213pd */, X86::VFNMADD213PDZrb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 12264 /* vfnmadd213pd */, X86::VFNMADD213PDZ128mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X }, },
{ 12264 /* vfnmadd213pd */, X86::VFNMADD213PDZ256mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X }, },
{ 12264 /* vfnmadd213pd */, X86::VFNMADD213PDZmb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512 }, },
{ 12264 /* vfnmadd213pd */, X86::VFNMADD213PDZ128rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12264 /* vfnmadd213pd */, X86::VFNMADD213PDZ256rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12264 /* vfnmadd213pd */, X86::VFNMADD213PDZrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12264 /* vfnmadd213pd */, X86::VFNMADD213PDZ128mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12264 /* vfnmadd213pd */, X86::VFNMADD213PDZ256mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12264 /* vfnmadd213pd */, X86::VFNMADD213PDZmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12264 /* vfnmadd213pd */, X86::VFNMADD213PDZ128rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12264 /* vfnmadd213pd */, X86::VFNMADD213PDZ256rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12264 /* vfnmadd213pd */, X86::VFNMADD213PDZrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12264 /* vfnmadd213pd */, X86::VFNMADD213PDZrbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12264 /* vfnmadd213pd */, X86::VFNMADD213PDZ128mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12264 /* vfnmadd213pd */, X86::VFNMADD213PDZ256mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12264 /* vfnmadd213pd */, X86::VFNMADD213PDZmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12264 /* vfnmadd213pd */, X86::VFNMADD213PDZ128mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12264 /* vfnmadd213pd */, X86::VFNMADD213PDZ256mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12264 /* vfnmadd213pd */, X86::VFNMADD213PDZmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12264 /* vfnmadd213pd */, X86::VFNMADD213PDZrbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12264 /* vfnmadd213pd */, X86::VFNMADD213PDZ128mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12264 /* vfnmadd213pd */, X86::VFNMADD213PDZ256mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12264 /* vfnmadd213pd */, X86::VFNMADD213PDZmbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12277 /* vfnmadd213ph */, X86::VFNMADD213PHZ128r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12277 /* vfnmadd213ph */, X86::VFNMADD213PHZ256r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 12277 /* vfnmadd213ph */, X86::VFNMADD213PHZr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 12277 /* vfnmadd213ph */, X86::VFNMADD213PHZ128m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 12277 /* vfnmadd213ph */, X86::VFNMADD213PHZ256m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 12277 /* vfnmadd213ph */, X86::VFNMADD213PHZm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 12277 /* vfnmadd213ph */, X86::VFNMADD213PHZrb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 12277 /* vfnmadd213ph */, X86::VFNMADD213PHZ256mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK_VR256X }, },
{ 12277 /* vfnmadd213ph */, X86::VFNMADD213PHZmb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK_VR512 }, },
{ 12277 /* vfnmadd213ph */, X86::VFNMADD213PHZ128mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK_FR16X }, },
{ 12277 /* vfnmadd213ph */, X86::VFNMADD213PHZ128rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12277 /* vfnmadd213ph */, X86::VFNMADD213PHZ256rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12277 /* vfnmadd213ph */, X86::VFNMADD213PHZrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12277 /* vfnmadd213ph */, X86::VFNMADD213PHZ128mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12277 /* vfnmadd213ph */, X86::VFNMADD213PHZ256mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12277 /* vfnmadd213ph */, X86::VFNMADD213PHZmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12277 /* vfnmadd213ph */, X86::VFNMADD213PHZ128rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12277 /* vfnmadd213ph */, X86::VFNMADD213PHZ256rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12277 /* vfnmadd213ph */, X86::VFNMADD213PHZrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12277 /* vfnmadd213ph */, X86::VFNMADD213PHZrbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12277 /* vfnmadd213ph */, X86::VFNMADD213PHZ128mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12277 /* vfnmadd213ph */, X86::VFNMADD213PHZ256mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12277 /* vfnmadd213ph */, X86::VFNMADD213PHZmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12277 /* vfnmadd213ph */, X86::VFNMADD213PHZ128mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12277 /* vfnmadd213ph */, X86::VFNMADD213PHZ256mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12277 /* vfnmadd213ph */, X86::VFNMADD213PHZmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12277 /* vfnmadd213ph */, X86::VFNMADD213PHZrbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12277 /* vfnmadd213ph */, X86::VFNMADD213PHZ256mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12277 /* vfnmadd213ph */, X86::VFNMADD213PHZmbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12277 /* vfnmadd213ph */, X86::VFNMADD213PHZ128mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12290 /* vfnmadd213ps */, X86::VFNMADD213PSr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12290 /* vfnmadd213ps */, X86::VFNMADD213PSYr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 12290 /* vfnmadd213ps */, X86::VFNMADD213PSZ128r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12290 /* vfnmadd213ps */, X86::VFNMADD213PSZ256r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 12290 /* vfnmadd213ps */, X86::VFNMADD213PSZr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 12290 /* vfnmadd213ps */, X86::VFNMADD213PSm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 12290 /* vfnmadd213ps */, X86::VFNMADD213PSZ128m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 12290 /* vfnmadd213ps */, X86::VFNMADD213PSYm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 12290 /* vfnmadd213ps */, X86::VFNMADD213PSZ256m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 12290 /* vfnmadd213ps */, X86::VFNMADD213PSZm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 12290 /* vfnmadd213ps */, X86::VFNMADD213PSZrb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 12290 /* vfnmadd213ps */, X86::VFNMADD213PSZmb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512 }, },
{ 12290 /* vfnmadd213ps */, X86::VFNMADD213PSZ128mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X }, },
{ 12290 /* vfnmadd213ps */, X86::VFNMADD213PSZ256mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X }, },
{ 12290 /* vfnmadd213ps */, X86::VFNMADD213PSZ128rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12290 /* vfnmadd213ps */, X86::VFNMADD213PSZ256rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12290 /* vfnmadd213ps */, X86::VFNMADD213PSZrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12290 /* vfnmadd213ps */, X86::VFNMADD213PSZ128mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12290 /* vfnmadd213ps */, X86::VFNMADD213PSZ256mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12290 /* vfnmadd213ps */, X86::VFNMADD213PSZmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12290 /* vfnmadd213ps */, X86::VFNMADD213PSZ128rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12290 /* vfnmadd213ps */, X86::VFNMADD213PSZ256rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12290 /* vfnmadd213ps */, X86::VFNMADD213PSZrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12290 /* vfnmadd213ps */, X86::VFNMADD213PSZrbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12290 /* vfnmadd213ps */, X86::VFNMADD213PSZ128mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12290 /* vfnmadd213ps */, X86::VFNMADD213PSZ256mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12290 /* vfnmadd213ps */, X86::VFNMADD213PSZmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12290 /* vfnmadd213ps */, X86::VFNMADD213PSZ128mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12290 /* vfnmadd213ps */, X86::VFNMADD213PSZ256mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12290 /* vfnmadd213ps */, X86::VFNMADD213PSZmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12290 /* vfnmadd213ps */, X86::VFNMADD213PSZrbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12290 /* vfnmadd213ps */, X86::VFNMADD213PSZmbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12290 /* vfnmadd213ps */, X86::VFNMADD213PSZ128mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12290 /* vfnmadd213ps */, X86::VFNMADD213PSZ256mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12303 /* vfnmadd213sd */, X86::VFNMADD213SDr_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12303 /* vfnmadd213sd */, X86::VFNMADD213SDZr_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12303 /* vfnmadd213sd */, X86::VFNMADD213SDm_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16, MCK_FR16 }, },
{ 12303 /* vfnmadd213sd */, X86::VFNMADD213SDZm_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK_FR16X }, },
{ 12303 /* vfnmadd213sd */, X86::VFNMADD213SDZrb_Int, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12303 /* vfnmadd213sd */, X86::VFNMADD213SDZr_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12303 /* vfnmadd213sd */, X86::VFNMADD213SDZm_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12303 /* vfnmadd213sd */, X86::VFNMADD213SDZr_Intkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12303 /* vfnmadd213sd */, X86::VFNMADD213SDZrb_Intk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12303 /* vfnmadd213sd */, X86::VFNMADD213SDZm_Intkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12303 /* vfnmadd213sd */, X86::VFNMADD213SDZrb_Intkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12316 /* vfnmadd213sh */, X86::VFNMADD213SHZr_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12316 /* vfnmadd213sh */, X86::VFNMADD213SHZm_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16X, MCK_FR16X }, },
{ 12316 /* vfnmadd213sh */, X86::VFNMADD213SHZrb_Int, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12316 /* vfnmadd213sh */, X86::VFNMADD213SHZr_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12316 /* vfnmadd213sh */, X86::VFNMADD213SHZm_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12316 /* vfnmadd213sh */, X86::VFNMADD213SHZr_Intkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12316 /* vfnmadd213sh */, X86::VFNMADD213SHZrb_Intk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12316 /* vfnmadd213sh */, X86::VFNMADD213SHZm_Intkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12316 /* vfnmadd213sh */, X86::VFNMADD213SHZrb_Intkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12329 /* vfnmadd213ss */, X86::VFNMADD213SSr_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12329 /* vfnmadd213ss */, X86::VFNMADD213SSZr_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12329 /* vfnmadd213ss */, X86::VFNMADD213SSm_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16, MCK_FR16 }, },
{ 12329 /* vfnmadd213ss */, X86::VFNMADD213SSZm_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X }, },
{ 12329 /* vfnmadd213ss */, X86::VFNMADD213SSZrb_Int, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12329 /* vfnmadd213ss */, X86::VFNMADD213SSZr_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12329 /* vfnmadd213ss */, X86::VFNMADD213SSZm_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12329 /* vfnmadd213ss */, X86::VFNMADD213SSZr_Intkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12329 /* vfnmadd213ss */, X86::VFNMADD213SSZrb_Intk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12329 /* vfnmadd213ss */, X86::VFNMADD213SSZm_Intkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12329 /* vfnmadd213ss */, X86::VFNMADD213SSZrb_Intkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12342 /* vfnmadd231pd */, X86::VFNMADD231PDr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12342 /* vfnmadd231pd */, X86::VFNMADD231PDYr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 12342 /* vfnmadd231pd */, X86::VFNMADD231PDZ128r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12342 /* vfnmadd231pd */, X86::VFNMADD231PDZ256r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 12342 /* vfnmadd231pd */, X86::VFNMADD231PDZr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 12342 /* vfnmadd231pd */, X86::VFNMADD231PDm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 12342 /* vfnmadd231pd */, X86::VFNMADD231PDZ128m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 12342 /* vfnmadd231pd */, X86::VFNMADD231PDYm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 12342 /* vfnmadd231pd */, X86::VFNMADD231PDZ256m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 12342 /* vfnmadd231pd */, X86::VFNMADD231PDZm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 12342 /* vfnmadd231pd */, X86::VFNMADD231PDZrb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 12342 /* vfnmadd231pd */, X86::VFNMADD231PDZ128mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X }, },
{ 12342 /* vfnmadd231pd */, X86::VFNMADD231PDZ256mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X }, },
{ 12342 /* vfnmadd231pd */, X86::VFNMADD231PDZmb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512 }, },
{ 12342 /* vfnmadd231pd */, X86::VFNMADD231PDZ128rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12342 /* vfnmadd231pd */, X86::VFNMADD231PDZ256rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12342 /* vfnmadd231pd */, X86::VFNMADD231PDZrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12342 /* vfnmadd231pd */, X86::VFNMADD231PDZ128mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12342 /* vfnmadd231pd */, X86::VFNMADD231PDZ256mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12342 /* vfnmadd231pd */, X86::VFNMADD231PDZmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12342 /* vfnmadd231pd */, X86::VFNMADD231PDZ128rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12342 /* vfnmadd231pd */, X86::VFNMADD231PDZ256rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12342 /* vfnmadd231pd */, X86::VFNMADD231PDZrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12342 /* vfnmadd231pd */, X86::VFNMADD231PDZrbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12342 /* vfnmadd231pd */, X86::VFNMADD231PDZ128mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12342 /* vfnmadd231pd */, X86::VFNMADD231PDZ256mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12342 /* vfnmadd231pd */, X86::VFNMADD231PDZmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12342 /* vfnmadd231pd */, X86::VFNMADD231PDZ128mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12342 /* vfnmadd231pd */, X86::VFNMADD231PDZ256mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12342 /* vfnmadd231pd */, X86::VFNMADD231PDZmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12342 /* vfnmadd231pd */, X86::VFNMADD231PDZrbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12342 /* vfnmadd231pd */, X86::VFNMADD231PDZ128mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12342 /* vfnmadd231pd */, X86::VFNMADD231PDZ256mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12342 /* vfnmadd231pd */, X86::VFNMADD231PDZmbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12355 /* vfnmadd231ph */, X86::VFNMADD231PHZ128r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12355 /* vfnmadd231ph */, X86::VFNMADD231PHZ256r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 12355 /* vfnmadd231ph */, X86::VFNMADD231PHZr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 12355 /* vfnmadd231ph */, X86::VFNMADD231PHZ128m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 12355 /* vfnmadd231ph */, X86::VFNMADD231PHZ256m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 12355 /* vfnmadd231ph */, X86::VFNMADD231PHZm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 12355 /* vfnmadd231ph */, X86::VFNMADD231PHZrb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 12355 /* vfnmadd231ph */, X86::VFNMADD231PHZ256mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK_VR256X }, },
{ 12355 /* vfnmadd231ph */, X86::VFNMADD231PHZmb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK_VR512 }, },
{ 12355 /* vfnmadd231ph */, X86::VFNMADD231PHZ128mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK_FR16X }, },
{ 12355 /* vfnmadd231ph */, X86::VFNMADD231PHZ128rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12355 /* vfnmadd231ph */, X86::VFNMADD231PHZ256rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12355 /* vfnmadd231ph */, X86::VFNMADD231PHZrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12355 /* vfnmadd231ph */, X86::VFNMADD231PHZ128mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12355 /* vfnmadd231ph */, X86::VFNMADD231PHZ256mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12355 /* vfnmadd231ph */, X86::VFNMADD231PHZmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12355 /* vfnmadd231ph */, X86::VFNMADD231PHZ128rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12355 /* vfnmadd231ph */, X86::VFNMADD231PHZ256rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12355 /* vfnmadd231ph */, X86::VFNMADD231PHZrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12355 /* vfnmadd231ph */, X86::VFNMADD231PHZrbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12355 /* vfnmadd231ph */, X86::VFNMADD231PHZ128mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12355 /* vfnmadd231ph */, X86::VFNMADD231PHZ256mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12355 /* vfnmadd231ph */, X86::VFNMADD231PHZmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12355 /* vfnmadd231ph */, X86::VFNMADD231PHZ128mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12355 /* vfnmadd231ph */, X86::VFNMADD231PHZ256mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12355 /* vfnmadd231ph */, X86::VFNMADD231PHZmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12355 /* vfnmadd231ph */, X86::VFNMADD231PHZrbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12355 /* vfnmadd231ph */, X86::VFNMADD231PHZ256mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12355 /* vfnmadd231ph */, X86::VFNMADD231PHZmbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12355 /* vfnmadd231ph */, X86::VFNMADD231PHZ128mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12368 /* vfnmadd231ps */, X86::VFNMADD231PSr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12368 /* vfnmadd231ps */, X86::VFNMADD231PSYr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 12368 /* vfnmadd231ps */, X86::VFNMADD231PSZ128r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12368 /* vfnmadd231ps */, X86::VFNMADD231PSZ256r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 12368 /* vfnmadd231ps */, X86::VFNMADD231PSZr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 12368 /* vfnmadd231ps */, X86::VFNMADD231PSm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 12368 /* vfnmadd231ps */, X86::VFNMADD231PSZ128m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 12368 /* vfnmadd231ps */, X86::VFNMADD231PSYm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 12368 /* vfnmadd231ps */, X86::VFNMADD231PSZ256m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 12368 /* vfnmadd231ps */, X86::VFNMADD231PSZm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 12368 /* vfnmadd231ps */, X86::VFNMADD231PSZrb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 12368 /* vfnmadd231ps */, X86::VFNMADD231PSZmb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512 }, },
{ 12368 /* vfnmadd231ps */, X86::VFNMADD231PSZ128mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X }, },
{ 12368 /* vfnmadd231ps */, X86::VFNMADD231PSZ256mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X }, },
{ 12368 /* vfnmadd231ps */, X86::VFNMADD231PSZ128rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12368 /* vfnmadd231ps */, X86::VFNMADD231PSZ256rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12368 /* vfnmadd231ps */, X86::VFNMADD231PSZrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12368 /* vfnmadd231ps */, X86::VFNMADD231PSZ128mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12368 /* vfnmadd231ps */, X86::VFNMADD231PSZ256mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12368 /* vfnmadd231ps */, X86::VFNMADD231PSZmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12368 /* vfnmadd231ps */, X86::VFNMADD231PSZ128rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12368 /* vfnmadd231ps */, X86::VFNMADD231PSZ256rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12368 /* vfnmadd231ps */, X86::VFNMADD231PSZrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12368 /* vfnmadd231ps */, X86::VFNMADD231PSZrbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12368 /* vfnmadd231ps */, X86::VFNMADD231PSZ128mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12368 /* vfnmadd231ps */, X86::VFNMADD231PSZ256mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12368 /* vfnmadd231ps */, X86::VFNMADD231PSZmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12368 /* vfnmadd231ps */, X86::VFNMADD231PSZ128mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12368 /* vfnmadd231ps */, X86::VFNMADD231PSZ256mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12368 /* vfnmadd231ps */, X86::VFNMADD231PSZmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12368 /* vfnmadd231ps */, X86::VFNMADD231PSZrbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12368 /* vfnmadd231ps */, X86::VFNMADD231PSZmbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12368 /* vfnmadd231ps */, X86::VFNMADD231PSZ128mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12368 /* vfnmadd231ps */, X86::VFNMADD231PSZ256mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12381 /* vfnmadd231sd */, X86::VFNMADD231SDr_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12381 /* vfnmadd231sd */, X86::VFNMADD231SDZr_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12381 /* vfnmadd231sd */, X86::VFNMADD231SDm_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16, MCK_FR16 }, },
{ 12381 /* vfnmadd231sd */, X86::VFNMADD231SDZm_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK_FR16X }, },
{ 12381 /* vfnmadd231sd */, X86::VFNMADD231SDZrb_Int, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12381 /* vfnmadd231sd */, X86::VFNMADD231SDZr_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12381 /* vfnmadd231sd */, X86::VFNMADD231SDZm_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12381 /* vfnmadd231sd */, X86::VFNMADD231SDZr_Intkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12381 /* vfnmadd231sd */, X86::VFNMADD231SDZrb_Intk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12381 /* vfnmadd231sd */, X86::VFNMADD231SDZm_Intkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12381 /* vfnmadd231sd */, X86::VFNMADD231SDZrb_Intkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12394 /* vfnmadd231sh */, X86::VFNMADD231SHZr_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12394 /* vfnmadd231sh */, X86::VFNMADD231SHZm_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16X, MCK_FR16X }, },
{ 12394 /* vfnmadd231sh */, X86::VFNMADD231SHZrb_Int, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12394 /* vfnmadd231sh */, X86::VFNMADD231SHZr_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12394 /* vfnmadd231sh */, X86::VFNMADD231SHZm_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12394 /* vfnmadd231sh */, X86::VFNMADD231SHZr_Intkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12394 /* vfnmadd231sh */, X86::VFNMADD231SHZrb_Intk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12394 /* vfnmadd231sh */, X86::VFNMADD231SHZm_Intkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12394 /* vfnmadd231sh */, X86::VFNMADD231SHZrb_Intkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12407 /* vfnmadd231ss */, X86::VFNMADD231SSr_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12407 /* vfnmadd231ss */, X86::VFNMADD231SSZr_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12407 /* vfnmadd231ss */, X86::VFNMADD231SSm_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16, MCK_FR16 }, },
{ 12407 /* vfnmadd231ss */, X86::VFNMADD231SSZm_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X }, },
{ 12407 /* vfnmadd231ss */, X86::VFNMADD231SSZrb_Int, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12407 /* vfnmadd231ss */, X86::VFNMADD231SSZr_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12407 /* vfnmadd231ss */, X86::VFNMADD231SSZm_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12407 /* vfnmadd231ss */, X86::VFNMADD231SSZr_Intkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12407 /* vfnmadd231ss */, X86::VFNMADD231SSZrb_Intk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12407 /* vfnmadd231ss */, X86::VFNMADD231SSZm_Intkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12407 /* vfnmadd231ss */, X86::VFNMADD231SSZrb_Intkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12420 /* vfnmaddpd */, X86::VFNMADDPD4rr, Convert__Reg1_3__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12420 /* vfnmaddpd */, X86::VFNMADDPD4mr, Convert__Reg1_3__Reg1_2__Mem1285_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 12420 /* vfnmaddpd */, X86::VFNMADDPD4Yrr, Convert__Reg1_3__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 12420 /* vfnmaddpd */, X86::VFNMADDPD4Ymr, Convert__Reg1_3__Reg1_2__Mem2565_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 12420 /* vfnmaddpd */, X86::VFNMADDPD4rm, Convert__Reg1_3__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12420 /* vfnmaddpd */, X86::VFNMADDPD4Yrm, Convert__Reg1_3__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 12430 /* vfnmaddps */, X86::VFNMADDPS4rr, Convert__Reg1_3__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12430 /* vfnmaddps */, X86::VFNMADDPS4mr, Convert__Reg1_3__Reg1_2__Mem1285_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 12430 /* vfnmaddps */, X86::VFNMADDPS4Yrr, Convert__Reg1_3__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 12430 /* vfnmaddps */, X86::VFNMADDPS4Ymr, Convert__Reg1_3__Reg1_2__Mem2565_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 12430 /* vfnmaddps */, X86::VFNMADDPS4rm, Convert__Reg1_3__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12430 /* vfnmaddps */, X86::VFNMADDPS4Yrm, Convert__Reg1_3__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 12440 /* vfnmaddsd */, X86::VFNMADDSD4rr, Convert__Reg1_3__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12440 /* vfnmaddsd */, X86::VFNMADDSD4mr, Convert__Reg1_3__Reg1_2__Mem645_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_Mem64, MCK_FR16, MCK_FR16 }, },
{ 12440 /* vfnmaddsd */, X86::VFNMADDSD4rm, Convert__Reg1_3__Reg1_2__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12450 /* vfnmaddss */, X86::VFNMADDSS4rr, Convert__Reg1_3__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12450 /* vfnmaddss */, X86::VFNMADDSS4mr, Convert__Reg1_3__Reg1_2__Mem325_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_Mem32, MCK_FR16, MCK_FR16 }, },
{ 12450 /* vfnmaddss */, X86::VFNMADDSS4rm, Convert__Reg1_3__Reg1_2__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12460 /* vfnmsub132pd */, X86::VFNMSUB132PDr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12460 /* vfnmsub132pd */, X86::VFNMSUB132PDYr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 12460 /* vfnmsub132pd */, X86::VFNMSUB132PDZ128r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12460 /* vfnmsub132pd */, X86::VFNMSUB132PDZ256r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 12460 /* vfnmsub132pd */, X86::VFNMSUB132PDZr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 12460 /* vfnmsub132pd */, X86::VFNMSUB132PDm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 12460 /* vfnmsub132pd */, X86::VFNMSUB132PDZ128m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 12460 /* vfnmsub132pd */, X86::VFNMSUB132PDYm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 12460 /* vfnmsub132pd */, X86::VFNMSUB132PDZ256m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 12460 /* vfnmsub132pd */, X86::VFNMSUB132PDZm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 12460 /* vfnmsub132pd */, X86::VFNMSUB132PDZrb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 12460 /* vfnmsub132pd */, X86::VFNMSUB132PDZ128mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X }, },
{ 12460 /* vfnmsub132pd */, X86::VFNMSUB132PDZ256mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X }, },
{ 12460 /* vfnmsub132pd */, X86::VFNMSUB132PDZmb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512 }, },
{ 12460 /* vfnmsub132pd */, X86::VFNMSUB132PDZ128rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12460 /* vfnmsub132pd */, X86::VFNMSUB132PDZ256rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12460 /* vfnmsub132pd */, X86::VFNMSUB132PDZrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12460 /* vfnmsub132pd */, X86::VFNMSUB132PDZ128mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12460 /* vfnmsub132pd */, X86::VFNMSUB132PDZ256mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12460 /* vfnmsub132pd */, X86::VFNMSUB132PDZmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12460 /* vfnmsub132pd */, X86::VFNMSUB132PDZ128rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12460 /* vfnmsub132pd */, X86::VFNMSUB132PDZ256rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12460 /* vfnmsub132pd */, X86::VFNMSUB132PDZrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12460 /* vfnmsub132pd */, X86::VFNMSUB132PDZrbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12460 /* vfnmsub132pd */, X86::VFNMSUB132PDZ128mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12460 /* vfnmsub132pd */, X86::VFNMSUB132PDZ256mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12460 /* vfnmsub132pd */, X86::VFNMSUB132PDZmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12460 /* vfnmsub132pd */, X86::VFNMSUB132PDZ128mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12460 /* vfnmsub132pd */, X86::VFNMSUB132PDZ256mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12460 /* vfnmsub132pd */, X86::VFNMSUB132PDZmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12460 /* vfnmsub132pd */, X86::VFNMSUB132PDZrbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12460 /* vfnmsub132pd */, X86::VFNMSUB132PDZ128mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12460 /* vfnmsub132pd */, X86::VFNMSUB132PDZ256mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12460 /* vfnmsub132pd */, X86::VFNMSUB132PDZmbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12473 /* vfnmsub132ph */, X86::VFNMSUB132PHZ128r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12473 /* vfnmsub132ph */, X86::VFNMSUB132PHZ256r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 12473 /* vfnmsub132ph */, X86::VFNMSUB132PHZr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 12473 /* vfnmsub132ph */, X86::VFNMSUB132PHZ128m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 12473 /* vfnmsub132ph */, X86::VFNMSUB132PHZ256m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 12473 /* vfnmsub132ph */, X86::VFNMSUB132PHZm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 12473 /* vfnmsub132ph */, X86::VFNMSUB132PHZrb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 12473 /* vfnmsub132ph */, X86::VFNMSUB132PHZ256mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK_VR256X }, },
{ 12473 /* vfnmsub132ph */, X86::VFNMSUB132PHZmb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK_VR512 }, },
{ 12473 /* vfnmsub132ph */, X86::VFNMSUB132PHZ128mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK_FR16X }, },
{ 12473 /* vfnmsub132ph */, X86::VFNMSUB132PHZ128rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12473 /* vfnmsub132ph */, X86::VFNMSUB132PHZ256rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12473 /* vfnmsub132ph */, X86::VFNMSUB132PHZrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12473 /* vfnmsub132ph */, X86::VFNMSUB132PHZ128mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12473 /* vfnmsub132ph */, X86::VFNMSUB132PHZ256mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12473 /* vfnmsub132ph */, X86::VFNMSUB132PHZmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12473 /* vfnmsub132ph */, X86::VFNMSUB132PHZ128rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12473 /* vfnmsub132ph */, X86::VFNMSUB132PHZ256rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12473 /* vfnmsub132ph */, X86::VFNMSUB132PHZrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12473 /* vfnmsub132ph */, X86::VFNMSUB132PHZrbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12473 /* vfnmsub132ph */, X86::VFNMSUB132PHZ128mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12473 /* vfnmsub132ph */, X86::VFNMSUB132PHZ256mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12473 /* vfnmsub132ph */, X86::VFNMSUB132PHZmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12473 /* vfnmsub132ph */, X86::VFNMSUB132PHZ128mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12473 /* vfnmsub132ph */, X86::VFNMSUB132PHZ256mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12473 /* vfnmsub132ph */, X86::VFNMSUB132PHZmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12473 /* vfnmsub132ph */, X86::VFNMSUB132PHZrbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12473 /* vfnmsub132ph */, X86::VFNMSUB132PHZ256mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12473 /* vfnmsub132ph */, X86::VFNMSUB132PHZmbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12473 /* vfnmsub132ph */, X86::VFNMSUB132PHZ128mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12486 /* vfnmsub132ps */, X86::VFNMSUB132PSr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12486 /* vfnmsub132ps */, X86::VFNMSUB132PSYr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 12486 /* vfnmsub132ps */, X86::VFNMSUB132PSZ128r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12486 /* vfnmsub132ps */, X86::VFNMSUB132PSZ256r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 12486 /* vfnmsub132ps */, X86::VFNMSUB132PSZr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 12486 /* vfnmsub132ps */, X86::VFNMSUB132PSm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 12486 /* vfnmsub132ps */, X86::VFNMSUB132PSZ128m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 12486 /* vfnmsub132ps */, X86::VFNMSUB132PSYm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 12486 /* vfnmsub132ps */, X86::VFNMSUB132PSZ256m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 12486 /* vfnmsub132ps */, X86::VFNMSUB132PSZm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 12486 /* vfnmsub132ps */, X86::VFNMSUB132PSZrb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 12486 /* vfnmsub132ps */, X86::VFNMSUB132PSZmb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512 }, },
{ 12486 /* vfnmsub132ps */, X86::VFNMSUB132PSZ128mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X }, },
{ 12486 /* vfnmsub132ps */, X86::VFNMSUB132PSZ256mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X }, },
{ 12486 /* vfnmsub132ps */, X86::VFNMSUB132PSZ128rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12486 /* vfnmsub132ps */, X86::VFNMSUB132PSZ256rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12486 /* vfnmsub132ps */, X86::VFNMSUB132PSZrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12486 /* vfnmsub132ps */, X86::VFNMSUB132PSZ128mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12486 /* vfnmsub132ps */, X86::VFNMSUB132PSZ256mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12486 /* vfnmsub132ps */, X86::VFNMSUB132PSZmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12486 /* vfnmsub132ps */, X86::VFNMSUB132PSZ128rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12486 /* vfnmsub132ps */, X86::VFNMSUB132PSZ256rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12486 /* vfnmsub132ps */, X86::VFNMSUB132PSZrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12486 /* vfnmsub132ps */, X86::VFNMSUB132PSZrbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12486 /* vfnmsub132ps */, X86::VFNMSUB132PSZ128mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12486 /* vfnmsub132ps */, X86::VFNMSUB132PSZ256mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12486 /* vfnmsub132ps */, X86::VFNMSUB132PSZmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12486 /* vfnmsub132ps */, X86::VFNMSUB132PSZ128mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12486 /* vfnmsub132ps */, X86::VFNMSUB132PSZ256mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12486 /* vfnmsub132ps */, X86::VFNMSUB132PSZmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12486 /* vfnmsub132ps */, X86::VFNMSUB132PSZrbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12486 /* vfnmsub132ps */, X86::VFNMSUB132PSZmbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12486 /* vfnmsub132ps */, X86::VFNMSUB132PSZ128mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12486 /* vfnmsub132ps */, X86::VFNMSUB132PSZ256mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12499 /* vfnmsub132sd */, X86::VFNMSUB132SDr_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12499 /* vfnmsub132sd */, X86::VFNMSUB132SDZr_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12499 /* vfnmsub132sd */, X86::VFNMSUB132SDm_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16, MCK_FR16 }, },
{ 12499 /* vfnmsub132sd */, X86::VFNMSUB132SDZm_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK_FR16X }, },
{ 12499 /* vfnmsub132sd */, X86::VFNMSUB132SDZrb_Int, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12499 /* vfnmsub132sd */, X86::VFNMSUB132SDZr_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12499 /* vfnmsub132sd */, X86::VFNMSUB132SDZm_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12499 /* vfnmsub132sd */, X86::VFNMSUB132SDZr_Intkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12499 /* vfnmsub132sd */, X86::VFNMSUB132SDZrb_Intk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12499 /* vfnmsub132sd */, X86::VFNMSUB132SDZm_Intkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12499 /* vfnmsub132sd */, X86::VFNMSUB132SDZrb_Intkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12512 /* vfnmsub132sh */, X86::VFNMSUB132SHZr_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12512 /* vfnmsub132sh */, X86::VFNMSUB132SHZm_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16X, MCK_FR16X }, },
{ 12512 /* vfnmsub132sh */, X86::VFNMSUB132SHZrb_Int, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12512 /* vfnmsub132sh */, X86::VFNMSUB132SHZr_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12512 /* vfnmsub132sh */, X86::VFNMSUB132SHZm_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12512 /* vfnmsub132sh */, X86::VFNMSUB132SHZr_Intkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12512 /* vfnmsub132sh */, X86::VFNMSUB132SHZrb_Intk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12512 /* vfnmsub132sh */, X86::VFNMSUB132SHZm_Intkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12512 /* vfnmsub132sh */, X86::VFNMSUB132SHZrb_Intkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12525 /* vfnmsub132ss */, X86::VFNMSUB132SSr_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12525 /* vfnmsub132ss */, X86::VFNMSUB132SSZr_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12525 /* vfnmsub132ss */, X86::VFNMSUB132SSm_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16, MCK_FR16 }, },
{ 12525 /* vfnmsub132ss */, X86::VFNMSUB132SSZm_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X }, },
{ 12525 /* vfnmsub132ss */, X86::VFNMSUB132SSZrb_Int, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12525 /* vfnmsub132ss */, X86::VFNMSUB132SSZr_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12525 /* vfnmsub132ss */, X86::VFNMSUB132SSZm_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12525 /* vfnmsub132ss */, X86::VFNMSUB132SSZr_Intkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12525 /* vfnmsub132ss */, X86::VFNMSUB132SSZrb_Intk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12525 /* vfnmsub132ss */, X86::VFNMSUB132SSZm_Intkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12525 /* vfnmsub132ss */, X86::VFNMSUB132SSZrb_Intkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12538 /* vfnmsub213pd */, X86::VFNMSUB213PDr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12538 /* vfnmsub213pd */, X86::VFNMSUB213PDYr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 12538 /* vfnmsub213pd */, X86::VFNMSUB213PDZ128r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12538 /* vfnmsub213pd */, X86::VFNMSUB213PDZ256r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 12538 /* vfnmsub213pd */, X86::VFNMSUB213PDZr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 12538 /* vfnmsub213pd */, X86::VFNMSUB213PDm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 12538 /* vfnmsub213pd */, X86::VFNMSUB213PDZ128m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 12538 /* vfnmsub213pd */, X86::VFNMSUB213PDYm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 12538 /* vfnmsub213pd */, X86::VFNMSUB213PDZ256m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 12538 /* vfnmsub213pd */, X86::VFNMSUB213PDZm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 12538 /* vfnmsub213pd */, X86::VFNMSUB213PDZrb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 12538 /* vfnmsub213pd */, X86::VFNMSUB213PDZ128mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X }, },
{ 12538 /* vfnmsub213pd */, X86::VFNMSUB213PDZ256mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X }, },
{ 12538 /* vfnmsub213pd */, X86::VFNMSUB213PDZmb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512 }, },
{ 12538 /* vfnmsub213pd */, X86::VFNMSUB213PDZ128rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12538 /* vfnmsub213pd */, X86::VFNMSUB213PDZ256rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12538 /* vfnmsub213pd */, X86::VFNMSUB213PDZrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12538 /* vfnmsub213pd */, X86::VFNMSUB213PDZ128mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12538 /* vfnmsub213pd */, X86::VFNMSUB213PDZ256mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12538 /* vfnmsub213pd */, X86::VFNMSUB213PDZmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12538 /* vfnmsub213pd */, X86::VFNMSUB213PDZ128rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12538 /* vfnmsub213pd */, X86::VFNMSUB213PDZ256rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12538 /* vfnmsub213pd */, X86::VFNMSUB213PDZrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12538 /* vfnmsub213pd */, X86::VFNMSUB213PDZrbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12538 /* vfnmsub213pd */, X86::VFNMSUB213PDZ128mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12538 /* vfnmsub213pd */, X86::VFNMSUB213PDZ256mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12538 /* vfnmsub213pd */, X86::VFNMSUB213PDZmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12538 /* vfnmsub213pd */, X86::VFNMSUB213PDZ128mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12538 /* vfnmsub213pd */, X86::VFNMSUB213PDZ256mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12538 /* vfnmsub213pd */, X86::VFNMSUB213PDZmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12538 /* vfnmsub213pd */, X86::VFNMSUB213PDZrbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12538 /* vfnmsub213pd */, X86::VFNMSUB213PDZ128mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12538 /* vfnmsub213pd */, X86::VFNMSUB213PDZ256mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12538 /* vfnmsub213pd */, X86::VFNMSUB213PDZmbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12551 /* vfnmsub213ph */, X86::VFNMSUB213PHZ128r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12551 /* vfnmsub213ph */, X86::VFNMSUB213PHZ256r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 12551 /* vfnmsub213ph */, X86::VFNMSUB213PHZr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 12551 /* vfnmsub213ph */, X86::VFNMSUB213PHZ128m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 12551 /* vfnmsub213ph */, X86::VFNMSUB213PHZ256m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 12551 /* vfnmsub213ph */, X86::VFNMSUB213PHZm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 12551 /* vfnmsub213ph */, X86::VFNMSUB213PHZrb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 12551 /* vfnmsub213ph */, X86::VFNMSUB213PHZ256mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK_VR256X }, },
{ 12551 /* vfnmsub213ph */, X86::VFNMSUB213PHZmb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK_VR512 }, },
{ 12551 /* vfnmsub213ph */, X86::VFNMSUB213PHZ128mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK_FR16X }, },
{ 12551 /* vfnmsub213ph */, X86::VFNMSUB213PHZ128rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12551 /* vfnmsub213ph */, X86::VFNMSUB213PHZ256rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12551 /* vfnmsub213ph */, X86::VFNMSUB213PHZrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12551 /* vfnmsub213ph */, X86::VFNMSUB213PHZ128mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12551 /* vfnmsub213ph */, X86::VFNMSUB213PHZ256mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12551 /* vfnmsub213ph */, X86::VFNMSUB213PHZmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12551 /* vfnmsub213ph */, X86::VFNMSUB213PHZ128rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12551 /* vfnmsub213ph */, X86::VFNMSUB213PHZ256rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12551 /* vfnmsub213ph */, X86::VFNMSUB213PHZrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12551 /* vfnmsub213ph */, X86::VFNMSUB213PHZrbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12551 /* vfnmsub213ph */, X86::VFNMSUB213PHZ128mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12551 /* vfnmsub213ph */, X86::VFNMSUB213PHZ256mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12551 /* vfnmsub213ph */, X86::VFNMSUB213PHZmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12551 /* vfnmsub213ph */, X86::VFNMSUB213PHZ128mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12551 /* vfnmsub213ph */, X86::VFNMSUB213PHZ256mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12551 /* vfnmsub213ph */, X86::VFNMSUB213PHZmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12551 /* vfnmsub213ph */, X86::VFNMSUB213PHZrbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12551 /* vfnmsub213ph */, X86::VFNMSUB213PHZ256mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12551 /* vfnmsub213ph */, X86::VFNMSUB213PHZmbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12551 /* vfnmsub213ph */, X86::VFNMSUB213PHZ128mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12564 /* vfnmsub213ps */, X86::VFNMSUB213PSr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12564 /* vfnmsub213ps */, X86::VFNMSUB213PSYr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 12564 /* vfnmsub213ps */, X86::VFNMSUB213PSZ128r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12564 /* vfnmsub213ps */, X86::VFNMSUB213PSZ256r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 12564 /* vfnmsub213ps */, X86::VFNMSUB213PSZr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 12564 /* vfnmsub213ps */, X86::VFNMSUB213PSm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 12564 /* vfnmsub213ps */, X86::VFNMSUB213PSZ128m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 12564 /* vfnmsub213ps */, X86::VFNMSUB213PSYm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 12564 /* vfnmsub213ps */, X86::VFNMSUB213PSZ256m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 12564 /* vfnmsub213ps */, X86::VFNMSUB213PSZm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 12564 /* vfnmsub213ps */, X86::VFNMSUB213PSZrb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 12564 /* vfnmsub213ps */, X86::VFNMSUB213PSZmb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512 }, },
{ 12564 /* vfnmsub213ps */, X86::VFNMSUB213PSZ128mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X }, },
{ 12564 /* vfnmsub213ps */, X86::VFNMSUB213PSZ256mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X }, },
{ 12564 /* vfnmsub213ps */, X86::VFNMSUB213PSZ128rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12564 /* vfnmsub213ps */, X86::VFNMSUB213PSZ256rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12564 /* vfnmsub213ps */, X86::VFNMSUB213PSZrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12564 /* vfnmsub213ps */, X86::VFNMSUB213PSZ128mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12564 /* vfnmsub213ps */, X86::VFNMSUB213PSZ256mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12564 /* vfnmsub213ps */, X86::VFNMSUB213PSZmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12564 /* vfnmsub213ps */, X86::VFNMSUB213PSZ128rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12564 /* vfnmsub213ps */, X86::VFNMSUB213PSZ256rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12564 /* vfnmsub213ps */, X86::VFNMSUB213PSZrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12564 /* vfnmsub213ps */, X86::VFNMSUB213PSZrbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12564 /* vfnmsub213ps */, X86::VFNMSUB213PSZ128mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12564 /* vfnmsub213ps */, X86::VFNMSUB213PSZ256mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12564 /* vfnmsub213ps */, X86::VFNMSUB213PSZmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12564 /* vfnmsub213ps */, X86::VFNMSUB213PSZ128mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12564 /* vfnmsub213ps */, X86::VFNMSUB213PSZ256mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12564 /* vfnmsub213ps */, X86::VFNMSUB213PSZmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12564 /* vfnmsub213ps */, X86::VFNMSUB213PSZrbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12564 /* vfnmsub213ps */, X86::VFNMSUB213PSZmbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12564 /* vfnmsub213ps */, X86::VFNMSUB213PSZ128mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12564 /* vfnmsub213ps */, X86::VFNMSUB213PSZ256mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12577 /* vfnmsub213sd */, X86::VFNMSUB213SDr_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12577 /* vfnmsub213sd */, X86::VFNMSUB213SDZr_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12577 /* vfnmsub213sd */, X86::VFNMSUB213SDm_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16, MCK_FR16 }, },
{ 12577 /* vfnmsub213sd */, X86::VFNMSUB213SDZm_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK_FR16X }, },
{ 12577 /* vfnmsub213sd */, X86::VFNMSUB213SDZrb_Int, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12577 /* vfnmsub213sd */, X86::VFNMSUB213SDZr_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12577 /* vfnmsub213sd */, X86::VFNMSUB213SDZm_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12577 /* vfnmsub213sd */, X86::VFNMSUB213SDZr_Intkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12577 /* vfnmsub213sd */, X86::VFNMSUB213SDZrb_Intk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12577 /* vfnmsub213sd */, X86::VFNMSUB213SDZm_Intkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12577 /* vfnmsub213sd */, X86::VFNMSUB213SDZrb_Intkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12590 /* vfnmsub213sh */, X86::VFNMSUB213SHZr_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12590 /* vfnmsub213sh */, X86::VFNMSUB213SHZm_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16X, MCK_FR16X }, },
{ 12590 /* vfnmsub213sh */, X86::VFNMSUB213SHZrb_Int, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12590 /* vfnmsub213sh */, X86::VFNMSUB213SHZr_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12590 /* vfnmsub213sh */, X86::VFNMSUB213SHZm_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12590 /* vfnmsub213sh */, X86::VFNMSUB213SHZr_Intkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12590 /* vfnmsub213sh */, X86::VFNMSUB213SHZrb_Intk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12590 /* vfnmsub213sh */, X86::VFNMSUB213SHZm_Intkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12590 /* vfnmsub213sh */, X86::VFNMSUB213SHZrb_Intkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12603 /* vfnmsub213ss */, X86::VFNMSUB213SSr_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12603 /* vfnmsub213ss */, X86::VFNMSUB213SSZr_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12603 /* vfnmsub213ss */, X86::VFNMSUB213SSm_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16, MCK_FR16 }, },
{ 12603 /* vfnmsub213ss */, X86::VFNMSUB213SSZm_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X }, },
{ 12603 /* vfnmsub213ss */, X86::VFNMSUB213SSZrb_Int, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12603 /* vfnmsub213ss */, X86::VFNMSUB213SSZr_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12603 /* vfnmsub213ss */, X86::VFNMSUB213SSZm_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12603 /* vfnmsub213ss */, X86::VFNMSUB213SSZr_Intkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12603 /* vfnmsub213ss */, X86::VFNMSUB213SSZrb_Intk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12603 /* vfnmsub213ss */, X86::VFNMSUB213SSZm_Intkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12603 /* vfnmsub213ss */, X86::VFNMSUB213SSZrb_Intkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12616 /* vfnmsub231pd */, X86::VFNMSUB231PDr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12616 /* vfnmsub231pd */, X86::VFNMSUB231PDYr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 12616 /* vfnmsub231pd */, X86::VFNMSUB231PDZ128r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12616 /* vfnmsub231pd */, X86::VFNMSUB231PDZ256r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 12616 /* vfnmsub231pd */, X86::VFNMSUB231PDZr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 12616 /* vfnmsub231pd */, X86::VFNMSUB231PDm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 12616 /* vfnmsub231pd */, X86::VFNMSUB231PDZ128m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 12616 /* vfnmsub231pd */, X86::VFNMSUB231PDYm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 12616 /* vfnmsub231pd */, X86::VFNMSUB231PDZ256m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 12616 /* vfnmsub231pd */, X86::VFNMSUB231PDZm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 12616 /* vfnmsub231pd */, X86::VFNMSUB231PDZrb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 12616 /* vfnmsub231pd */, X86::VFNMSUB231PDZ128mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X }, },
{ 12616 /* vfnmsub231pd */, X86::VFNMSUB231PDZ256mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X }, },
{ 12616 /* vfnmsub231pd */, X86::VFNMSUB231PDZmb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512 }, },
{ 12616 /* vfnmsub231pd */, X86::VFNMSUB231PDZ128rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12616 /* vfnmsub231pd */, X86::VFNMSUB231PDZ256rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12616 /* vfnmsub231pd */, X86::VFNMSUB231PDZrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12616 /* vfnmsub231pd */, X86::VFNMSUB231PDZ128mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12616 /* vfnmsub231pd */, X86::VFNMSUB231PDZ256mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12616 /* vfnmsub231pd */, X86::VFNMSUB231PDZmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12616 /* vfnmsub231pd */, X86::VFNMSUB231PDZ128rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12616 /* vfnmsub231pd */, X86::VFNMSUB231PDZ256rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12616 /* vfnmsub231pd */, X86::VFNMSUB231PDZrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12616 /* vfnmsub231pd */, X86::VFNMSUB231PDZrbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12616 /* vfnmsub231pd */, X86::VFNMSUB231PDZ128mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12616 /* vfnmsub231pd */, X86::VFNMSUB231PDZ256mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12616 /* vfnmsub231pd */, X86::VFNMSUB231PDZmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12616 /* vfnmsub231pd */, X86::VFNMSUB231PDZ128mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12616 /* vfnmsub231pd */, X86::VFNMSUB231PDZ256mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12616 /* vfnmsub231pd */, X86::VFNMSUB231PDZmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12616 /* vfnmsub231pd */, X86::VFNMSUB231PDZrbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12616 /* vfnmsub231pd */, X86::VFNMSUB231PDZ128mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12616 /* vfnmsub231pd */, X86::VFNMSUB231PDZ256mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12616 /* vfnmsub231pd */, X86::VFNMSUB231PDZmbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12629 /* vfnmsub231ph */, X86::VFNMSUB231PHZ128r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12629 /* vfnmsub231ph */, X86::VFNMSUB231PHZ256r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 12629 /* vfnmsub231ph */, X86::VFNMSUB231PHZr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 12629 /* vfnmsub231ph */, X86::VFNMSUB231PHZ128m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 12629 /* vfnmsub231ph */, X86::VFNMSUB231PHZ256m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 12629 /* vfnmsub231ph */, X86::VFNMSUB231PHZm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 12629 /* vfnmsub231ph */, X86::VFNMSUB231PHZrb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 12629 /* vfnmsub231ph */, X86::VFNMSUB231PHZ256mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK_VR256X }, },
{ 12629 /* vfnmsub231ph */, X86::VFNMSUB231PHZmb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK_VR512 }, },
{ 12629 /* vfnmsub231ph */, X86::VFNMSUB231PHZ128mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK_FR16X }, },
{ 12629 /* vfnmsub231ph */, X86::VFNMSUB231PHZ128rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12629 /* vfnmsub231ph */, X86::VFNMSUB231PHZ256rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12629 /* vfnmsub231ph */, X86::VFNMSUB231PHZrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12629 /* vfnmsub231ph */, X86::VFNMSUB231PHZ128mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12629 /* vfnmsub231ph */, X86::VFNMSUB231PHZ256mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12629 /* vfnmsub231ph */, X86::VFNMSUB231PHZmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12629 /* vfnmsub231ph */, X86::VFNMSUB231PHZ128rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12629 /* vfnmsub231ph */, X86::VFNMSUB231PHZ256rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12629 /* vfnmsub231ph */, X86::VFNMSUB231PHZrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12629 /* vfnmsub231ph */, X86::VFNMSUB231PHZrbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12629 /* vfnmsub231ph */, X86::VFNMSUB231PHZ128mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12629 /* vfnmsub231ph */, X86::VFNMSUB231PHZ256mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12629 /* vfnmsub231ph */, X86::VFNMSUB231PHZmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12629 /* vfnmsub231ph */, X86::VFNMSUB231PHZ128mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12629 /* vfnmsub231ph */, X86::VFNMSUB231PHZ256mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12629 /* vfnmsub231ph */, X86::VFNMSUB231PHZmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12629 /* vfnmsub231ph */, X86::VFNMSUB231PHZrbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12629 /* vfnmsub231ph */, X86::VFNMSUB231PHZ256mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12629 /* vfnmsub231ph */, X86::VFNMSUB231PHZmbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12629 /* vfnmsub231ph */, X86::VFNMSUB231PHZ128mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12642 /* vfnmsub231ps */, X86::VFNMSUB231PSr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12642 /* vfnmsub231ps */, X86::VFNMSUB231PSYr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 12642 /* vfnmsub231ps */, X86::VFNMSUB231PSZ128r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12642 /* vfnmsub231ps */, X86::VFNMSUB231PSZ256r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 12642 /* vfnmsub231ps */, X86::VFNMSUB231PSZr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 12642 /* vfnmsub231ps */, X86::VFNMSUB231PSm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 12642 /* vfnmsub231ps */, X86::VFNMSUB231PSZ128m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 12642 /* vfnmsub231ps */, X86::VFNMSUB231PSYm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 12642 /* vfnmsub231ps */, X86::VFNMSUB231PSZ256m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 12642 /* vfnmsub231ps */, X86::VFNMSUB231PSZm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 12642 /* vfnmsub231ps */, X86::VFNMSUB231PSZrb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 12642 /* vfnmsub231ps */, X86::VFNMSUB231PSZmb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512 }, },
{ 12642 /* vfnmsub231ps */, X86::VFNMSUB231PSZ128mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X }, },
{ 12642 /* vfnmsub231ps */, X86::VFNMSUB231PSZ256mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X }, },
{ 12642 /* vfnmsub231ps */, X86::VFNMSUB231PSZ128rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12642 /* vfnmsub231ps */, X86::VFNMSUB231PSZ256rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12642 /* vfnmsub231ps */, X86::VFNMSUB231PSZrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12642 /* vfnmsub231ps */, X86::VFNMSUB231PSZ128mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12642 /* vfnmsub231ps */, X86::VFNMSUB231PSZ256mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12642 /* vfnmsub231ps */, X86::VFNMSUB231PSZmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12642 /* vfnmsub231ps */, X86::VFNMSUB231PSZ128rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12642 /* vfnmsub231ps */, X86::VFNMSUB231PSZ256rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12642 /* vfnmsub231ps */, X86::VFNMSUB231PSZrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12642 /* vfnmsub231ps */, X86::VFNMSUB231PSZrbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12642 /* vfnmsub231ps */, X86::VFNMSUB231PSZ128mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12642 /* vfnmsub231ps */, X86::VFNMSUB231PSZ256mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12642 /* vfnmsub231ps */, X86::VFNMSUB231PSZmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12642 /* vfnmsub231ps */, X86::VFNMSUB231PSZ128mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12642 /* vfnmsub231ps */, X86::VFNMSUB231PSZ256mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12642 /* vfnmsub231ps */, X86::VFNMSUB231PSZmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12642 /* vfnmsub231ps */, X86::VFNMSUB231PSZrbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12642 /* vfnmsub231ps */, X86::VFNMSUB231PSZmbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12642 /* vfnmsub231ps */, X86::VFNMSUB231PSZ128mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12642 /* vfnmsub231ps */, X86::VFNMSUB231PSZ256mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12655 /* vfnmsub231sd */, X86::VFNMSUB231SDr_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12655 /* vfnmsub231sd */, X86::VFNMSUB231SDZr_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12655 /* vfnmsub231sd */, X86::VFNMSUB231SDm_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16, MCK_FR16 }, },
{ 12655 /* vfnmsub231sd */, X86::VFNMSUB231SDZm_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK_FR16X }, },
{ 12655 /* vfnmsub231sd */, X86::VFNMSUB231SDZrb_Int, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12655 /* vfnmsub231sd */, X86::VFNMSUB231SDZr_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12655 /* vfnmsub231sd */, X86::VFNMSUB231SDZm_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12655 /* vfnmsub231sd */, X86::VFNMSUB231SDZr_Intkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12655 /* vfnmsub231sd */, X86::VFNMSUB231SDZrb_Intk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12655 /* vfnmsub231sd */, X86::VFNMSUB231SDZm_Intkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12655 /* vfnmsub231sd */, X86::VFNMSUB231SDZrb_Intkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12668 /* vfnmsub231sh */, X86::VFNMSUB231SHZr_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12668 /* vfnmsub231sh */, X86::VFNMSUB231SHZm_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16X, MCK_FR16X }, },
{ 12668 /* vfnmsub231sh */, X86::VFNMSUB231SHZrb_Int, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12668 /* vfnmsub231sh */, X86::VFNMSUB231SHZr_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12668 /* vfnmsub231sh */, X86::VFNMSUB231SHZm_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12668 /* vfnmsub231sh */, X86::VFNMSUB231SHZr_Intkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12668 /* vfnmsub231sh */, X86::VFNMSUB231SHZrb_Intk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12668 /* vfnmsub231sh */, X86::VFNMSUB231SHZm_Intkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12668 /* vfnmsub231sh */, X86::VFNMSUB231SHZrb_Intkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12681 /* vfnmsub231ss */, X86::VFNMSUB231SSr_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12681 /* vfnmsub231ss */, X86::VFNMSUB231SSZr_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12681 /* vfnmsub231ss */, X86::VFNMSUB231SSm_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16, MCK_FR16 }, },
{ 12681 /* vfnmsub231ss */, X86::VFNMSUB231SSZm_Int, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X }, },
{ 12681 /* vfnmsub231ss */, X86::VFNMSUB231SSZrb_Int, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12681 /* vfnmsub231ss */, X86::VFNMSUB231SSZr_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12681 /* vfnmsub231ss */, X86::VFNMSUB231SSZm_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12681 /* vfnmsub231ss */, X86::VFNMSUB231SSZr_Intkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12681 /* vfnmsub231ss */, X86::VFNMSUB231SSZrb_Intk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12681 /* vfnmsub231ss */, X86::VFNMSUB231SSZm_Intkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12681 /* vfnmsub231ss */, X86::VFNMSUB231SSZrb_Intkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 12694 /* vfnmsubpd */, X86::VFNMSUBPD4rr, Convert__Reg1_3__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12694 /* vfnmsubpd */, X86::VFNMSUBPD4mr, Convert__Reg1_3__Reg1_2__Mem1285_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 12694 /* vfnmsubpd */, X86::VFNMSUBPD4Yrr, Convert__Reg1_3__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 12694 /* vfnmsubpd */, X86::VFNMSUBPD4Ymr, Convert__Reg1_3__Reg1_2__Mem2565_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 12694 /* vfnmsubpd */, X86::VFNMSUBPD4rm, Convert__Reg1_3__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12694 /* vfnmsubpd */, X86::VFNMSUBPD4Yrm, Convert__Reg1_3__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 12704 /* vfnmsubps */, X86::VFNMSUBPS4rr, Convert__Reg1_3__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12704 /* vfnmsubps */, X86::VFNMSUBPS4mr, Convert__Reg1_3__Reg1_2__Mem1285_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 12704 /* vfnmsubps */, X86::VFNMSUBPS4Yrr, Convert__Reg1_3__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 12704 /* vfnmsubps */, X86::VFNMSUBPS4Ymr, Convert__Reg1_3__Reg1_2__Mem2565_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 12704 /* vfnmsubps */, X86::VFNMSUBPS4rm, Convert__Reg1_3__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12704 /* vfnmsubps */, X86::VFNMSUBPS4Yrm, Convert__Reg1_3__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 12714 /* vfnmsubsd */, X86::VFNMSUBSD4rr, Convert__Reg1_3__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12714 /* vfnmsubsd */, X86::VFNMSUBSD4mr, Convert__Reg1_3__Reg1_2__Mem645_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_Mem64, MCK_FR16, MCK_FR16 }, },
{ 12714 /* vfnmsubsd */, X86::VFNMSUBSD4rm, Convert__Reg1_3__Reg1_2__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12724 /* vfnmsubss */, X86::VFNMSUBSS4rr, Convert__Reg1_3__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12724 /* vfnmsubss */, X86::VFNMSUBSS4mr, Convert__Reg1_3__Reg1_2__Mem325_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_Mem32, MCK_FR16, MCK_FR16 }, },
{ 12724 /* vfnmsubss */, X86::VFNMSUBSS4rm, Convert__Reg1_3__Reg1_2__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12734 /* vfpclasspd */, X86::VFPCLASSPDZ128rr, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_VK1 }, },
{ 12734 /* vfpclasspd */, X86::VFPCLASSPDZ256rr, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VK1 }, },
{ 12734 /* vfpclasspd */, X86::VFPCLASSPDZrr, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VK1 }, },
{ 12734 /* vfpclasspd */, X86::VFPCLASSPDZ128rmb, Convert__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to2_125_, MCK_VK1 }, },
{ 12734 /* vfpclasspd */, X86::VFPCLASSPDZ256rmb, Convert__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to4_125_, MCK_VK1 }, },
{ 12734 /* vfpclasspd */, X86::VFPCLASSPDZrmb, Convert__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to8_125_, MCK_VK1 }, },
{ 12734 /* vfpclasspd */, X86::VFPCLASSPDZ128rrk, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12734 /* vfpclasspd */, X86::VFPCLASSPDZ256rrk, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12734 /* vfpclasspd */, X86::VFPCLASSPDZrrk, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12734 /* vfpclasspd */, X86::VFPCLASSPDZ128rmbk, Convert__Reg1_3__Reg1_5__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to2_125_, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12734 /* vfpclasspd */, X86::VFPCLASSPDZ256rmbk, Convert__Reg1_3__Reg1_5__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to4_125_, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12734 /* vfpclasspd */, X86::VFPCLASSPDZrmbk, Convert__Reg1_3__Reg1_5__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to8_125_, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12745 /* vfpclasspdx */, X86::VFPCLASSPDZ128rr, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_VK1 }, },
{ 12745 /* vfpclasspdx */, X86::VFPCLASSPDZ128rm, Convert__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_VK1 }, },
{ 12745 /* vfpclasspdx */, X86::VFPCLASSPDZ128rmb, Convert__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to2_125_, MCK_VK1 }, },
{ 12745 /* vfpclasspdx */, X86::VFPCLASSPDZ128rrk, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12745 /* vfpclasspdx */, X86::VFPCLASSPDZ128rmk, Convert__Reg1_2__Reg1_4__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12745 /* vfpclasspdx */, X86::VFPCLASSPDZ128rmbk, Convert__Reg1_3__Reg1_5__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to2_125_, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12757 /* vfpclasspdy */, X86::VFPCLASSPDZ256rr, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VK1 }, },
{ 12757 /* vfpclasspdy */, X86::VFPCLASSPDZ256rm, Convert__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VK1 }, },
{ 12757 /* vfpclasspdy */, X86::VFPCLASSPDZ256rmb, Convert__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to4_125_, MCK_VK1 }, },
{ 12757 /* vfpclasspdy */, X86::VFPCLASSPDZ256rrk, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12757 /* vfpclasspdy */, X86::VFPCLASSPDZ256rmk, Convert__Reg1_2__Reg1_4__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12757 /* vfpclasspdy */, X86::VFPCLASSPDZ256rmbk, Convert__Reg1_3__Reg1_5__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to4_125_, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12769 /* vfpclasspdz */, X86::VFPCLASSPDZrr, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VK1 }, },
{ 12769 /* vfpclasspdz */, X86::VFPCLASSPDZrm, Convert__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VK1 }, },
{ 12769 /* vfpclasspdz */, X86::VFPCLASSPDZrmb, Convert__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to8_125_, MCK_VK1 }, },
{ 12769 /* vfpclasspdz */, X86::VFPCLASSPDZrrk, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12769 /* vfpclasspdz */, X86::VFPCLASSPDZrmk, Convert__Reg1_2__Reg1_4__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12769 /* vfpclasspdz */, X86::VFPCLASSPDZrmbk, Convert__Reg1_3__Reg1_5__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to8_125_, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12781 /* vfpclassph */, X86::VFPCLASSPHZ128rr, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_VK1 }, },
{ 12781 /* vfpclassph */, X86::VFPCLASSPHZ256rr, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VK1 }, },
{ 12781 /* vfpclassph */, X86::VFPCLASSPHZrr, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VK1 }, },
{ 12781 /* vfpclassph */, X86::VFPCLASSPHZ256rmb, Convert__Reg1_3__Mem165_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem16, MCK__123_1to16_125_, MCK_VK1 }, },
{ 12781 /* vfpclassph */, X86::VFPCLASSPHZrmb, Convert__Reg1_3__Mem165_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem16, MCK__123_1to32_125_, MCK_VK1 }, },
{ 12781 /* vfpclassph */, X86::VFPCLASSPHZ128rmb, Convert__Reg1_3__Mem165_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem16, MCK__123_1to8_125_, MCK_VK1 }, },
{ 12781 /* vfpclassph */, X86::VFPCLASSPHZ128rrk, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12781 /* vfpclassph */, X86::VFPCLASSPHZ256rrk, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12781 /* vfpclassph */, X86::VFPCLASSPHZrrk, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12781 /* vfpclassph */, X86::VFPCLASSPHZ256rmbk, Convert__Reg1_3__Reg1_5__Mem165_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem16, MCK__123_1to16_125_, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12781 /* vfpclassph */, X86::VFPCLASSPHZrmbk, Convert__Reg1_3__Reg1_5__Mem165_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem16, MCK__123_1to32_125_, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12781 /* vfpclassph */, X86::VFPCLASSPHZ128rmbk, Convert__Reg1_3__Reg1_5__Mem165_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem16, MCK__123_1to8_125_, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12792 /* vfpclassphx */, X86::VFPCLASSPHZ128rr, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_VK1 }, },
{ 12792 /* vfpclassphx */, X86::VFPCLASSPHZ128rm, Convert__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_VK1 }, },
{ 12792 /* vfpclassphx */, X86::VFPCLASSPHZ128rmb, Convert__Reg1_3__Mem165_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem16, MCK__123_1to8_125_, MCK_VK1 }, },
{ 12792 /* vfpclassphx */, X86::VFPCLASSPHZ128rrk, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12792 /* vfpclassphx */, X86::VFPCLASSPHZ128rmk, Convert__Reg1_2__Reg1_4__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12792 /* vfpclassphx */, X86::VFPCLASSPHZ128rmbk, Convert__Reg1_3__Reg1_5__Mem165_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem16, MCK__123_1to8_125_, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12804 /* vfpclassphy */, X86::VFPCLASSPHZ256rr, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VK1 }, },
{ 12804 /* vfpclassphy */, X86::VFPCLASSPHZ256rm, Convert__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VK1 }, },
{ 12804 /* vfpclassphy */, X86::VFPCLASSPHZ256rmb, Convert__Reg1_3__Mem165_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem16, MCK__123_1to16_125_, MCK_VK1 }, },
{ 12804 /* vfpclassphy */, X86::VFPCLASSPHZ256rrk, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12804 /* vfpclassphy */, X86::VFPCLASSPHZ256rmk, Convert__Reg1_2__Reg1_4__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12804 /* vfpclassphy */, X86::VFPCLASSPHZ256rmbk, Convert__Reg1_3__Reg1_5__Mem165_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem16, MCK__123_1to16_125_, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12816 /* vfpclassphz */, X86::VFPCLASSPHZrr, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VK1 }, },
{ 12816 /* vfpclassphz */, X86::VFPCLASSPHZrm, Convert__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VK1 }, },
{ 12816 /* vfpclassphz */, X86::VFPCLASSPHZrmb, Convert__Reg1_3__Mem165_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem16, MCK__123_1to32_125_, MCK_VK1 }, },
{ 12816 /* vfpclassphz */, X86::VFPCLASSPHZrrk, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12816 /* vfpclassphz */, X86::VFPCLASSPHZrmk, Convert__Reg1_2__Reg1_4__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12816 /* vfpclassphz */, X86::VFPCLASSPHZrmbk, Convert__Reg1_3__Reg1_5__Mem165_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem16, MCK__123_1to32_125_, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12828 /* vfpclassps */, X86::VFPCLASSPSZ128rr, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_VK1 }, },
{ 12828 /* vfpclassps */, X86::VFPCLASSPSZ256rr, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VK1 }, },
{ 12828 /* vfpclassps */, X86::VFPCLASSPSZrr, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VK1 }, },
{ 12828 /* vfpclassps */, X86::VFPCLASSPSZrmb, Convert__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to16_125_, MCK_VK1 }, },
{ 12828 /* vfpclassps */, X86::VFPCLASSPSZ128rmb, Convert__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to4_125_, MCK_VK1 }, },
{ 12828 /* vfpclassps */, X86::VFPCLASSPSZ256rmb, Convert__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to8_125_, MCK_VK1 }, },
{ 12828 /* vfpclassps */, X86::VFPCLASSPSZ128rrk, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12828 /* vfpclassps */, X86::VFPCLASSPSZ256rrk, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12828 /* vfpclassps */, X86::VFPCLASSPSZrrk, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12828 /* vfpclassps */, X86::VFPCLASSPSZrmbk, Convert__Reg1_3__Reg1_5__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to16_125_, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12828 /* vfpclassps */, X86::VFPCLASSPSZ128rmbk, Convert__Reg1_3__Reg1_5__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to4_125_, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12828 /* vfpclassps */, X86::VFPCLASSPSZ256rmbk, Convert__Reg1_3__Reg1_5__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to8_125_, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12839 /* vfpclasspsx */, X86::VFPCLASSPSZ128rr, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_VK1 }, },
{ 12839 /* vfpclasspsx */, X86::VFPCLASSPSZ128rm, Convert__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_VK1 }, },
{ 12839 /* vfpclasspsx */, X86::VFPCLASSPSZ128rmb, Convert__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to4_125_, MCK_VK1 }, },
{ 12839 /* vfpclasspsx */, X86::VFPCLASSPSZ128rrk, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12839 /* vfpclasspsx */, X86::VFPCLASSPSZ128rmk, Convert__Reg1_2__Reg1_4__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12839 /* vfpclasspsx */, X86::VFPCLASSPSZ128rmbk, Convert__Reg1_3__Reg1_5__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to4_125_, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12851 /* vfpclasspsy */, X86::VFPCLASSPSZ256rr, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VK1 }, },
{ 12851 /* vfpclasspsy */, X86::VFPCLASSPSZ256rm, Convert__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VK1 }, },
{ 12851 /* vfpclasspsy */, X86::VFPCLASSPSZ256rmb, Convert__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to8_125_, MCK_VK1 }, },
{ 12851 /* vfpclasspsy */, X86::VFPCLASSPSZ256rrk, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12851 /* vfpclasspsy */, X86::VFPCLASSPSZ256rmk, Convert__Reg1_2__Reg1_4__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12851 /* vfpclasspsy */, X86::VFPCLASSPSZ256rmbk, Convert__Reg1_3__Reg1_5__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to8_125_, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12863 /* vfpclasspsz */, X86::VFPCLASSPSZrr, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VK1 }, },
{ 12863 /* vfpclasspsz */, X86::VFPCLASSPSZrm, Convert__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VK1 }, },
{ 12863 /* vfpclasspsz */, X86::VFPCLASSPSZrmb, Convert__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to16_125_, MCK_VK1 }, },
{ 12863 /* vfpclasspsz */, X86::VFPCLASSPSZrrk, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12863 /* vfpclasspsz */, X86::VFPCLASSPSZrmk, Convert__Reg1_2__Reg1_4__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12863 /* vfpclasspsz */, X86::VFPCLASSPSZrmbk, Convert__Reg1_3__Reg1_5__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to16_125_, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12875 /* vfpclasssd */, X86::VFPCLASSSDZrr, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_VK1 }, },
{ 12875 /* vfpclasssd */, X86::VFPCLASSSDZrm, Convert__Reg1_2__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK_VK1 }, },
{ 12875 /* vfpclasssd */, X86::VFPCLASSSDZrrk, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12875 /* vfpclasssd */, X86::VFPCLASSSDZrmk, Convert__Reg1_2__Reg1_4__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12886 /* vfpclasssh */, X86::VFPCLASSSHZrr, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_VK1 }, },
{ 12886 /* vfpclasssh */, X86::VFPCLASSSHZrm, Convert__Reg1_2__Mem165_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem16, MCK_VK1 }, },
{ 12886 /* vfpclasssh */, X86::VFPCLASSSHZrrk, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12886 /* vfpclasssh */, X86::VFPCLASSSHZrmk, Convert__Reg1_2__Reg1_4__Mem165_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem16, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12897 /* vfpclassss */, X86::VFPCLASSSSZrr, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_VK1 }, },
{ 12897 /* vfpclassss */, X86::VFPCLASSSSZrm, Convert__Reg1_2__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK_VK1 }, },
{ 12897 /* vfpclassss */, X86::VFPCLASSSSZrrk, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12897 /* vfpclassss */, X86::VFPCLASSSSZrmk, Convert__Reg1_2__Reg1_4__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12908 /* vfrczpd */, X86::VFRCZPDrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 12908 /* vfrczpd */, X86::VFRCZPDYrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256 }, },
{ 12908 /* vfrczpd */, X86::VFRCZPDrm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 12908 /* vfrczpd */, X86::VFRCZPDYrm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256 }, },
{ 12916 /* vfrczps */, X86::VFRCZPSrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 12916 /* vfrczps */, X86::VFRCZPSYrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256 }, },
{ 12916 /* vfrczps */, X86::VFRCZPSrm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 12916 /* vfrczps */, X86::VFRCZPSYrm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256 }, },
{ 12924 /* vfrczsd */, X86::VFRCZSDrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 12924 /* vfrczsd */, X86::VFRCZSDrm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16 }, },
{ 12932 /* vfrczss */, X86::VFRCZSSrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 12932 /* vfrczss */, X86::VFRCZSSrm, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16 }, },
{ 12940 /* vgatherdpd */, X86::VGATHERDPDrm, Convert__Reg1_2__Reg1_0__Tie0_1_1__Mem128_RC1285_1__Tie1_1_1, AMFBS_None, { MCK_FR16, MCK_Mem128_RC128, MCK_FR16 }, },
{ 12940 /* vgatherdpd */, X86::VGATHERDPDYrm, Convert__Reg1_2__Reg1_0__Tie0_1_1__Mem256_RC1285_1__Tie1_1_1, AMFBS_None, { MCK_VR256, MCK_Mem256_RC128, MCK_VR256 }, },
{ 12940 /* vgatherdpd */, X86::VGATHERDPDZ128rm, Convert__Reg1_1__Reg1_3__Tie0_1_1__Tie1_4_4__Mem128_RC128X5_0, AMFBS_None, { MCK_Mem128_RC128X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12940 /* vgatherdpd */, X86::VGATHERDPDZ256rm, Convert__Reg1_1__Reg1_3__Tie0_1_1__Tie1_4_4__Mem256_RC128X5_0, AMFBS_None, { MCK_Mem256_RC128X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12940 /* vgatherdpd */, X86::VGATHERDPDZrm, Convert__Reg1_1__Reg1_3__Tie0_1_1__Tie1_4_4__Mem512_RC256X5_0, AMFBS_None, { MCK_Mem512_RC256X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12951 /* vgatherdps */, X86::VGATHERDPSrm, Convert__Reg1_2__Reg1_0__Tie0_1_1__Mem128_RC1285_1__Tie1_1_1, AMFBS_None, { MCK_FR16, MCK_Mem128_RC128, MCK_FR16 }, },
{ 12951 /* vgatherdps */, X86::VGATHERDPSYrm, Convert__Reg1_2__Reg1_0__Tie0_1_1__Mem256_RC2565_1__Tie1_1_1, AMFBS_None, { MCK_VR256, MCK_Mem256_RC256, MCK_VR256 }, },
{ 12951 /* vgatherdps */, X86::VGATHERDPSZ128rm, Convert__Reg1_1__Reg1_3__Tie0_1_1__Tie1_4_4__Mem128_RC128X5_0, AMFBS_None, { MCK_Mem128_RC128X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12951 /* vgatherdps */, X86::VGATHERDPSZ256rm, Convert__Reg1_1__Reg1_3__Tie0_1_1__Tie1_4_4__Mem256_RC256X5_0, AMFBS_None, { MCK_Mem256_RC256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12951 /* vgatherdps */, X86::VGATHERDPSZrm, Convert__Reg1_1__Reg1_3__Tie0_1_1__Tie1_4_4__Mem512_RC5125_0, AMFBS_None, { MCK_Mem512_RC512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12962 /* vgatherpf0dpd */, X86::VGATHERPF0DPDm, Convert__Reg1_2__Mem512_RC256X5_0, AMFBS_None, { MCK_Mem512_RC256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12976 /* vgatherpf0dps */, X86::VGATHERPF0DPSm, Convert__Reg1_2__Mem512_RC5125_0, AMFBS_None, { MCK_Mem512_RC512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 12990 /* vgatherpf0qpd */, X86::VGATHERPF0QPDm, Convert__Reg1_2__Mem512_RC5125_0, AMFBS_None, { MCK_Mem512_RC512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13004 /* vgatherpf0qps */, X86::VGATHERPF0QPSm, Convert__Reg1_2__Mem256_RC5125_0, AMFBS_None, { MCK_Mem256_RC512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13018 /* vgatherpf1dpd */, X86::VGATHERPF1DPDm, Convert__Reg1_2__Mem512_RC256X5_0, AMFBS_None, { MCK_Mem512_RC256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13032 /* vgatherpf1dps */, X86::VGATHERPF1DPSm, Convert__Reg1_2__Mem512_RC5125_0, AMFBS_None, { MCK_Mem512_RC512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13046 /* vgatherpf1qpd */, X86::VGATHERPF1QPDm, Convert__Reg1_2__Mem512_RC5125_0, AMFBS_None, { MCK_Mem512_RC512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13060 /* vgatherpf1qps */, X86::VGATHERPF1QPSm, Convert__Reg1_2__Mem256_RC5125_0, AMFBS_None, { MCK_Mem256_RC512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13074 /* vgatherqpd */, X86::VGATHERQPDrm, Convert__Reg1_2__Reg1_0__Tie0_1_1__Mem128_RC1285_1__Tie1_1_1, AMFBS_None, { MCK_FR16, MCK_Mem128_RC128, MCK_FR16 }, },
{ 13074 /* vgatherqpd */, X86::VGATHERQPDYrm, Convert__Reg1_2__Reg1_0__Tie0_1_1__Mem256_RC2565_1__Tie1_1_1, AMFBS_None, { MCK_VR256, MCK_Mem256_RC256, MCK_VR256 }, },
{ 13074 /* vgatherqpd */, X86::VGATHERQPDZ128rm, Convert__Reg1_1__Reg1_3__Tie0_1_1__Tie1_4_4__Mem128_RC128X5_0, AMFBS_None, { MCK_Mem128_RC128X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13074 /* vgatherqpd */, X86::VGATHERQPDZ256rm, Convert__Reg1_1__Reg1_3__Tie0_1_1__Tie1_4_4__Mem256_RC256X5_0, AMFBS_None, { MCK_Mem256_RC256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13074 /* vgatherqpd */, X86::VGATHERQPDZrm, Convert__Reg1_1__Reg1_3__Tie0_1_1__Tie1_4_4__Mem512_RC5125_0, AMFBS_None, { MCK_Mem512_RC512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13085 /* vgatherqps */, X86::VGATHERQPSYrm, Convert__Reg1_2__Reg1_0__Tie0_1_1__Mem128_RC2565_1__Tie1_1_1, AMFBS_None, { MCK_FR16, MCK_Mem128_RC256, MCK_FR16 }, },
{ 13085 /* vgatherqps */, X86::VGATHERQPSrm, Convert__Reg1_2__Reg1_0__Tie0_1_1__Mem64_RC1285_1__Tie1_1_1, AMFBS_None, { MCK_FR16, MCK_Mem64_RC128, MCK_FR16 }, },
{ 13085 /* vgatherqps */, X86::VGATHERQPSZ256rm, Convert__Reg1_1__Reg1_3__Tie0_1_1__Tie1_4_4__Mem128_RC256X5_0, AMFBS_None, { MCK_Mem128_RC256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13085 /* vgatherqps */, X86::VGATHERQPSZrm, Convert__Reg1_1__Reg1_3__Tie0_1_1__Tie1_4_4__Mem256_RC5125_0, AMFBS_None, { MCK_Mem256_RC512, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13085 /* vgatherqps */, X86::VGATHERQPSZ128rm, Convert__Reg1_1__Reg1_3__Tie0_1_1__Tie1_4_4__Mem64_RC128X5_0, AMFBS_None, { MCK_Mem64_RC128X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13096 /* vgetexppd */, X86::VGETEXPPDZ128r, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 13096 /* vgetexppd */, X86::VGETEXPPDZ256r, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 13096 /* vgetexppd */, X86::VGETEXPPDZr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 13096 /* vgetexppd */, X86::VGETEXPPDZ128m, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X }, },
{ 13096 /* vgetexppd */, X86::VGETEXPPDZ256m, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X }, },
{ 13096 /* vgetexppd */, X86::VGETEXPPDZm, Convert__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512 }, },
{ 13096 /* vgetexppd */, X86::VGETEXPPDZrb, Convert__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_VR512, MCK_VR512 }, },
{ 13096 /* vgetexppd */, X86::VGETEXPPDZ128mb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X }, },
{ 13096 /* vgetexppd */, X86::VGETEXPPDZ256mb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X }, },
{ 13096 /* vgetexppd */, X86::VGETEXPPDZmb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512 }, },
{ 13096 /* vgetexppd */, X86::VGETEXPPDZ128rk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13096 /* vgetexppd */, X86::VGETEXPPDZ256rk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13096 /* vgetexppd */, X86::VGETEXPPDZrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13096 /* vgetexppd */, X86::VGETEXPPDZ128mk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13096 /* vgetexppd */, X86::VGETEXPPDZ256mk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13096 /* vgetexppd */, X86::VGETEXPPDZmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13096 /* vgetexppd */, X86::VGETEXPPDZrbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13096 /* vgetexppd */, X86::VGETEXPPDZ128rkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13096 /* vgetexppd */, X86::VGETEXPPDZ256rkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13096 /* vgetexppd */, X86::VGETEXPPDZrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13096 /* vgetexppd */, X86::VGETEXPPDZ128mkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13096 /* vgetexppd */, X86::VGETEXPPDZ256mkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13096 /* vgetexppd */, X86::VGETEXPPDZmkz, Convert__Reg1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13096 /* vgetexppd */, X86::VGETEXPPDZ128mbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13096 /* vgetexppd */, X86::VGETEXPPDZ256mbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13096 /* vgetexppd */, X86::VGETEXPPDZmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13096 /* vgetexppd */, X86::VGETEXPPDZrbkz, Convert__Reg1_2__Reg1_4__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13096 /* vgetexppd */, X86::VGETEXPPDZ128mbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13096 /* vgetexppd */, X86::VGETEXPPDZ256mbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13096 /* vgetexppd */, X86::VGETEXPPDZmbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13106 /* vgetexpph */, X86::VGETEXPPHZ128r, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 13106 /* vgetexpph */, X86::VGETEXPPHZ256r, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 13106 /* vgetexpph */, X86::VGETEXPPHZr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 13106 /* vgetexpph */, X86::VGETEXPPHZ128m, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X }, },
{ 13106 /* vgetexpph */, X86::VGETEXPPHZ256m, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X }, },
{ 13106 /* vgetexpph */, X86::VGETEXPPHZm, Convert__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512 }, },
{ 13106 /* vgetexpph */, X86::VGETEXPPHZrb, Convert__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_VR512, MCK_VR512 }, },
{ 13106 /* vgetexpph */, X86::VGETEXPPHZ256mb, Convert__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X }, },
{ 13106 /* vgetexpph */, X86::VGETEXPPHZmb, Convert__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512 }, },
{ 13106 /* vgetexpph */, X86::VGETEXPPHZ128mb, Convert__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X }, },
{ 13106 /* vgetexpph */, X86::VGETEXPPHZ128rk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13106 /* vgetexpph */, X86::VGETEXPPHZ256rk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13106 /* vgetexpph */, X86::VGETEXPPHZrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13106 /* vgetexpph */, X86::VGETEXPPHZ128mk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13106 /* vgetexpph */, X86::VGETEXPPHZ256mk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13106 /* vgetexpph */, X86::VGETEXPPHZmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13106 /* vgetexpph */, X86::VGETEXPPHZrbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13106 /* vgetexpph */, X86::VGETEXPPHZ128rkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13106 /* vgetexpph */, X86::VGETEXPPHZ256rkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13106 /* vgetexpph */, X86::VGETEXPPHZrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13106 /* vgetexpph */, X86::VGETEXPPHZ128mkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13106 /* vgetexpph */, X86::VGETEXPPHZ256mbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13106 /* vgetexpph */, X86::VGETEXPPHZmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13106 /* vgetexpph */, X86::VGETEXPPHZ128mbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13106 /* vgetexpph */, X86::VGETEXPPHZ256mkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13106 /* vgetexpph */, X86::VGETEXPPHZmkz, Convert__Reg1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13106 /* vgetexpph */, X86::VGETEXPPHZrbkz, Convert__Reg1_2__Reg1_4__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13106 /* vgetexpph */, X86::VGETEXPPHZ256mbkz, Convert__Reg1_2__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13106 /* vgetexpph */, X86::VGETEXPPHZmbkz, Convert__Reg1_2__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13106 /* vgetexpph */, X86::VGETEXPPHZ128mbkz, Convert__Reg1_2__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13116 /* vgetexpps */, X86::VGETEXPPSZ128r, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 13116 /* vgetexpps */, X86::VGETEXPPSZ256r, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 13116 /* vgetexpps */, X86::VGETEXPPSZr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 13116 /* vgetexpps */, X86::VGETEXPPSZ128m, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X }, },
{ 13116 /* vgetexpps */, X86::VGETEXPPSZ256m, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X }, },
{ 13116 /* vgetexpps */, X86::VGETEXPPSZm, Convert__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512 }, },
{ 13116 /* vgetexpps */, X86::VGETEXPPSZrb, Convert__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_VR512, MCK_VR512 }, },
{ 13116 /* vgetexpps */, X86::VGETEXPPSZmb, Convert__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512 }, },
{ 13116 /* vgetexpps */, X86::VGETEXPPSZ128mb, Convert__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X }, },
{ 13116 /* vgetexpps */, X86::VGETEXPPSZ256mb, Convert__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X }, },
{ 13116 /* vgetexpps */, X86::VGETEXPPSZ128rk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13116 /* vgetexpps */, X86::VGETEXPPSZ256rk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13116 /* vgetexpps */, X86::VGETEXPPSZrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13116 /* vgetexpps */, X86::VGETEXPPSZ128mk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13116 /* vgetexpps */, X86::VGETEXPPSZ256mk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13116 /* vgetexpps */, X86::VGETEXPPSZmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13116 /* vgetexpps */, X86::VGETEXPPSZrbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13116 /* vgetexpps */, X86::VGETEXPPSZ128rkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13116 /* vgetexpps */, X86::VGETEXPPSZ256rkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13116 /* vgetexpps */, X86::VGETEXPPSZrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13116 /* vgetexpps */, X86::VGETEXPPSZ128mkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13116 /* vgetexpps */, X86::VGETEXPPSZ256mkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13116 /* vgetexpps */, X86::VGETEXPPSZmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13116 /* vgetexpps */, X86::VGETEXPPSZ128mbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13116 /* vgetexpps */, X86::VGETEXPPSZ256mbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13116 /* vgetexpps */, X86::VGETEXPPSZmkz, Convert__Reg1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13116 /* vgetexpps */, X86::VGETEXPPSZrbkz, Convert__Reg1_2__Reg1_4__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13116 /* vgetexpps */, X86::VGETEXPPSZmbkz, Convert__Reg1_2__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13116 /* vgetexpps */, X86::VGETEXPPSZ128mbkz, Convert__Reg1_2__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13116 /* vgetexpps */, X86::VGETEXPPSZ256mbkz, Convert__Reg1_2__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13126 /* vgetexpsd */, X86::VGETEXPSDZr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 13126 /* vgetexpsd */, X86::VGETEXPSDZm, Convert__Reg1_2__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK_FR16X }, },
{ 13126 /* vgetexpsd */, X86::VGETEXPSDZrb, Convert__Reg1_3__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 13126 /* vgetexpsd */, X86::VGETEXPSDZrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13126 /* vgetexpsd */, X86::VGETEXPSDZmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13126 /* vgetexpsd */, X86::VGETEXPSDZrbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13126 /* vgetexpsd */, X86::VGETEXPSDZrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13126 /* vgetexpsd */, X86::VGETEXPSDZmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13126 /* vgetexpsd */, X86::VGETEXPSDZrbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13136 /* vgetexpsh */, X86::VGETEXPSHZr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 13136 /* vgetexpsh */, X86::VGETEXPSHZm, Convert__Reg1_2__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16X, MCK_FR16X }, },
{ 13136 /* vgetexpsh */, X86::VGETEXPSHZrb, Convert__Reg1_3__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 13136 /* vgetexpsh */, X86::VGETEXPSHZrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13136 /* vgetexpsh */, X86::VGETEXPSHZmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13136 /* vgetexpsh */, X86::VGETEXPSHZrbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13136 /* vgetexpsh */, X86::VGETEXPSHZrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13136 /* vgetexpsh */, X86::VGETEXPSHZmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13136 /* vgetexpsh */, X86::VGETEXPSHZrbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13146 /* vgetexpss */, X86::VGETEXPSSZr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 13146 /* vgetexpss */, X86::VGETEXPSSZm, Convert__Reg1_2__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X }, },
{ 13146 /* vgetexpss */, X86::VGETEXPSSZrb, Convert__Reg1_3__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 13146 /* vgetexpss */, X86::VGETEXPSSZrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13146 /* vgetexpss */, X86::VGETEXPSSZmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13146 /* vgetexpss */, X86::VGETEXPSSZrbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13146 /* vgetexpss */, X86::VGETEXPSSZrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13146 /* vgetexpss */, X86::VGETEXPSSZmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13146 /* vgetexpss */, X86::VGETEXPSSZrbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13156 /* vgetmantpd */, X86::VGETMANTPDZ128rri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X }, },
{ 13156 /* vgetmantpd */, X86::VGETMANTPDZ256rri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X }, },
{ 13156 /* vgetmantpd */, X86::VGETMANTPDZrri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512 }, },
{ 13156 /* vgetmantpd */, X86::VGETMANTPDZ128rmi, Convert__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X }, },
{ 13156 /* vgetmantpd */, X86::VGETMANTPDZ256rmi, Convert__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X }, },
{ 13156 /* vgetmantpd */, X86::VGETMANTPDZrmi, Convert__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512 }, },
{ 13156 /* vgetmantpd */, X86::VGETMANTPDZrrib, Convert__Reg1_3__Reg1_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK__123_sae_125_, MCK_VR512, MCK_VR512 }, },
{ 13156 /* vgetmantpd */, X86::VGETMANTPDZ128rmbi, Convert__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X }, },
{ 13156 /* vgetmantpd */, X86::VGETMANTPDZ256rmbi, Convert__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X }, },
{ 13156 /* vgetmantpd */, X86::VGETMANTPDZrmbi, Convert__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to8_125_, MCK_VR512 }, },
{ 13156 /* vgetmantpd */, X86::VGETMANTPDZ128rrik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13156 /* vgetmantpd */, X86::VGETMANTPDZ256rrik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13156 /* vgetmantpd */, X86::VGETMANTPDZrrik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13156 /* vgetmantpd */, X86::VGETMANTPDZ128rmik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13156 /* vgetmantpd */, X86::VGETMANTPDZ256rmik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13156 /* vgetmantpd */, X86::VGETMANTPDZrmik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13156 /* vgetmantpd */, X86::VGETMANTPDZrribk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK__123_sae_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13156 /* vgetmantpd */, X86::VGETMANTPDZ128rrikz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13156 /* vgetmantpd */, X86::VGETMANTPDZ256rrikz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13156 /* vgetmantpd */, X86::VGETMANTPDZrrikz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13156 /* vgetmantpd */, X86::VGETMANTPDZ128rmikz, Convert__Reg1_2__Reg1_4__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13156 /* vgetmantpd */, X86::VGETMANTPDZ256rmikz, Convert__Reg1_2__Reg1_4__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13156 /* vgetmantpd */, X86::VGETMANTPDZrmikz, Convert__Reg1_2__Reg1_4__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13156 /* vgetmantpd */, X86::VGETMANTPDZ128rmbik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13156 /* vgetmantpd */, X86::VGETMANTPDZ256rmbik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13156 /* vgetmantpd */, X86::VGETMANTPDZrmbik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13156 /* vgetmantpd */, X86::VGETMANTPDZrribkz, Convert__Reg1_3__Reg1_5__Reg1_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK__123_sae_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13156 /* vgetmantpd */, X86::VGETMANTPDZ128rmbikz, Convert__Reg1_3__Reg1_5__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13156 /* vgetmantpd */, X86::VGETMANTPDZ256rmbikz, Convert__Reg1_3__Reg1_5__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13156 /* vgetmantpd */, X86::VGETMANTPDZrmbikz, Convert__Reg1_3__Reg1_5__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13167 /* vgetmantph */, X86::VGETMANTPHZ128rri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X }, },
{ 13167 /* vgetmantph */, X86::VGETMANTPHZ256rri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X }, },
{ 13167 /* vgetmantph */, X86::VGETMANTPHZrri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512 }, },
{ 13167 /* vgetmantph */, X86::VGETMANTPHZ128rmi, Convert__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X }, },
{ 13167 /* vgetmantph */, X86::VGETMANTPHZ256rmi, Convert__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X }, },
{ 13167 /* vgetmantph */, X86::VGETMANTPHZrmi, Convert__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512 }, },
{ 13167 /* vgetmantph */, X86::VGETMANTPHZrrib, Convert__Reg1_3__Reg1_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK__123_sae_125_, MCK_VR512, MCK_VR512 }, },
{ 13167 /* vgetmantph */, X86::VGETMANTPHZ256rmbi, Convert__Reg1_3__Mem165_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X }, },
{ 13167 /* vgetmantph */, X86::VGETMANTPHZrmbi, Convert__Reg1_3__Mem165_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem16, MCK__123_1to32_125_, MCK_VR512 }, },
{ 13167 /* vgetmantph */, X86::VGETMANTPHZ128rmbi, Convert__Reg1_3__Mem165_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X }, },
{ 13167 /* vgetmantph */, X86::VGETMANTPHZ128rrik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13167 /* vgetmantph */, X86::VGETMANTPHZ256rrik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13167 /* vgetmantph */, X86::VGETMANTPHZrrik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13167 /* vgetmantph */, X86::VGETMANTPHZ128rmik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13167 /* vgetmantph */, X86::VGETMANTPHZ256rmik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13167 /* vgetmantph */, X86::VGETMANTPHZrmik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13167 /* vgetmantph */, X86::VGETMANTPHZrribk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK__123_sae_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13167 /* vgetmantph */, X86::VGETMANTPHZ128rrikz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13167 /* vgetmantph */, X86::VGETMANTPHZ256rrikz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13167 /* vgetmantph */, X86::VGETMANTPHZrrikz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13167 /* vgetmantph */, X86::VGETMANTPHZ128rmikz, Convert__Reg1_2__Reg1_4__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13167 /* vgetmantph */, X86::VGETMANTPHZ256rmbik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Mem165_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13167 /* vgetmantph */, X86::VGETMANTPHZrmbik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Mem165_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13167 /* vgetmantph */, X86::VGETMANTPHZ128rmbik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Mem165_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13167 /* vgetmantph */, X86::VGETMANTPHZ256rmikz, Convert__Reg1_2__Reg1_4__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13167 /* vgetmantph */, X86::VGETMANTPHZrmikz, Convert__Reg1_2__Reg1_4__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13167 /* vgetmantph */, X86::VGETMANTPHZrribkz, Convert__Reg1_3__Reg1_5__Reg1_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK__123_sae_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13167 /* vgetmantph */, X86::VGETMANTPHZ256rmbikz, Convert__Reg1_3__Reg1_5__Mem165_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13167 /* vgetmantph */, X86::VGETMANTPHZrmbikz, Convert__Reg1_3__Reg1_5__Mem165_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13167 /* vgetmantph */, X86::VGETMANTPHZ128rmbikz, Convert__Reg1_3__Reg1_5__Mem165_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13178 /* vgetmantps */, X86::VGETMANTPSZ128rri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X }, },
{ 13178 /* vgetmantps */, X86::VGETMANTPSZ256rri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X }, },
{ 13178 /* vgetmantps */, X86::VGETMANTPSZrri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512 }, },
{ 13178 /* vgetmantps */, X86::VGETMANTPSZ128rmi, Convert__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X }, },
{ 13178 /* vgetmantps */, X86::VGETMANTPSZ256rmi, Convert__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X }, },
{ 13178 /* vgetmantps */, X86::VGETMANTPSZrmi, Convert__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512 }, },
{ 13178 /* vgetmantps */, X86::VGETMANTPSZrrib, Convert__Reg1_3__Reg1_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK__123_sae_125_, MCK_VR512, MCK_VR512 }, },
{ 13178 /* vgetmantps */, X86::VGETMANTPSZrmbi, Convert__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to16_125_, MCK_VR512 }, },
{ 13178 /* vgetmantps */, X86::VGETMANTPSZ128rmbi, Convert__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X }, },
{ 13178 /* vgetmantps */, X86::VGETMANTPSZ256rmbi, Convert__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X }, },
{ 13178 /* vgetmantps */, X86::VGETMANTPSZ128rrik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13178 /* vgetmantps */, X86::VGETMANTPSZ256rrik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13178 /* vgetmantps */, X86::VGETMANTPSZrrik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13178 /* vgetmantps */, X86::VGETMANTPSZ128rmik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13178 /* vgetmantps */, X86::VGETMANTPSZ256rmik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13178 /* vgetmantps */, X86::VGETMANTPSZrmik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13178 /* vgetmantps */, X86::VGETMANTPSZrribk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK__123_sae_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13178 /* vgetmantps */, X86::VGETMANTPSZ128rrikz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13178 /* vgetmantps */, X86::VGETMANTPSZ256rrikz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13178 /* vgetmantps */, X86::VGETMANTPSZrrikz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13178 /* vgetmantps */, X86::VGETMANTPSZ128rmikz, Convert__Reg1_2__Reg1_4__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13178 /* vgetmantps */, X86::VGETMANTPSZ256rmikz, Convert__Reg1_2__Reg1_4__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13178 /* vgetmantps */, X86::VGETMANTPSZrmbik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13178 /* vgetmantps */, X86::VGETMANTPSZ128rmbik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13178 /* vgetmantps */, X86::VGETMANTPSZ256rmbik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13178 /* vgetmantps */, X86::VGETMANTPSZrmikz, Convert__Reg1_2__Reg1_4__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13178 /* vgetmantps */, X86::VGETMANTPSZrribkz, Convert__Reg1_3__Reg1_5__Reg1_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK__123_sae_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13178 /* vgetmantps */, X86::VGETMANTPSZrmbikz, Convert__Reg1_3__Reg1_5__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13178 /* vgetmantps */, X86::VGETMANTPSZ128rmbikz, Convert__Reg1_3__Reg1_5__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13178 /* vgetmantps */, X86::VGETMANTPSZ256rmbikz, Convert__Reg1_3__Reg1_5__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13189 /* vgetmantsd */, X86::VGETMANTSDZrri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 13189 /* vgetmantsd */, X86::VGETMANTSDZrmi, Convert__Reg1_3__Reg1_2__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK_FR16X, MCK_FR16X }, },
{ 13189 /* vgetmantsd */, X86::VGETMANTSDZrrib, Convert__Reg1_4__Reg1_3__Reg1_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK__123_sae_125_, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 13189 /* vgetmantsd */, X86::VGETMANTSDZrrik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13189 /* vgetmantsd */, X86::VGETMANTSDZrmik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13189 /* vgetmantsd */, X86::VGETMANTSDZrribk, Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Reg1_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK__123_sae_125_, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13189 /* vgetmantsd */, X86::VGETMANTSDZrrikz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13189 /* vgetmantsd */, X86::VGETMANTSDZrmikz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13189 /* vgetmantsd */, X86::VGETMANTSDZrribkz, Convert__Reg1_4__Reg1_6__Reg1_3__Reg1_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK__123_sae_125_, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13200 /* vgetmantsh */, X86::VGETMANTSHZrri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 13200 /* vgetmantsh */, X86::VGETMANTSHZrmi, Convert__Reg1_3__Reg1_2__Mem165_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem16, MCK_FR16X, MCK_FR16X }, },
{ 13200 /* vgetmantsh */, X86::VGETMANTSHZrrib, Convert__Reg1_4__Reg1_3__Reg1_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK__123_sae_125_, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 13200 /* vgetmantsh */, X86::VGETMANTSHZrrik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13200 /* vgetmantsh */, X86::VGETMANTSHZrmik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem16, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13200 /* vgetmantsh */, X86::VGETMANTSHZrribk, Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Reg1_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK__123_sae_125_, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13200 /* vgetmantsh */, X86::VGETMANTSHZrrikz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13200 /* vgetmantsh */, X86::VGETMANTSHZrmikz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem165_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem16, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13200 /* vgetmantsh */, X86::VGETMANTSHZrribkz, Convert__Reg1_4__Reg1_6__Reg1_3__Reg1_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK__123_sae_125_, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13211 /* vgetmantss */, X86::VGETMANTSSZrri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 13211 /* vgetmantss */, X86::VGETMANTSSZrmi, Convert__Reg1_3__Reg1_2__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK_FR16X, MCK_FR16X }, },
{ 13211 /* vgetmantss */, X86::VGETMANTSSZrrib, Convert__Reg1_4__Reg1_3__Reg1_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK__123_sae_125_, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 13211 /* vgetmantss */, X86::VGETMANTSSZrrik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13211 /* vgetmantss */, X86::VGETMANTSSZrmik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13211 /* vgetmantss */, X86::VGETMANTSSZrribk, Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Reg1_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK__123_sae_125_, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13211 /* vgetmantss */, X86::VGETMANTSSZrrikz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13211 /* vgetmantss */, X86::VGETMANTSSZrmikz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13211 /* vgetmantss */, X86::VGETMANTSSZrribkz, Convert__Reg1_4__Reg1_6__Reg1_3__Reg1_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK__123_sae_125_, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13222 /* vgf2p8affineinvqb */, X86::VGF2P8AFFINEINVQBrri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 13222 /* vgf2p8affineinvqb */, X86::VGF2P8AFFINEINVQBYrri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 13222 /* vgf2p8affineinvqb */, X86::VGF2P8AFFINEINVQBZ128rri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 13222 /* vgf2p8affineinvqb */, X86::VGF2P8AFFINEINVQBZ256rri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 13222 /* vgf2p8affineinvqb */, X86::VGF2P8AFFINEINVQBZrri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 13222 /* vgf2p8affineinvqb */, X86::VGF2P8AFFINEINVQBrmi, Convert__Reg1_3__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 13222 /* vgf2p8affineinvqb */, X86::VGF2P8AFFINEINVQBZ128rmi, Convert__Reg1_3__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 13222 /* vgf2p8affineinvqb */, X86::VGF2P8AFFINEINVQBYrmi, Convert__Reg1_3__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 13222 /* vgf2p8affineinvqb */, X86::VGF2P8AFFINEINVQBZ256rmi, Convert__Reg1_3__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 13222 /* vgf2p8affineinvqb */, X86::VGF2P8AFFINEINVQBZrmi, Convert__Reg1_3__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 13222 /* vgf2p8affineinvqb */, X86::VGF2P8AFFINEINVQBZ128rmbi, Convert__Reg1_4__Reg1_3__Mem85_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem8, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X }, },
{ 13222 /* vgf2p8affineinvqb */, X86::VGF2P8AFFINEINVQBZ256rmbi, Convert__Reg1_4__Reg1_3__Mem85_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem8, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X }, },
{ 13222 /* vgf2p8affineinvqb */, X86::VGF2P8AFFINEINVQBZrmbi, Convert__Reg1_4__Reg1_3__Mem85_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem8, MCK__123_1to8_125_, MCK_VR512, MCK_VR512 }, },
{ 13222 /* vgf2p8affineinvqb */, X86::VGF2P8AFFINEINVQBZ128rrik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13222 /* vgf2p8affineinvqb */, X86::VGF2P8AFFINEINVQBZ256rrik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13222 /* vgf2p8affineinvqb */, X86::VGF2P8AFFINEINVQBZrrik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13222 /* vgf2p8affineinvqb */, X86::VGF2P8AFFINEINVQBZ128rmik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13222 /* vgf2p8affineinvqb */, X86::VGF2P8AFFINEINVQBZ256rmik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13222 /* vgf2p8affineinvqb */, X86::VGF2P8AFFINEINVQBZrmik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13222 /* vgf2p8affineinvqb */, X86::VGF2P8AFFINEINVQBZ128rrikz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13222 /* vgf2p8affineinvqb */, X86::VGF2P8AFFINEINVQBZ256rrikz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13222 /* vgf2p8affineinvqb */, X86::VGF2P8AFFINEINVQBZrrikz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13222 /* vgf2p8affineinvqb */, X86::VGF2P8AFFINEINVQBZ128rmikz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13222 /* vgf2p8affineinvqb */, X86::VGF2P8AFFINEINVQBZ256rmikz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13222 /* vgf2p8affineinvqb */, X86::VGF2P8AFFINEINVQBZrmikz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13222 /* vgf2p8affineinvqb */, X86::VGF2P8AFFINEINVQBZ128rmbik, Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Mem85_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem8, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13222 /* vgf2p8affineinvqb */, X86::VGF2P8AFFINEINVQBZ256rmbik, Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Mem85_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem8, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13222 /* vgf2p8affineinvqb */, X86::VGF2P8AFFINEINVQBZrmbik, Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Mem85_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem8, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13222 /* vgf2p8affineinvqb */, X86::VGF2P8AFFINEINVQBZ128rmbikz, Convert__Reg1_4__Reg1_6__Reg1_3__Mem85_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem8, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13222 /* vgf2p8affineinvqb */, X86::VGF2P8AFFINEINVQBZ256rmbikz, Convert__Reg1_4__Reg1_6__Reg1_3__Mem85_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem8, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13222 /* vgf2p8affineinvqb */, X86::VGF2P8AFFINEINVQBZrmbikz, Convert__Reg1_4__Reg1_6__Reg1_3__Mem85_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem8, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13240 /* vgf2p8affineqb */, X86::VGF2P8AFFINEQBrri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 13240 /* vgf2p8affineqb */, X86::VGF2P8AFFINEQBYrri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 13240 /* vgf2p8affineqb */, X86::VGF2P8AFFINEQBZ128rri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 13240 /* vgf2p8affineqb */, X86::VGF2P8AFFINEQBZ256rri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 13240 /* vgf2p8affineqb */, X86::VGF2P8AFFINEQBZrri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 13240 /* vgf2p8affineqb */, X86::VGF2P8AFFINEQBrmi, Convert__Reg1_3__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 13240 /* vgf2p8affineqb */, X86::VGF2P8AFFINEQBZ128rmi, Convert__Reg1_3__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 13240 /* vgf2p8affineqb */, X86::VGF2P8AFFINEQBYrmi, Convert__Reg1_3__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 13240 /* vgf2p8affineqb */, X86::VGF2P8AFFINEQBZ256rmi, Convert__Reg1_3__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 13240 /* vgf2p8affineqb */, X86::VGF2P8AFFINEQBZrmi, Convert__Reg1_3__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 13240 /* vgf2p8affineqb */, X86::VGF2P8AFFINEQBZ128rmbi, Convert__Reg1_4__Reg1_3__Mem85_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem8, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X }, },
{ 13240 /* vgf2p8affineqb */, X86::VGF2P8AFFINEQBZ256rmbi, Convert__Reg1_4__Reg1_3__Mem85_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem8, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X }, },
{ 13240 /* vgf2p8affineqb */, X86::VGF2P8AFFINEQBZrmbi, Convert__Reg1_4__Reg1_3__Mem85_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem8, MCK__123_1to8_125_, MCK_VR512, MCK_VR512 }, },
{ 13240 /* vgf2p8affineqb */, X86::VGF2P8AFFINEQBZ128rrik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13240 /* vgf2p8affineqb */, X86::VGF2P8AFFINEQBZ256rrik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13240 /* vgf2p8affineqb */, X86::VGF2P8AFFINEQBZrrik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13240 /* vgf2p8affineqb */, X86::VGF2P8AFFINEQBZ128rmik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13240 /* vgf2p8affineqb */, X86::VGF2P8AFFINEQBZ256rmik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13240 /* vgf2p8affineqb */, X86::VGF2P8AFFINEQBZrmik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13240 /* vgf2p8affineqb */, X86::VGF2P8AFFINEQBZ128rrikz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13240 /* vgf2p8affineqb */, X86::VGF2P8AFFINEQBZ256rrikz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13240 /* vgf2p8affineqb */, X86::VGF2P8AFFINEQBZrrikz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13240 /* vgf2p8affineqb */, X86::VGF2P8AFFINEQBZ128rmikz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13240 /* vgf2p8affineqb */, X86::VGF2P8AFFINEQBZ256rmikz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13240 /* vgf2p8affineqb */, X86::VGF2P8AFFINEQBZrmikz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13240 /* vgf2p8affineqb */, X86::VGF2P8AFFINEQBZ128rmbik, Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Mem85_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem8, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13240 /* vgf2p8affineqb */, X86::VGF2P8AFFINEQBZ256rmbik, Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Mem85_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem8, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13240 /* vgf2p8affineqb */, X86::VGF2P8AFFINEQBZrmbik, Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Mem85_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem8, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13240 /* vgf2p8affineqb */, X86::VGF2P8AFFINEQBZ128rmbikz, Convert__Reg1_4__Reg1_6__Reg1_3__Mem85_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem8, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13240 /* vgf2p8affineqb */, X86::VGF2P8AFFINEQBZ256rmbikz, Convert__Reg1_4__Reg1_6__Reg1_3__Mem85_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem8, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13240 /* vgf2p8affineqb */, X86::VGF2P8AFFINEQBZrmbikz, Convert__Reg1_4__Reg1_6__Reg1_3__Mem85_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem8, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13255 /* vgf2p8mulb */, X86::VGF2P8MULBrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 13255 /* vgf2p8mulb */, X86::VGF2P8MULBYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 13255 /* vgf2p8mulb */, X86::VGF2P8MULBZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 13255 /* vgf2p8mulb */, X86::VGF2P8MULBZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 13255 /* vgf2p8mulb */, X86::VGF2P8MULBZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 13255 /* vgf2p8mulb */, X86::VGF2P8MULBrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 13255 /* vgf2p8mulb */, X86::VGF2P8MULBZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 13255 /* vgf2p8mulb */, X86::VGF2P8MULBYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 13255 /* vgf2p8mulb */, X86::VGF2P8MULBZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 13255 /* vgf2p8mulb */, X86::VGF2P8MULBZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 13255 /* vgf2p8mulb */, X86::VGF2P8MULBZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13255 /* vgf2p8mulb */, X86::VGF2P8MULBZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13255 /* vgf2p8mulb */, X86::VGF2P8MULBZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13255 /* vgf2p8mulb */, X86::VGF2P8MULBZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13255 /* vgf2p8mulb */, X86::VGF2P8MULBZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13255 /* vgf2p8mulb */, X86::VGF2P8MULBZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13255 /* vgf2p8mulb */, X86::VGF2P8MULBZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13255 /* vgf2p8mulb */, X86::VGF2P8MULBZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13255 /* vgf2p8mulb */, X86::VGF2P8MULBZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13255 /* vgf2p8mulb */, X86::VGF2P8MULBZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13255 /* vgf2p8mulb */, X86::VGF2P8MULBZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13255 /* vgf2p8mulb */, X86::VGF2P8MULBZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13266 /* vhaddpd */, X86::VHADDPDrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 13266 /* vhaddpd */, X86::VHADDPDYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 13266 /* vhaddpd */, X86::VHADDPDrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 13266 /* vhaddpd */, X86::VHADDPDYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 13274 /* vhaddps */, X86::VHADDPSrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 13274 /* vhaddps */, X86::VHADDPSYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 13274 /* vhaddps */, X86::VHADDPSrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 13274 /* vhaddps */, X86::VHADDPSYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 13282 /* vhsubpd */, X86::VHSUBPDrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 13282 /* vhsubpd */, X86::VHSUBPDYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 13282 /* vhsubpd */, X86::VHSUBPDrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 13282 /* vhsubpd */, X86::VHSUBPDYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 13290 /* vhsubps */, X86::VHSUBPSrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 13290 /* vhsubps */, X86::VHSUBPSYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 13290 /* vhsubps */, X86::VHSUBPSrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 13290 /* vhsubps */, X86::VHSUBPSYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 13298 /* vinsertf128 */, X86::VINSERTF128rr, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_VR256, MCK_VR256 }, },
{ 13298 /* vinsertf128 */, X86::VINSERTF128rm, Convert__Reg1_3__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_VR256, MCK_VR256 }, },
{ 13310 /* vinsertf32x4 */, X86::VINSERTF32x4Z256rr, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_VR256X, MCK_VR256X }, },
{ 13310 /* vinsertf32x4 */, X86::VINSERTF32x4Zrr, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_VR512, MCK_VR512 }, },
{ 13310 /* vinsertf32x4 */, X86::VINSERTF32x4Z256rm, Convert__Reg1_3__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_VR256X, MCK_VR256X }, },
{ 13310 /* vinsertf32x4 */, X86::VINSERTF32x4Zrm, Convert__Reg1_3__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_VR512, MCK_VR512 }, },
{ 13310 /* vinsertf32x4 */, X86::VINSERTF32x4Z256rrk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13310 /* vinsertf32x4 */, X86::VINSERTF32x4Zrrk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13310 /* vinsertf32x4 */, X86::VINSERTF32x4Z256rmk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13310 /* vinsertf32x4 */, X86::VINSERTF32x4Zrmk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13310 /* vinsertf32x4 */, X86::VINSERTF32x4Z256rrkz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13310 /* vinsertf32x4 */, X86::VINSERTF32x4Zrrkz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13310 /* vinsertf32x4 */, X86::VINSERTF32x4Z256rmkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13310 /* vinsertf32x4 */, X86::VINSERTF32x4Zrmkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13323 /* vinsertf32x8 */, X86::VINSERTF32x8Zrr, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR512, MCK_VR512 }, },
{ 13323 /* vinsertf32x8 */, X86::VINSERTF32x8Zrm, Convert__Reg1_3__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR512, MCK_VR512 }, },
{ 13323 /* vinsertf32x8 */, X86::VINSERTF32x8Zrrk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13323 /* vinsertf32x8 */, X86::VINSERTF32x8Zrmk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13323 /* vinsertf32x8 */, X86::VINSERTF32x8Zrrkz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13323 /* vinsertf32x8 */, X86::VINSERTF32x8Zrmkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13336 /* vinsertf64x2 */, X86::VINSERTF64x2Z256rr, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_VR256X, MCK_VR256X }, },
{ 13336 /* vinsertf64x2 */, X86::VINSERTF64x2Zrr, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_VR512, MCK_VR512 }, },
{ 13336 /* vinsertf64x2 */, X86::VINSERTF64x2Z256rm, Convert__Reg1_3__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_VR256X, MCK_VR256X }, },
{ 13336 /* vinsertf64x2 */, X86::VINSERTF64x2Zrm, Convert__Reg1_3__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_VR512, MCK_VR512 }, },
{ 13336 /* vinsertf64x2 */, X86::VINSERTF64x2Z256rrk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13336 /* vinsertf64x2 */, X86::VINSERTF64x2Zrrk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13336 /* vinsertf64x2 */, X86::VINSERTF64x2Z256rmk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13336 /* vinsertf64x2 */, X86::VINSERTF64x2Zrmk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13336 /* vinsertf64x2 */, X86::VINSERTF64x2Z256rrkz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13336 /* vinsertf64x2 */, X86::VINSERTF64x2Zrrkz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13336 /* vinsertf64x2 */, X86::VINSERTF64x2Z256rmkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13336 /* vinsertf64x2 */, X86::VINSERTF64x2Zrmkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13349 /* vinsertf64x4 */, X86::VINSERTF64x4Zrr, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR512, MCK_VR512 }, },
{ 13349 /* vinsertf64x4 */, X86::VINSERTF64x4Zrm, Convert__Reg1_3__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR512, MCK_VR512 }, },
{ 13349 /* vinsertf64x4 */, X86::VINSERTF64x4Zrrk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13349 /* vinsertf64x4 */, X86::VINSERTF64x4Zrmk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13349 /* vinsertf64x4 */, X86::VINSERTF64x4Zrrkz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13349 /* vinsertf64x4 */, X86::VINSERTF64x4Zrmkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13362 /* vinserti128 */, X86::VINSERTI128rr, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_VR256, MCK_VR256 }, },
{ 13362 /* vinserti128 */, X86::VINSERTI128rm, Convert__Reg1_3__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_VR256, MCK_VR256 }, },
{ 13374 /* vinserti32x4 */, X86::VINSERTI32x4Z256rr, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_VR256X, MCK_VR256X }, },
{ 13374 /* vinserti32x4 */, X86::VINSERTI32x4Zrr, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_VR512, MCK_VR512 }, },
{ 13374 /* vinserti32x4 */, X86::VINSERTI32x4Z256rm, Convert__Reg1_3__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_VR256X, MCK_VR256X }, },
{ 13374 /* vinserti32x4 */, X86::VINSERTI32x4Zrm, Convert__Reg1_3__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_VR512, MCK_VR512 }, },
{ 13374 /* vinserti32x4 */, X86::VINSERTI32x4Z256rrk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13374 /* vinserti32x4 */, X86::VINSERTI32x4Zrrk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13374 /* vinserti32x4 */, X86::VINSERTI32x4Z256rmk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13374 /* vinserti32x4 */, X86::VINSERTI32x4Zrmk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13374 /* vinserti32x4 */, X86::VINSERTI32x4Z256rrkz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13374 /* vinserti32x4 */, X86::VINSERTI32x4Zrrkz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13374 /* vinserti32x4 */, X86::VINSERTI32x4Z256rmkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13374 /* vinserti32x4 */, X86::VINSERTI32x4Zrmkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13387 /* vinserti32x8 */, X86::VINSERTI32x8Zrr, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR512, MCK_VR512 }, },
{ 13387 /* vinserti32x8 */, X86::VINSERTI32x8Zrm, Convert__Reg1_3__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR512, MCK_VR512 }, },
{ 13387 /* vinserti32x8 */, X86::VINSERTI32x8Zrrk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13387 /* vinserti32x8 */, X86::VINSERTI32x8Zrmk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13387 /* vinserti32x8 */, X86::VINSERTI32x8Zrrkz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13387 /* vinserti32x8 */, X86::VINSERTI32x8Zrmkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13400 /* vinserti64x2 */, X86::VINSERTI64x2Z256rr, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_VR256X, MCK_VR256X }, },
{ 13400 /* vinserti64x2 */, X86::VINSERTI64x2Zrr, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_VR512, MCK_VR512 }, },
{ 13400 /* vinserti64x2 */, X86::VINSERTI64x2Z256rm, Convert__Reg1_3__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_VR256X, MCK_VR256X }, },
{ 13400 /* vinserti64x2 */, X86::VINSERTI64x2Zrm, Convert__Reg1_3__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_VR512, MCK_VR512 }, },
{ 13400 /* vinserti64x2 */, X86::VINSERTI64x2Z256rrk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13400 /* vinserti64x2 */, X86::VINSERTI64x2Zrrk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13400 /* vinserti64x2 */, X86::VINSERTI64x2Z256rmk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13400 /* vinserti64x2 */, X86::VINSERTI64x2Zrmk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13400 /* vinserti64x2 */, X86::VINSERTI64x2Z256rrkz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13400 /* vinserti64x2 */, X86::VINSERTI64x2Zrrkz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13400 /* vinserti64x2 */, X86::VINSERTI64x2Z256rmkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13400 /* vinserti64x2 */, X86::VINSERTI64x2Zrmkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13413 /* vinserti64x4 */, X86::VINSERTI64x4Zrr, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR512, MCK_VR512 }, },
{ 13413 /* vinserti64x4 */, X86::VINSERTI64x4Zrm, Convert__Reg1_3__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR512, MCK_VR512 }, },
{ 13413 /* vinserti64x4 */, X86::VINSERTI64x4Zrrk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13413 /* vinserti64x4 */, X86::VINSERTI64x4Zrmk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13413 /* vinserti64x4 */, X86::VINSERTI64x4Zrrkz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13413 /* vinserti64x4 */, X86::VINSERTI64x4Zrmkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13426 /* vinsertps */, X86::VINSERTPSrr, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 13426 /* vinsertps */, X86::VINSERTPSZrr, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 13426 /* vinsertps */, X86::VINSERTPSrm, Convert__Reg1_3__Reg1_2__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK_FR16, MCK_FR16 }, },
{ 13426 /* vinsertps */, X86::VINSERTPSZrm, Convert__Reg1_3__Reg1_2__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK_FR16X, MCK_FR16X }, },
{ 13436 /* vlddqu */, X86::VLDDQUrm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 13436 /* vlddqu */, X86::VLDDQUYrm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256 }, },
{ 13443 /* vldmxcsr */, X86::VLDMXCSR, Convert__Mem325_0, AMFBS_None, { MCK_Mem32 }, },
{ 13452 /* vmaskmovdqu */, X86::VMASKMOVDQU64, Convert__Reg1_1__Reg1_0, AMFBS_In64BitMode, { MCK_FR16, MCK_FR16 }, },
{ 13452 /* vmaskmovdqu */, X86::VMASKMOVDQU, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 13464 /* vmaskmovpd */, X86::VMASKMOVPDmr, Convert__Mem1285_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 13464 /* vmaskmovpd */, X86::VMASKMOVPDYmr, Convert__Mem2565_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 13464 /* vmaskmovpd */, X86::VMASKMOVPDrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 13464 /* vmaskmovpd */, X86::VMASKMOVPDYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 13475 /* vmaskmovps */, X86::VMASKMOVPSmr, Convert__Mem1285_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 13475 /* vmaskmovps */, X86::VMASKMOVPSYmr, Convert__Mem2565_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 13475 /* vmaskmovps */, X86::VMASKMOVPSrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 13475 /* vmaskmovps */, X86::VMASKMOVPSYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 13486 /* vmaxpd */, X86::VMAXPDrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 13486 /* vmaxpd */, X86::VMAXPDYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 13486 /* vmaxpd */, X86::VMAXPDZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 13486 /* vmaxpd */, X86::VMAXPDZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 13486 /* vmaxpd */, X86::VMAXPDZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 13486 /* vmaxpd */, X86::VMAXPDrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 13486 /* vmaxpd */, X86::VMAXPDZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 13486 /* vmaxpd */, X86::VMAXPDYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 13486 /* vmaxpd */, X86::VMAXPDZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 13486 /* vmaxpd */, X86::VMAXPDZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 13486 /* vmaxpd */, X86::VMAXPDZrrb, Convert__Reg1_3__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 13486 /* vmaxpd */, X86::VMAXPDZ128rmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X }, },
{ 13486 /* vmaxpd */, X86::VMAXPDZ256rmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X }, },
{ 13486 /* vmaxpd */, X86::VMAXPDZrmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512 }, },
{ 13486 /* vmaxpd */, X86::VMAXPDZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13486 /* vmaxpd */, X86::VMAXPDZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13486 /* vmaxpd */, X86::VMAXPDZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13486 /* vmaxpd */, X86::VMAXPDZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13486 /* vmaxpd */, X86::VMAXPDZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13486 /* vmaxpd */, X86::VMAXPDZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13486 /* vmaxpd */, X86::VMAXPDZrrbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13486 /* vmaxpd */, X86::VMAXPDZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13486 /* vmaxpd */, X86::VMAXPDZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13486 /* vmaxpd */, X86::VMAXPDZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13486 /* vmaxpd */, X86::VMAXPDZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13486 /* vmaxpd */, X86::VMAXPDZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13486 /* vmaxpd */, X86::VMAXPDZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13486 /* vmaxpd */, X86::VMAXPDZ128rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13486 /* vmaxpd */, X86::VMAXPDZ256rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13486 /* vmaxpd */, X86::VMAXPDZrmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13486 /* vmaxpd */, X86::VMAXPDZrrbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13486 /* vmaxpd */, X86::VMAXPDZ128rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13486 /* vmaxpd */, X86::VMAXPDZ256rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13486 /* vmaxpd */, X86::VMAXPDZrmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13493 /* vmaxph */, X86::VMAXPHZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 13493 /* vmaxph */, X86::VMAXPHZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 13493 /* vmaxph */, X86::VMAXPHZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 13493 /* vmaxph */, X86::VMAXPHZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 13493 /* vmaxph */, X86::VMAXPHZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 13493 /* vmaxph */, X86::VMAXPHZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 13493 /* vmaxph */, X86::VMAXPHZrrb, Convert__Reg1_3__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 13493 /* vmaxph */, X86::VMAXPHZ256rmb, Convert__Reg1_3__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK_VR256X }, },
{ 13493 /* vmaxph */, X86::VMAXPHZrmb, Convert__Reg1_3__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK_VR512 }, },
{ 13493 /* vmaxph */, X86::VMAXPHZ128rmb, Convert__Reg1_3__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK_FR16X }, },
{ 13493 /* vmaxph */, X86::VMAXPHZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13493 /* vmaxph */, X86::VMAXPHZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13493 /* vmaxph */, X86::VMAXPHZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13493 /* vmaxph */, X86::VMAXPHZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13493 /* vmaxph */, X86::VMAXPHZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13493 /* vmaxph */, X86::VMAXPHZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13493 /* vmaxph */, X86::VMAXPHZrrbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13493 /* vmaxph */, X86::VMAXPHZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13493 /* vmaxph */, X86::VMAXPHZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13493 /* vmaxph */, X86::VMAXPHZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13493 /* vmaxph */, X86::VMAXPHZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13493 /* vmaxph */, X86::VMAXPHZ256rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13493 /* vmaxph */, X86::VMAXPHZrmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13493 /* vmaxph */, X86::VMAXPHZ128rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13493 /* vmaxph */, X86::VMAXPHZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13493 /* vmaxph */, X86::VMAXPHZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13493 /* vmaxph */, X86::VMAXPHZrrbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13493 /* vmaxph */, X86::VMAXPHZ256rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13493 /* vmaxph */, X86::VMAXPHZrmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13493 /* vmaxph */, X86::VMAXPHZ128rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13500 /* vmaxps */, X86::VMAXPSrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 13500 /* vmaxps */, X86::VMAXPSYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 13500 /* vmaxps */, X86::VMAXPSZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 13500 /* vmaxps */, X86::VMAXPSZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 13500 /* vmaxps */, X86::VMAXPSZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 13500 /* vmaxps */, X86::VMAXPSrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 13500 /* vmaxps */, X86::VMAXPSZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 13500 /* vmaxps */, X86::VMAXPSYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 13500 /* vmaxps */, X86::VMAXPSZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 13500 /* vmaxps */, X86::VMAXPSZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 13500 /* vmaxps */, X86::VMAXPSZrrb, Convert__Reg1_3__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 13500 /* vmaxps */, X86::VMAXPSZrmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512 }, },
{ 13500 /* vmaxps */, X86::VMAXPSZ128rmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X }, },
{ 13500 /* vmaxps */, X86::VMAXPSZ256rmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X }, },
{ 13500 /* vmaxps */, X86::VMAXPSZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13500 /* vmaxps */, X86::VMAXPSZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13500 /* vmaxps */, X86::VMAXPSZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13500 /* vmaxps */, X86::VMAXPSZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13500 /* vmaxps */, X86::VMAXPSZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13500 /* vmaxps */, X86::VMAXPSZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13500 /* vmaxps */, X86::VMAXPSZrrbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13500 /* vmaxps */, X86::VMAXPSZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13500 /* vmaxps */, X86::VMAXPSZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13500 /* vmaxps */, X86::VMAXPSZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13500 /* vmaxps */, X86::VMAXPSZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13500 /* vmaxps */, X86::VMAXPSZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13500 /* vmaxps */, X86::VMAXPSZrmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13500 /* vmaxps */, X86::VMAXPSZ128rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13500 /* vmaxps */, X86::VMAXPSZ256rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13500 /* vmaxps */, X86::VMAXPSZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13500 /* vmaxps */, X86::VMAXPSZrrbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13500 /* vmaxps */, X86::VMAXPSZrmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13500 /* vmaxps */, X86::VMAXPSZ128rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13500 /* vmaxps */, X86::VMAXPSZ256rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13507 /* vmaxsd */, X86::VMAXSDrr_Int, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 13507 /* vmaxsd */, X86::VMAXSDZrr_Int, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 13507 /* vmaxsd */, X86::VMAXSDrm_Int, Convert__Reg1_2__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16, MCK_FR16 }, },
{ 13507 /* vmaxsd */, X86::VMAXSDZrm_Int, Convert__Reg1_2__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK_FR16X }, },
{ 13507 /* vmaxsd */, X86::VMAXSDZrrb_Int, Convert__Reg1_3__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 13507 /* vmaxsd */, X86::VMAXSDZrr_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13507 /* vmaxsd */, X86::VMAXSDZrm_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13507 /* vmaxsd */, X86::VMAXSDZrrb_Intk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13507 /* vmaxsd */, X86::VMAXSDZrr_Intkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13507 /* vmaxsd */, X86::VMAXSDZrm_Intkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13507 /* vmaxsd */, X86::VMAXSDZrrb_Intkz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13514 /* vmaxsh */, X86::VMAXSHZrr_Int, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 13514 /* vmaxsh */, X86::VMAXSHZrm_Int, Convert__Reg1_2__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16X, MCK_FR16X }, },
{ 13514 /* vmaxsh */, X86::VMAXSHZrrb_Int, Convert__Reg1_3__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 13514 /* vmaxsh */, X86::VMAXSHZrr_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13514 /* vmaxsh */, X86::VMAXSHZrm_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13514 /* vmaxsh */, X86::VMAXSHZrrb_Intk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13514 /* vmaxsh */, X86::VMAXSHZrr_Intkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13514 /* vmaxsh */, X86::VMAXSHZrm_Intkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13514 /* vmaxsh */, X86::VMAXSHZrrb_Intkz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13521 /* vmaxss */, X86::VMAXSSrr_Int, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 13521 /* vmaxss */, X86::VMAXSSZrr_Int, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 13521 /* vmaxss */, X86::VMAXSSrm_Int, Convert__Reg1_2__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16, MCK_FR16 }, },
{ 13521 /* vmaxss */, X86::VMAXSSZrm_Int, Convert__Reg1_2__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X }, },
{ 13521 /* vmaxss */, X86::VMAXSSZrrb_Int, Convert__Reg1_3__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 13521 /* vmaxss */, X86::VMAXSSZrr_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13521 /* vmaxss */, X86::VMAXSSZrm_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13521 /* vmaxss */, X86::VMAXSSZrrb_Intk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13521 /* vmaxss */, X86::VMAXSSZrr_Intkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13521 /* vmaxss */, X86::VMAXSSZrm_Intkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13521 /* vmaxss */, X86::VMAXSSZrrb_Intkz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13528 /* vmcall */, X86::VMCALL, Convert_NoOperands, AMFBS_None, { }, },
{ 13535 /* vmclear */, X86::VMCLEARm, Convert__Mem645_0, AMFBS_None, { MCK_Mem64 }, },
{ 13543 /* vmfunc */, X86::VMFUNC, Convert_NoOperands, AMFBS_None, { }, },
{ 13550 /* vminpd */, X86::VMINPDrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 13550 /* vminpd */, X86::VMINPDYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 13550 /* vminpd */, X86::VMINPDZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 13550 /* vminpd */, X86::VMINPDZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 13550 /* vminpd */, X86::VMINPDZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 13550 /* vminpd */, X86::VMINPDrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 13550 /* vminpd */, X86::VMINPDZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 13550 /* vminpd */, X86::VMINPDYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 13550 /* vminpd */, X86::VMINPDZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 13550 /* vminpd */, X86::VMINPDZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 13550 /* vminpd */, X86::VMINPDZrrb, Convert__Reg1_3__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 13550 /* vminpd */, X86::VMINPDZ128rmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X }, },
{ 13550 /* vminpd */, X86::VMINPDZ256rmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X }, },
{ 13550 /* vminpd */, X86::VMINPDZrmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512 }, },
{ 13550 /* vminpd */, X86::VMINPDZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13550 /* vminpd */, X86::VMINPDZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13550 /* vminpd */, X86::VMINPDZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13550 /* vminpd */, X86::VMINPDZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13550 /* vminpd */, X86::VMINPDZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13550 /* vminpd */, X86::VMINPDZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13550 /* vminpd */, X86::VMINPDZrrbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13550 /* vminpd */, X86::VMINPDZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13550 /* vminpd */, X86::VMINPDZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13550 /* vminpd */, X86::VMINPDZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13550 /* vminpd */, X86::VMINPDZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13550 /* vminpd */, X86::VMINPDZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13550 /* vminpd */, X86::VMINPDZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13550 /* vminpd */, X86::VMINPDZ128rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13550 /* vminpd */, X86::VMINPDZ256rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13550 /* vminpd */, X86::VMINPDZrmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13550 /* vminpd */, X86::VMINPDZrrbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13550 /* vminpd */, X86::VMINPDZ128rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13550 /* vminpd */, X86::VMINPDZ256rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13550 /* vminpd */, X86::VMINPDZrmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13557 /* vminph */, X86::VMINPHZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 13557 /* vminph */, X86::VMINPHZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 13557 /* vminph */, X86::VMINPHZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 13557 /* vminph */, X86::VMINPHZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 13557 /* vminph */, X86::VMINPHZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 13557 /* vminph */, X86::VMINPHZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 13557 /* vminph */, X86::VMINPHZrrb, Convert__Reg1_3__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 13557 /* vminph */, X86::VMINPHZ256rmb, Convert__Reg1_3__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK_VR256X }, },
{ 13557 /* vminph */, X86::VMINPHZrmb, Convert__Reg1_3__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK_VR512 }, },
{ 13557 /* vminph */, X86::VMINPHZ128rmb, Convert__Reg1_3__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK_FR16X }, },
{ 13557 /* vminph */, X86::VMINPHZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13557 /* vminph */, X86::VMINPHZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13557 /* vminph */, X86::VMINPHZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13557 /* vminph */, X86::VMINPHZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13557 /* vminph */, X86::VMINPHZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13557 /* vminph */, X86::VMINPHZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13557 /* vminph */, X86::VMINPHZrrbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13557 /* vminph */, X86::VMINPHZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13557 /* vminph */, X86::VMINPHZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13557 /* vminph */, X86::VMINPHZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13557 /* vminph */, X86::VMINPHZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13557 /* vminph */, X86::VMINPHZ256rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13557 /* vminph */, X86::VMINPHZrmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13557 /* vminph */, X86::VMINPHZ128rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13557 /* vminph */, X86::VMINPHZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13557 /* vminph */, X86::VMINPHZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13557 /* vminph */, X86::VMINPHZrrbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13557 /* vminph */, X86::VMINPHZ256rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13557 /* vminph */, X86::VMINPHZrmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13557 /* vminph */, X86::VMINPHZ128rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13564 /* vminps */, X86::VMINPSrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 13564 /* vminps */, X86::VMINPSYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 13564 /* vminps */, X86::VMINPSZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 13564 /* vminps */, X86::VMINPSZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 13564 /* vminps */, X86::VMINPSZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 13564 /* vminps */, X86::VMINPSrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 13564 /* vminps */, X86::VMINPSZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 13564 /* vminps */, X86::VMINPSYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 13564 /* vminps */, X86::VMINPSZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 13564 /* vminps */, X86::VMINPSZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 13564 /* vminps */, X86::VMINPSZrrb, Convert__Reg1_3__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 13564 /* vminps */, X86::VMINPSZrmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512 }, },
{ 13564 /* vminps */, X86::VMINPSZ128rmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X }, },
{ 13564 /* vminps */, X86::VMINPSZ256rmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X }, },
{ 13564 /* vminps */, X86::VMINPSZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13564 /* vminps */, X86::VMINPSZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13564 /* vminps */, X86::VMINPSZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13564 /* vminps */, X86::VMINPSZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13564 /* vminps */, X86::VMINPSZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13564 /* vminps */, X86::VMINPSZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13564 /* vminps */, X86::VMINPSZrrbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13564 /* vminps */, X86::VMINPSZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13564 /* vminps */, X86::VMINPSZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13564 /* vminps */, X86::VMINPSZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13564 /* vminps */, X86::VMINPSZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13564 /* vminps */, X86::VMINPSZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13564 /* vminps */, X86::VMINPSZrmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13564 /* vminps */, X86::VMINPSZ128rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13564 /* vminps */, X86::VMINPSZ256rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13564 /* vminps */, X86::VMINPSZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13564 /* vminps */, X86::VMINPSZrrbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13564 /* vminps */, X86::VMINPSZrmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13564 /* vminps */, X86::VMINPSZ128rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13564 /* vminps */, X86::VMINPSZ256rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13571 /* vminsd */, X86::VMINSDrr_Int, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 13571 /* vminsd */, X86::VMINSDZrr_Int, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 13571 /* vminsd */, X86::VMINSDrm_Int, Convert__Reg1_2__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16, MCK_FR16 }, },
{ 13571 /* vminsd */, X86::VMINSDZrm_Int, Convert__Reg1_2__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK_FR16X }, },
{ 13571 /* vminsd */, X86::VMINSDZrrb_Int, Convert__Reg1_3__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 13571 /* vminsd */, X86::VMINSDZrr_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13571 /* vminsd */, X86::VMINSDZrm_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13571 /* vminsd */, X86::VMINSDZrrb_Intk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13571 /* vminsd */, X86::VMINSDZrr_Intkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13571 /* vminsd */, X86::VMINSDZrm_Intkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13571 /* vminsd */, X86::VMINSDZrrb_Intkz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13578 /* vminsh */, X86::VMINSHZrr_Int, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 13578 /* vminsh */, X86::VMINSHZrm_Int, Convert__Reg1_2__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16X, MCK_FR16X }, },
{ 13578 /* vminsh */, X86::VMINSHZrrb_Int, Convert__Reg1_3__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 13578 /* vminsh */, X86::VMINSHZrr_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13578 /* vminsh */, X86::VMINSHZrm_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13578 /* vminsh */, X86::VMINSHZrrb_Intk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13578 /* vminsh */, X86::VMINSHZrr_Intkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13578 /* vminsh */, X86::VMINSHZrm_Intkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13578 /* vminsh */, X86::VMINSHZrrb_Intkz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13585 /* vminss */, X86::VMINSSrr_Int, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 13585 /* vminss */, X86::VMINSSZrr_Int, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 13585 /* vminss */, X86::VMINSSrm_Int, Convert__Reg1_2__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16, MCK_FR16 }, },
{ 13585 /* vminss */, X86::VMINSSZrm_Int, Convert__Reg1_2__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X }, },
{ 13585 /* vminss */, X86::VMINSSZrrb_Int, Convert__Reg1_3__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 13585 /* vminss */, X86::VMINSSZrr_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13585 /* vminss */, X86::VMINSSZrm_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13585 /* vminss */, X86::VMINSSZrrb_Intk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13585 /* vminss */, X86::VMINSSZrr_Intkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13585 /* vminss */, X86::VMINSSZrm_Intkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13585 /* vminss */, X86::VMINSSZrrb_Intkz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13592 /* vmlaunch */, X86::VMLAUNCH, Convert_NoOperands, AMFBS_None, { }, },
{ 13601 /* vmload */, X86::VMLOAD32, Convert_NoOperands, AMFBS_Not64BitMode, { }, },
{ 13601 /* vmload */, X86::VMLOAD64, Convert_NoOperands, AMFBS_In64BitMode, { }, },
{ 13601 /* vmload */, X86::VMLOAD32, Convert_NoOperands, AMFBS_Not64BitMode, { MCK_EAX }, },
{ 13601 /* vmload */, X86::VMLOAD64, Convert_NoOperands, AMFBS_In64BitMode, { MCK_RAX }, },
{ 13608 /* vmmcall */, X86::VMMCALL, Convert_NoOperands, AMFBS_None, { }, },
{ 13616 /* vmovapd */, X86::VMOVAPDrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 13616 /* vmovapd */, X86::VMOVAPDmr, Convert__Mem1285_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 13616 /* vmovapd */, X86::VMOVAPDYrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256 }, },
{ 13616 /* vmovapd */, X86::VMOVAPDYmr, Convert__Mem2565_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_Mem256 }, },
{ 13616 /* vmovapd */, X86::VMOVAPDZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 13616 /* vmovapd */, X86::VMOVAPDZ128mr, Convert__Mem1285_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_Mem128 }, },
{ 13616 /* vmovapd */, X86::VMOVAPDZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 13616 /* vmovapd */, X86::VMOVAPDZ256mr, Convert__Mem2565_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_Mem256 }, },
{ 13616 /* vmovapd */, X86::VMOVAPDZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 13616 /* vmovapd */, X86::VMOVAPDZmr, Convert__Mem5125_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_Mem512 }, },
{ 13616 /* vmovapd */, X86::VMOVAPDrm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 13616 /* vmovapd */, X86::VMOVAPDZ128rm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X }, },
{ 13616 /* vmovapd */, X86::VMOVAPDYrm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256 }, },
{ 13616 /* vmovapd */, X86::VMOVAPDZ256rm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X }, },
{ 13616 /* vmovapd */, X86::VMOVAPDZrm, Convert__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512 }, },
{ 13616 /* vmovapd */, X86::VMOVAPDZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13616 /* vmovapd */, X86::VMOVAPDZ128mrk, Convert__Mem1285_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_Mem128, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13616 /* vmovapd */, X86::VMOVAPDZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13616 /* vmovapd */, X86::VMOVAPDZ256mrk, Convert__Mem2565_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_Mem256, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13616 /* vmovapd */, X86::VMOVAPDZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13616 /* vmovapd */, X86::VMOVAPDZmrk, Convert__Mem5125_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_Mem512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13616 /* vmovapd */, X86::VMOVAPDZ128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13616 /* vmovapd */, X86::VMOVAPDZ256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13616 /* vmovapd */, X86::VMOVAPDZrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13616 /* vmovapd */, X86::VMOVAPDZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13616 /* vmovapd */, X86::VMOVAPDZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13616 /* vmovapd */, X86::VMOVAPDZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13616 /* vmovapd */, X86::VMOVAPDZ128rmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13616 /* vmovapd */, X86::VMOVAPDZ256rmkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13616 /* vmovapd */, X86::VMOVAPDZrmkz, Convert__Reg1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13624 /* vmovapd.s */, X86::VMOVAPDrr_REV, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 13624 /* vmovapd.s */, X86::VMOVAPDYrr_REV, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256 }, },
{ 13624 /* vmovapd.s */, X86::VMOVAPDZ128rr_REV, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 13624 /* vmovapd.s */, X86::VMOVAPDZ256rr_REV, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 13624 /* vmovapd.s */, X86::VMOVAPDZrr_REV, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 13624 /* vmovapd.s */, X86::VMOVAPDZ128rrk_REV, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13624 /* vmovapd.s */, X86::VMOVAPDZ256rrk_REV, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13624 /* vmovapd.s */, X86::VMOVAPDZrrk_REV, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13624 /* vmovapd.s */, X86::VMOVAPDZ128rrkz_REV, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13624 /* vmovapd.s */, X86::VMOVAPDZ256rrkz_REV, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13624 /* vmovapd.s */, X86::VMOVAPDZrrkz_REV, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13634 /* vmovaps */, X86::VMOVAPSrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 13634 /* vmovaps */, X86::VMOVAPSmr, Convert__Mem1285_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 13634 /* vmovaps */, X86::VMOVAPSYrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256 }, },
{ 13634 /* vmovaps */, X86::VMOVAPSYmr, Convert__Mem2565_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_Mem256 }, },
{ 13634 /* vmovaps */, X86::VMOVAPSZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 13634 /* vmovaps */, X86::VMOVAPSZ128mr, Convert__Mem1285_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_Mem128 }, },
{ 13634 /* vmovaps */, X86::VMOVAPSZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 13634 /* vmovaps */, X86::VMOVAPSZ256mr, Convert__Mem2565_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_Mem256 }, },
{ 13634 /* vmovaps */, X86::VMOVAPSZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 13634 /* vmovaps */, X86::VMOVAPSZmr, Convert__Mem5125_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_Mem512 }, },
{ 13634 /* vmovaps */, X86::VMOVAPSrm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 13634 /* vmovaps */, X86::VMOVAPSZ128rm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X }, },
{ 13634 /* vmovaps */, X86::VMOVAPSYrm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256 }, },
{ 13634 /* vmovaps */, X86::VMOVAPSZ256rm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X }, },
{ 13634 /* vmovaps */, X86::VMOVAPSZrm, Convert__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512 }, },
{ 13634 /* vmovaps */, X86::VMOVAPSZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13634 /* vmovaps */, X86::VMOVAPSZ128mrk, Convert__Mem1285_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_Mem128, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13634 /* vmovaps */, X86::VMOVAPSZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13634 /* vmovaps */, X86::VMOVAPSZ256mrk, Convert__Mem2565_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_Mem256, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13634 /* vmovaps */, X86::VMOVAPSZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13634 /* vmovaps */, X86::VMOVAPSZmrk, Convert__Mem5125_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_Mem512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13634 /* vmovaps */, X86::VMOVAPSZ128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13634 /* vmovaps */, X86::VMOVAPSZ256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13634 /* vmovaps */, X86::VMOVAPSZrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13634 /* vmovaps */, X86::VMOVAPSZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13634 /* vmovaps */, X86::VMOVAPSZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13634 /* vmovaps */, X86::VMOVAPSZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13634 /* vmovaps */, X86::VMOVAPSZ128rmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13634 /* vmovaps */, X86::VMOVAPSZ256rmkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13634 /* vmovaps */, X86::VMOVAPSZrmkz, Convert__Reg1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13642 /* vmovaps.s */, X86::VMOVAPSrr_REV, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 13642 /* vmovaps.s */, X86::VMOVAPSYrr_REV, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256 }, },
{ 13642 /* vmovaps.s */, X86::VMOVAPSZ128rr_REV, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 13642 /* vmovaps.s */, X86::VMOVAPSZ256rr_REV, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 13642 /* vmovaps.s */, X86::VMOVAPSZrr_REV, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 13642 /* vmovaps.s */, X86::VMOVAPSZ128rrk_REV, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13642 /* vmovaps.s */, X86::VMOVAPSZ256rrk_REV, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13642 /* vmovaps.s */, X86::VMOVAPSZrrk_REV, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13642 /* vmovaps.s */, X86::VMOVAPSZ128rrkz_REV, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13642 /* vmovaps.s */, X86::VMOVAPSZ256rrkz_REV, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13642 /* vmovaps.s */, X86::VMOVAPSZrrkz_REV, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13652 /* vmovd */, X86::VMOVPDI2DIrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_GR32 }, },
{ 13652 /* vmovd */, X86::VMOVPQIto64rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_GR64 }, },
{ 13652 /* vmovd */, X86::VMOVPDI2DImr, Convert__Mem325_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_Mem32 }, },
{ 13652 /* vmovd */, X86::VMOVDI2PDIrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_FR16 }, },
{ 13652 /* vmovd */, X86::VMOVDI2PDIZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_FR16X }, },
{ 13652 /* vmovd */, X86::VMOV64toPQIrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR64, MCK_FR16 }, },
{ 13652 /* vmovd */, X86::VMOV64toPQIZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR64, MCK_FR16X }, },
{ 13652 /* vmovd */, X86::VMOVPDI2DIZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_GR32 }, },
{ 13652 /* vmovd */, X86::VMOVPQIto64Zrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_GR64 }, },
{ 13652 /* vmovd */, X86::VMOVPDI2DIZmr, Convert__Mem325_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_Mem32 }, },
{ 13652 /* vmovd */, X86::VMOVDI2PDIrm, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16 }, },
{ 13652 /* vmovd */, X86::VMOVDI2PDIZrm, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X }, },
{ 13658 /* vmovddup */, X86::VMOVDDUPrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 13658 /* vmovddup */, X86::VMOVDDUPYrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256 }, },
{ 13658 /* vmovddup */, X86::VMOVDDUPZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 13658 /* vmovddup */, X86::VMOVDDUPZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 13658 /* vmovddup */, X86::VMOVDDUPZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 13658 /* vmovddup */, X86::VMOVDDUPYrm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256 }, },
{ 13658 /* vmovddup */, X86::VMOVDDUPZ256rm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X }, },
{ 13658 /* vmovddup */, X86::VMOVDDUPZrm, Convert__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512 }, },
{ 13658 /* vmovddup */, X86::VMOVDDUPrm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16 }, },
{ 13658 /* vmovddup */, X86::VMOVDDUPZ128rm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X }, },
{ 13658 /* vmovddup */, X86::VMOVDDUPZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13658 /* vmovddup */, X86::VMOVDDUPZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13658 /* vmovddup */, X86::VMOVDDUPZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13658 /* vmovddup */, X86::VMOVDDUPZ256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13658 /* vmovddup */, X86::VMOVDDUPZrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13658 /* vmovddup */, X86::VMOVDDUPZ128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13658 /* vmovddup */, X86::VMOVDDUPZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13658 /* vmovddup */, X86::VMOVDDUPZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13658 /* vmovddup */, X86::VMOVDDUPZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13658 /* vmovddup */, X86::VMOVDDUPZ256rmkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13658 /* vmovddup */, X86::VMOVDDUPZrmkz, Convert__Reg1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13658 /* vmovddup */, X86::VMOVDDUPZ128rmkz, Convert__Reg1_1__Reg1_3__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13667 /* vmovdqa */, X86::VMOVDQArr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 13667 /* vmovdqa */, X86::VMOVDQAmr, Convert__Mem1285_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 13667 /* vmovdqa */, X86::VMOVDQAYrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256 }, },
{ 13667 /* vmovdqa */, X86::VMOVDQAYmr, Convert__Mem2565_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_Mem256 }, },
{ 13667 /* vmovdqa */, X86::VMOVDQArm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 13667 /* vmovdqa */, X86::VMOVDQAYrm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256 }, },
{ 13675 /* vmovdqa.s */, X86::VMOVDQArr_REV, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 13675 /* vmovdqa.s */, X86::VMOVDQAYrr_REV, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256 }, },
{ 13685 /* vmovdqa32 */, X86::VMOVDQA32Z128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 13685 /* vmovdqa32 */, X86::VMOVDQA32Z128mr, Convert__Mem1285_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_Mem128 }, },
{ 13685 /* vmovdqa32 */, X86::VMOVDQA32Z256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 13685 /* vmovdqa32 */, X86::VMOVDQA32Z256mr, Convert__Mem2565_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_Mem256 }, },
{ 13685 /* vmovdqa32 */, X86::VMOVDQA32Zrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 13685 /* vmovdqa32 */, X86::VMOVDQA32Zmr, Convert__Mem5125_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_Mem512 }, },
{ 13685 /* vmovdqa32 */, X86::VMOVDQA32Z128rm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X }, },
{ 13685 /* vmovdqa32 */, X86::VMOVDQA32Z256rm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X }, },
{ 13685 /* vmovdqa32 */, X86::VMOVDQA32Zrm, Convert__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512 }, },
{ 13685 /* vmovdqa32 */, X86::VMOVDQA32Z128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13685 /* vmovdqa32 */, X86::VMOVDQA32Z128mrk, Convert__Mem1285_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_Mem128, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13685 /* vmovdqa32 */, X86::VMOVDQA32Z256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13685 /* vmovdqa32 */, X86::VMOVDQA32Z256mrk, Convert__Mem2565_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_Mem256, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13685 /* vmovdqa32 */, X86::VMOVDQA32Zrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13685 /* vmovdqa32 */, X86::VMOVDQA32Zmrk, Convert__Mem5125_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_Mem512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13685 /* vmovdqa32 */, X86::VMOVDQA32Z128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13685 /* vmovdqa32 */, X86::VMOVDQA32Z256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13685 /* vmovdqa32 */, X86::VMOVDQA32Zrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13685 /* vmovdqa32 */, X86::VMOVDQA32Z128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13685 /* vmovdqa32 */, X86::VMOVDQA32Z256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13685 /* vmovdqa32 */, X86::VMOVDQA32Zrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13685 /* vmovdqa32 */, X86::VMOVDQA32Z128rmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13685 /* vmovdqa32 */, X86::VMOVDQA32Z256rmkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13685 /* vmovdqa32 */, X86::VMOVDQA32Zrmkz, Convert__Reg1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13695 /* vmovdqa32.s */, X86::VMOVDQA32Z128rr_REV, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 13695 /* vmovdqa32.s */, X86::VMOVDQA32Z256rr_REV, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 13695 /* vmovdqa32.s */, X86::VMOVDQA32Zrr_REV, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 13695 /* vmovdqa32.s */, X86::VMOVDQA32Z128rrk_REV, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13695 /* vmovdqa32.s */, X86::VMOVDQA32Z256rrk_REV, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13695 /* vmovdqa32.s */, X86::VMOVDQA32Zrrk_REV, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13695 /* vmovdqa32.s */, X86::VMOVDQA32Z128rrkz_REV, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13695 /* vmovdqa32.s */, X86::VMOVDQA32Z256rrkz_REV, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13695 /* vmovdqa32.s */, X86::VMOVDQA32Zrrkz_REV, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13707 /* vmovdqa64 */, X86::VMOVDQA64Z128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 13707 /* vmovdqa64 */, X86::VMOVDQA64Z128mr, Convert__Mem1285_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_Mem128 }, },
{ 13707 /* vmovdqa64 */, X86::VMOVDQA64Z256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 13707 /* vmovdqa64 */, X86::VMOVDQA64Z256mr, Convert__Mem2565_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_Mem256 }, },
{ 13707 /* vmovdqa64 */, X86::VMOVDQA64Zrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 13707 /* vmovdqa64 */, X86::VMOVDQA64Zmr, Convert__Mem5125_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_Mem512 }, },
{ 13707 /* vmovdqa64 */, X86::VMOVDQA64Z128rm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X }, },
{ 13707 /* vmovdqa64 */, X86::VMOVDQA64Z256rm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X }, },
{ 13707 /* vmovdqa64 */, X86::VMOVDQA64Zrm, Convert__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512 }, },
{ 13707 /* vmovdqa64 */, X86::VMOVDQA64Z128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13707 /* vmovdqa64 */, X86::VMOVDQA64Z128mrk, Convert__Mem1285_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_Mem128, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13707 /* vmovdqa64 */, X86::VMOVDQA64Z256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13707 /* vmovdqa64 */, X86::VMOVDQA64Z256mrk, Convert__Mem2565_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_Mem256, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13707 /* vmovdqa64 */, X86::VMOVDQA64Zrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13707 /* vmovdqa64 */, X86::VMOVDQA64Zmrk, Convert__Mem5125_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_Mem512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13707 /* vmovdqa64 */, X86::VMOVDQA64Z128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13707 /* vmovdqa64 */, X86::VMOVDQA64Z256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13707 /* vmovdqa64 */, X86::VMOVDQA64Zrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13707 /* vmovdqa64 */, X86::VMOVDQA64Z128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13707 /* vmovdqa64 */, X86::VMOVDQA64Z256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13707 /* vmovdqa64 */, X86::VMOVDQA64Zrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13707 /* vmovdqa64 */, X86::VMOVDQA64Z128rmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13707 /* vmovdqa64 */, X86::VMOVDQA64Z256rmkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13707 /* vmovdqa64 */, X86::VMOVDQA64Zrmkz, Convert__Reg1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13717 /* vmovdqa64.s */, X86::VMOVDQA64Z128rr_REV, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 13717 /* vmovdqa64.s */, X86::VMOVDQA64Z256rr_REV, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 13717 /* vmovdqa64.s */, X86::VMOVDQA64Zrr_REV, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 13717 /* vmovdqa64.s */, X86::VMOVDQA64Z128rrk_REV, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13717 /* vmovdqa64.s */, X86::VMOVDQA64Z256rrk_REV, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13717 /* vmovdqa64.s */, X86::VMOVDQA64Zrrk_REV, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13717 /* vmovdqa64.s */, X86::VMOVDQA64Z128rrkz_REV, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13717 /* vmovdqa64.s */, X86::VMOVDQA64Z256rrkz_REV, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13717 /* vmovdqa64.s */, X86::VMOVDQA64Zrrkz_REV, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13729 /* vmovdqu */, X86::VMOVDQUrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 13729 /* vmovdqu */, X86::VMOVDQUmr, Convert__Mem1285_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 13729 /* vmovdqu */, X86::VMOVDQUYrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256 }, },
{ 13729 /* vmovdqu */, X86::VMOVDQUYmr, Convert__Mem2565_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_Mem256 }, },
{ 13729 /* vmovdqu */, X86::VMOVDQUrm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 13729 /* vmovdqu */, X86::VMOVDQUYrm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256 }, },
{ 13737 /* vmovdqu.s */, X86::VMOVDQUrr_REV, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 13737 /* vmovdqu.s */, X86::VMOVDQUYrr_REV, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256 }, },
{ 13747 /* vmovdqu16 */, X86::VMOVDQU16Z128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 13747 /* vmovdqu16 */, X86::VMOVDQU16Z128mr, Convert__Mem1285_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_Mem128 }, },
{ 13747 /* vmovdqu16 */, X86::VMOVDQU16Z256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 13747 /* vmovdqu16 */, X86::VMOVDQU16Z256mr, Convert__Mem2565_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_Mem256 }, },
{ 13747 /* vmovdqu16 */, X86::VMOVDQU16Zrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 13747 /* vmovdqu16 */, X86::VMOVDQU16Zmr, Convert__Mem5125_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_Mem512 }, },
{ 13747 /* vmovdqu16 */, X86::VMOVDQU16Z128rm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X }, },
{ 13747 /* vmovdqu16 */, X86::VMOVDQU16Z256rm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X }, },
{ 13747 /* vmovdqu16 */, X86::VMOVDQU16Zrm, Convert__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512 }, },
{ 13747 /* vmovdqu16 */, X86::VMOVDQU16Z128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13747 /* vmovdqu16 */, X86::VMOVDQU16Z128mrk, Convert__Mem1285_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_Mem128, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13747 /* vmovdqu16 */, X86::VMOVDQU16Z256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13747 /* vmovdqu16 */, X86::VMOVDQU16Z256mrk, Convert__Mem2565_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_Mem256, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13747 /* vmovdqu16 */, X86::VMOVDQU16Zrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13747 /* vmovdqu16 */, X86::VMOVDQU16Zmrk, Convert__Mem5125_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_Mem512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13747 /* vmovdqu16 */, X86::VMOVDQU16Z128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13747 /* vmovdqu16 */, X86::VMOVDQU16Z256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13747 /* vmovdqu16 */, X86::VMOVDQU16Zrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13747 /* vmovdqu16 */, X86::VMOVDQU16Z128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13747 /* vmovdqu16 */, X86::VMOVDQU16Z256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13747 /* vmovdqu16 */, X86::VMOVDQU16Zrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13747 /* vmovdqu16 */, X86::VMOVDQU16Z128rmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13747 /* vmovdqu16 */, X86::VMOVDQU16Z256rmkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13747 /* vmovdqu16 */, X86::VMOVDQU16Zrmkz, Convert__Reg1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13757 /* vmovdqu16.s */, X86::VMOVDQU16Z128rr_REV, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 13757 /* vmovdqu16.s */, X86::VMOVDQU16Z256rr_REV, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 13757 /* vmovdqu16.s */, X86::VMOVDQU16Zrr_REV, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 13757 /* vmovdqu16.s */, X86::VMOVDQU16Z128rrk_REV, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13757 /* vmovdqu16.s */, X86::VMOVDQU16Z256rrk_REV, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13757 /* vmovdqu16.s */, X86::VMOVDQU16Zrrk_REV, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13757 /* vmovdqu16.s */, X86::VMOVDQU16Z128rrkz_REV, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13757 /* vmovdqu16.s */, X86::VMOVDQU16Z256rrkz_REV, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13757 /* vmovdqu16.s */, X86::VMOVDQU16Zrrkz_REV, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13769 /* vmovdqu32 */, X86::VMOVDQU32Z128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 13769 /* vmovdqu32 */, X86::VMOVDQU32Z128mr, Convert__Mem1285_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_Mem128 }, },
{ 13769 /* vmovdqu32 */, X86::VMOVDQU32Z256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 13769 /* vmovdqu32 */, X86::VMOVDQU32Z256mr, Convert__Mem2565_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_Mem256 }, },
{ 13769 /* vmovdqu32 */, X86::VMOVDQU32Zrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 13769 /* vmovdqu32 */, X86::VMOVDQU32Zmr, Convert__Mem5125_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_Mem512 }, },
{ 13769 /* vmovdqu32 */, X86::VMOVDQU32Z128rm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X }, },
{ 13769 /* vmovdqu32 */, X86::VMOVDQU32Z256rm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X }, },
{ 13769 /* vmovdqu32 */, X86::VMOVDQU32Zrm, Convert__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512 }, },
{ 13769 /* vmovdqu32 */, X86::VMOVDQU32Z128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13769 /* vmovdqu32 */, X86::VMOVDQU32Z128mrk, Convert__Mem1285_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_Mem128, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13769 /* vmovdqu32 */, X86::VMOVDQU32Z256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13769 /* vmovdqu32 */, X86::VMOVDQU32Z256mrk, Convert__Mem2565_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_Mem256, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13769 /* vmovdqu32 */, X86::VMOVDQU32Zrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13769 /* vmovdqu32 */, X86::VMOVDQU32Zmrk, Convert__Mem5125_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_Mem512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13769 /* vmovdqu32 */, X86::VMOVDQU32Z128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13769 /* vmovdqu32 */, X86::VMOVDQU32Z256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13769 /* vmovdqu32 */, X86::VMOVDQU32Zrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13769 /* vmovdqu32 */, X86::VMOVDQU32Z128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13769 /* vmovdqu32 */, X86::VMOVDQU32Z256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13769 /* vmovdqu32 */, X86::VMOVDQU32Zrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13769 /* vmovdqu32 */, X86::VMOVDQU32Z128rmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13769 /* vmovdqu32 */, X86::VMOVDQU32Z256rmkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13769 /* vmovdqu32 */, X86::VMOVDQU32Zrmkz, Convert__Reg1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13779 /* vmovdqu32.s */, X86::VMOVDQU32Z128rr_REV, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 13779 /* vmovdqu32.s */, X86::VMOVDQU32Z256rr_REV, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 13779 /* vmovdqu32.s */, X86::VMOVDQU32Zrr_REV, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 13779 /* vmovdqu32.s */, X86::VMOVDQU32Z128rrk_REV, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13779 /* vmovdqu32.s */, X86::VMOVDQU32Z256rrk_REV, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13779 /* vmovdqu32.s */, X86::VMOVDQU32Zrrk_REV, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13779 /* vmovdqu32.s */, X86::VMOVDQU32Z128rrkz_REV, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13779 /* vmovdqu32.s */, X86::VMOVDQU32Z256rrkz_REV, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13779 /* vmovdqu32.s */, X86::VMOVDQU32Zrrkz_REV, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13791 /* vmovdqu64 */, X86::VMOVDQU64Z128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 13791 /* vmovdqu64 */, X86::VMOVDQU64Z128mr, Convert__Mem1285_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_Mem128 }, },
{ 13791 /* vmovdqu64 */, X86::VMOVDQU64Z256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 13791 /* vmovdqu64 */, X86::VMOVDQU64Z256mr, Convert__Mem2565_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_Mem256 }, },
{ 13791 /* vmovdqu64 */, X86::VMOVDQU64Zrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 13791 /* vmovdqu64 */, X86::VMOVDQU64Zmr, Convert__Mem5125_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_Mem512 }, },
{ 13791 /* vmovdqu64 */, X86::VMOVDQU64Z128rm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X }, },
{ 13791 /* vmovdqu64 */, X86::VMOVDQU64Z256rm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X }, },
{ 13791 /* vmovdqu64 */, X86::VMOVDQU64Zrm, Convert__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512 }, },
{ 13791 /* vmovdqu64 */, X86::VMOVDQU64Z128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13791 /* vmovdqu64 */, X86::VMOVDQU64Z128mrk, Convert__Mem1285_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_Mem128, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13791 /* vmovdqu64 */, X86::VMOVDQU64Z256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13791 /* vmovdqu64 */, X86::VMOVDQU64Z256mrk, Convert__Mem2565_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_Mem256, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13791 /* vmovdqu64 */, X86::VMOVDQU64Zrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13791 /* vmovdqu64 */, X86::VMOVDQU64Zmrk, Convert__Mem5125_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_Mem512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13791 /* vmovdqu64 */, X86::VMOVDQU64Z128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13791 /* vmovdqu64 */, X86::VMOVDQU64Z256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13791 /* vmovdqu64 */, X86::VMOVDQU64Zrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13791 /* vmovdqu64 */, X86::VMOVDQU64Z128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13791 /* vmovdqu64 */, X86::VMOVDQU64Z256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13791 /* vmovdqu64 */, X86::VMOVDQU64Zrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13791 /* vmovdqu64 */, X86::VMOVDQU64Z128rmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13791 /* vmovdqu64 */, X86::VMOVDQU64Z256rmkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13791 /* vmovdqu64 */, X86::VMOVDQU64Zrmkz, Convert__Reg1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13801 /* vmovdqu64.s */, X86::VMOVDQU64Z128rr_REV, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 13801 /* vmovdqu64.s */, X86::VMOVDQU64Z256rr_REV, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 13801 /* vmovdqu64.s */, X86::VMOVDQU64Zrr_REV, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 13801 /* vmovdqu64.s */, X86::VMOVDQU64Z128rrk_REV, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13801 /* vmovdqu64.s */, X86::VMOVDQU64Z256rrk_REV, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13801 /* vmovdqu64.s */, X86::VMOVDQU64Zrrk_REV, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13801 /* vmovdqu64.s */, X86::VMOVDQU64Z128rrkz_REV, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13801 /* vmovdqu64.s */, X86::VMOVDQU64Z256rrkz_REV, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13801 /* vmovdqu64.s */, X86::VMOVDQU64Zrrkz_REV, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13813 /* vmovdqu8 */, X86::VMOVDQU8Z128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 13813 /* vmovdqu8 */, X86::VMOVDQU8Z128mr, Convert__Mem1285_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_Mem128 }, },
{ 13813 /* vmovdqu8 */, X86::VMOVDQU8Z256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 13813 /* vmovdqu8 */, X86::VMOVDQU8Z256mr, Convert__Mem2565_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_Mem256 }, },
{ 13813 /* vmovdqu8 */, X86::VMOVDQU8Zrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 13813 /* vmovdqu8 */, X86::VMOVDQU8Zmr, Convert__Mem5125_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_Mem512 }, },
{ 13813 /* vmovdqu8 */, X86::VMOVDQU8Z128rm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X }, },
{ 13813 /* vmovdqu8 */, X86::VMOVDQU8Z256rm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X }, },
{ 13813 /* vmovdqu8 */, X86::VMOVDQU8Zrm, Convert__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512 }, },
{ 13813 /* vmovdqu8 */, X86::VMOVDQU8Z128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13813 /* vmovdqu8 */, X86::VMOVDQU8Z128mrk, Convert__Mem1285_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_Mem128, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13813 /* vmovdqu8 */, X86::VMOVDQU8Z256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13813 /* vmovdqu8 */, X86::VMOVDQU8Z256mrk, Convert__Mem2565_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_Mem256, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13813 /* vmovdqu8 */, X86::VMOVDQU8Zrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13813 /* vmovdqu8 */, X86::VMOVDQU8Zmrk, Convert__Mem5125_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_Mem512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13813 /* vmovdqu8 */, X86::VMOVDQU8Z128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13813 /* vmovdqu8 */, X86::VMOVDQU8Z256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13813 /* vmovdqu8 */, X86::VMOVDQU8Zrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13813 /* vmovdqu8 */, X86::VMOVDQU8Z128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13813 /* vmovdqu8 */, X86::VMOVDQU8Z256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13813 /* vmovdqu8 */, X86::VMOVDQU8Zrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13813 /* vmovdqu8 */, X86::VMOVDQU8Z128rmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13813 /* vmovdqu8 */, X86::VMOVDQU8Z256rmkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13813 /* vmovdqu8 */, X86::VMOVDQU8Zrmkz, Convert__Reg1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13822 /* vmovdqu8.s */, X86::VMOVDQU8Z128rr_REV, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 13822 /* vmovdqu8.s */, X86::VMOVDQU8Z256rr_REV, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 13822 /* vmovdqu8.s */, X86::VMOVDQU8Zrr_REV, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 13822 /* vmovdqu8.s */, X86::VMOVDQU8Z128rrk_REV, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13822 /* vmovdqu8.s */, X86::VMOVDQU8Z256rrk_REV, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13822 /* vmovdqu8.s */, X86::VMOVDQU8Zrrk_REV, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13822 /* vmovdqu8.s */, X86::VMOVDQU8Z128rrkz_REV, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13822 /* vmovdqu8.s */, X86::VMOVDQU8Z256rrkz_REV, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13822 /* vmovdqu8.s */, X86::VMOVDQU8Zrrkz_REV, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13833 /* vmovhlps */, X86::VMOVHLPSrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 13833 /* vmovhlps */, X86::VMOVHLPSZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 13842 /* vmovhpd */, X86::VMOVHPDmr, Convert__Mem645_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_Mem64 }, },
{ 13842 /* vmovhpd */, X86::VMOVHPDZ128mr, Convert__Mem645_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_Mem64 }, },
{ 13842 /* vmovhpd */, X86::VMOVHPDrm, Convert__Reg1_2__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16, MCK_FR16 }, },
{ 13842 /* vmovhpd */, X86::VMOVHPDZ128rm, Convert__Reg1_2__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK_FR16X }, },
{ 13850 /* vmovhps */, X86::VMOVHPSmr, Convert__Mem645_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_Mem64 }, },
{ 13850 /* vmovhps */, X86::VMOVHPSZ128mr, Convert__Mem645_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_Mem64 }, },
{ 13850 /* vmovhps */, X86::VMOVHPSrm, Convert__Reg1_2__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16, MCK_FR16 }, },
{ 13850 /* vmovhps */, X86::VMOVHPSZ128rm, Convert__Reg1_2__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK_FR16X }, },
{ 13858 /* vmovlhps */, X86::VMOVLHPSrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 13858 /* vmovlhps */, X86::VMOVLHPSZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 13867 /* vmovlpd */, X86::VMOVLPDmr, Convert__Mem645_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_Mem64 }, },
{ 13867 /* vmovlpd */, X86::VMOVLPDZ128mr, Convert__Mem645_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_Mem64 }, },
{ 13867 /* vmovlpd */, X86::VMOVLPDrm, Convert__Reg1_2__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16, MCK_FR16 }, },
{ 13867 /* vmovlpd */, X86::VMOVLPDZ128rm, Convert__Reg1_2__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK_FR16X }, },
{ 13875 /* vmovlps */, X86::VMOVLPSmr, Convert__Mem645_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_Mem64 }, },
{ 13875 /* vmovlps */, X86::VMOVLPSZ128mr, Convert__Mem645_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_Mem64 }, },
{ 13875 /* vmovlps */, X86::VMOVLPSrm, Convert__Reg1_2__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16, MCK_FR16 }, },
{ 13875 /* vmovlps */, X86::VMOVLPSZ128rm, Convert__Reg1_2__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK_FR16X }, },
{ 13883 /* vmovmskpd */, X86::VMOVMSKPDrr, Convert__GR32orGR641_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_GR32orGR64 }, },
{ 13883 /* vmovmskpd */, X86::VMOVMSKPDYrr, Convert__GR32orGR641_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_GR32orGR64 }, },
{ 13893 /* vmovmskps */, X86::VMOVMSKPSrr, Convert__GR32orGR641_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_GR32orGR64 }, },
{ 13893 /* vmovmskps */, X86::VMOVMSKPSYrr, Convert__GR32orGR641_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_GR32orGR64 }, },
{ 13903 /* vmovntdq */, X86::VMOVNTDQmr, Convert__Mem1285_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 13903 /* vmovntdq */, X86::VMOVNTDQYmr, Convert__Mem2565_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_Mem256 }, },
{ 13903 /* vmovntdq */, X86::VMOVNTDQZ128mr, Convert__Mem1285_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_Mem128 }, },
{ 13903 /* vmovntdq */, X86::VMOVNTDQZ256mr, Convert__Mem2565_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_Mem256 }, },
{ 13903 /* vmovntdq */, X86::VMOVNTDQZmr, Convert__Mem5125_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_Mem512 }, },
{ 13912 /* vmovntdqa */, X86::VMOVNTDQArm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 13912 /* vmovntdqa */, X86::VMOVNTDQAZ128rm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X }, },
{ 13912 /* vmovntdqa */, X86::VMOVNTDQAYrm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256 }, },
{ 13912 /* vmovntdqa */, X86::VMOVNTDQAZ256rm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X }, },
{ 13912 /* vmovntdqa */, X86::VMOVNTDQAZrm, Convert__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512 }, },
{ 13922 /* vmovntpd */, X86::VMOVNTPDmr, Convert__Mem1285_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 13922 /* vmovntpd */, X86::VMOVNTPDYmr, Convert__Mem2565_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_Mem256 }, },
{ 13922 /* vmovntpd */, X86::VMOVNTPDZ128mr, Convert__Mem1285_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_Mem128 }, },
{ 13922 /* vmovntpd */, X86::VMOVNTPDZ256mr, Convert__Mem2565_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_Mem256 }, },
{ 13922 /* vmovntpd */, X86::VMOVNTPDZmr, Convert__Mem5125_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_Mem512 }, },
{ 13931 /* vmovntps */, X86::VMOVNTPSmr, Convert__Mem1285_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 13931 /* vmovntps */, X86::VMOVNTPSYmr, Convert__Mem2565_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_Mem256 }, },
{ 13931 /* vmovntps */, X86::VMOVNTPSZ128mr, Convert__Mem1285_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_Mem128 }, },
{ 13931 /* vmovntps */, X86::VMOVNTPSZ256mr, Convert__Mem2565_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_Mem256 }, },
{ 13931 /* vmovntps */, X86::VMOVNTPSZmr, Convert__Mem5125_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_Mem512 }, },
{ 13940 /* vmovq */, X86::VMOVZPQILo2PQIrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 13940 /* vmovq */, X86::VMOVPQIto64rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_GR64 }, },
{ 13940 /* vmovq */, X86::VMOVPQI2QImr, Convert__Mem645_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_Mem64 }, },
{ 13940 /* vmovq */, X86::VMOV64toPQIrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR64, MCK_FR16 }, },
{ 13940 /* vmovq */, X86::VMOV64toPQIZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR64, MCK_FR16X }, },
{ 13940 /* vmovq */, X86::VMOVPQIto64Zrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_GR64 }, },
{ 13940 /* vmovq */, X86::VMOVZPQILo2PQIZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 13940 /* vmovq */, X86::VMOVPQI2QIZmr, Convert__Mem645_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_Mem64 }, },
{ 13940 /* vmovq */, X86::VMOVQI2PQIrm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16 }, },
{ 13940 /* vmovq */, X86::VMOVQI2PQIZrm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X }, },
{ 13946 /* vmovq.s */, X86::VMOVPQI2QIrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 13946 /* vmovq.s */, X86::VMOVPQI2QIZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 13954 /* vmovsd */, X86::VMOVSDmr, Convert__Mem645_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_Mem64 }, },
{ 13954 /* vmovsd */, X86::VMOVSDZmr, Convert__Mem645_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_Mem64 }, },
{ 13954 /* vmovsd */, X86::VMOVSDrm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16 }, },
{ 13954 /* vmovsd */, X86::VMOVSDZrm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X }, },
{ 13954 /* vmovsd */, X86::VMOVSDrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 13954 /* vmovsd */, X86::VMOVSDZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 13954 /* vmovsd */, X86::VMOVSDZmrk, Convert__Mem645_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_Mem64, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13954 /* vmovsd */, X86::VMOVSDZrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13954 /* vmovsd */, X86::VMOVSDZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13954 /* vmovsd */, X86::VMOVSDZrmkz, Convert__Reg1_1__Reg1_3__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13954 /* vmovsd */, X86::VMOVSDZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13961 /* vmovsd.s */, X86::VMOVSDrr_REV, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 13961 /* vmovsd.s */, X86::VMOVSDZrr_REV, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 13961 /* vmovsd.s */, X86::VMOVSDZrrk_REV, Convert__Reg1_2__Tie0_3_3__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13961 /* vmovsd.s */, X86::VMOVSDZrrkz_REV, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13970 /* vmovsh */, X86::VMOVSHZmr, Convert__Mem165_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_Mem16 }, },
{ 13970 /* vmovsh */, X86::VMOVSHZrm, Convert__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16X }, },
{ 13970 /* vmovsh */, X86::VMOVSHZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 13970 /* vmovsh */, X86::VMOVSHZmrk, Convert__Mem165_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_Mem16, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13970 /* vmovsh */, X86::VMOVSHZrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13970 /* vmovsh */, X86::VMOVSHZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13970 /* vmovsh */, X86::VMOVSHZrmkz, Convert__Reg1_1__Reg1_3__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13970 /* vmovsh */, X86::VMOVSHZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13977 /* vmovsh.s */, X86::VMOVSHZrr_REV, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 13977 /* vmovsh.s */, X86::VMOVSHZrrk_REV, Convert__Reg1_2__Tie0_3_3__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13977 /* vmovsh.s */, X86::VMOVSHZrrkz_REV, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13986 /* vmovshdup */, X86::VMOVSHDUPrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 13986 /* vmovshdup */, X86::VMOVSHDUPYrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256 }, },
{ 13986 /* vmovshdup */, X86::VMOVSHDUPZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 13986 /* vmovshdup */, X86::VMOVSHDUPZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 13986 /* vmovshdup */, X86::VMOVSHDUPZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 13986 /* vmovshdup */, X86::VMOVSHDUPrm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 13986 /* vmovshdup */, X86::VMOVSHDUPZ128rm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X }, },
{ 13986 /* vmovshdup */, X86::VMOVSHDUPYrm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256 }, },
{ 13986 /* vmovshdup */, X86::VMOVSHDUPZ256rm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X }, },
{ 13986 /* vmovshdup */, X86::VMOVSHDUPZrm, Convert__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512 }, },
{ 13986 /* vmovshdup */, X86::VMOVSHDUPZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13986 /* vmovshdup */, X86::VMOVSHDUPZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13986 /* vmovshdup */, X86::VMOVSHDUPZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13986 /* vmovshdup */, X86::VMOVSHDUPZ128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13986 /* vmovshdup */, X86::VMOVSHDUPZ256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13986 /* vmovshdup */, X86::VMOVSHDUPZrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13986 /* vmovshdup */, X86::VMOVSHDUPZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13986 /* vmovshdup */, X86::VMOVSHDUPZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13986 /* vmovshdup */, X86::VMOVSHDUPZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13986 /* vmovshdup */, X86::VMOVSHDUPZ128rmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13986 /* vmovshdup */, X86::VMOVSHDUPZ256rmkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13986 /* vmovshdup */, X86::VMOVSHDUPZrmkz, Convert__Reg1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13996 /* vmovsldup */, X86::VMOVSLDUPrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 13996 /* vmovsldup */, X86::VMOVSLDUPYrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256 }, },
{ 13996 /* vmovsldup */, X86::VMOVSLDUPZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 13996 /* vmovsldup */, X86::VMOVSLDUPZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 13996 /* vmovsldup */, X86::VMOVSLDUPZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 13996 /* vmovsldup */, X86::VMOVSLDUPrm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 13996 /* vmovsldup */, X86::VMOVSLDUPZ128rm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X }, },
{ 13996 /* vmovsldup */, X86::VMOVSLDUPYrm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256 }, },
{ 13996 /* vmovsldup */, X86::VMOVSLDUPZ256rm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X }, },
{ 13996 /* vmovsldup */, X86::VMOVSLDUPZrm, Convert__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512 }, },
{ 13996 /* vmovsldup */, X86::VMOVSLDUPZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13996 /* vmovsldup */, X86::VMOVSLDUPZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13996 /* vmovsldup */, X86::VMOVSLDUPZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13996 /* vmovsldup */, X86::VMOVSLDUPZ128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13996 /* vmovsldup */, X86::VMOVSLDUPZ256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13996 /* vmovsldup */, X86::VMOVSLDUPZrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 13996 /* vmovsldup */, X86::VMOVSLDUPZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13996 /* vmovsldup */, X86::VMOVSLDUPZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13996 /* vmovsldup */, X86::VMOVSLDUPZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13996 /* vmovsldup */, X86::VMOVSLDUPZ128rmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13996 /* vmovsldup */, X86::VMOVSLDUPZ256rmkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 13996 /* vmovsldup */, X86::VMOVSLDUPZrmkz, Convert__Reg1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14006 /* vmovss */, X86::VMOVSSmr, Convert__Mem325_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_Mem32 }, },
{ 14006 /* vmovss */, X86::VMOVSSZmr, Convert__Mem325_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_Mem32 }, },
{ 14006 /* vmovss */, X86::VMOVSSrm, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16 }, },
{ 14006 /* vmovss */, X86::VMOVSSZrm, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X }, },
{ 14006 /* vmovss */, X86::VMOVSSrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 14006 /* vmovss */, X86::VMOVSSZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 14006 /* vmovss */, X86::VMOVSSZmrk, Convert__Mem325_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_Mem32, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14006 /* vmovss */, X86::VMOVSSZrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14006 /* vmovss */, X86::VMOVSSZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14006 /* vmovss */, X86::VMOVSSZrmkz, Convert__Reg1_1__Reg1_3__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14006 /* vmovss */, X86::VMOVSSZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14013 /* vmovss.s */, X86::VMOVSSrr_REV, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 14013 /* vmovss.s */, X86::VMOVSSZrr_REV, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 14013 /* vmovss.s */, X86::VMOVSSZrrk_REV, Convert__Reg1_2__Tie0_3_3__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14013 /* vmovss.s */, X86::VMOVSSZrrkz_REV, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14022 /* vmovupd */, X86::VMOVUPDrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 14022 /* vmovupd */, X86::VMOVUPDmr, Convert__Mem1285_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 14022 /* vmovupd */, X86::VMOVUPDYrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256 }, },
{ 14022 /* vmovupd */, X86::VMOVUPDYmr, Convert__Mem2565_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_Mem256 }, },
{ 14022 /* vmovupd */, X86::VMOVUPDZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 14022 /* vmovupd */, X86::VMOVUPDZ128mr, Convert__Mem1285_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_Mem128 }, },
{ 14022 /* vmovupd */, X86::VMOVUPDZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 14022 /* vmovupd */, X86::VMOVUPDZ256mr, Convert__Mem2565_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_Mem256 }, },
{ 14022 /* vmovupd */, X86::VMOVUPDZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 14022 /* vmovupd */, X86::VMOVUPDZmr, Convert__Mem5125_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_Mem512 }, },
{ 14022 /* vmovupd */, X86::VMOVUPDrm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 14022 /* vmovupd */, X86::VMOVUPDZ128rm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X }, },
{ 14022 /* vmovupd */, X86::VMOVUPDYrm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256 }, },
{ 14022 /* vmovupd */, X86::VMOVUPDZ256rm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X }, },
{ 14022 /* vmovupd */, X86::VMOVUPDZrm, Convert__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512 }, },
{ 14022 /* vmovupd */, X86::VMOVUPDZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14022 /* vmovupd */, X86::VMOVUPDZ128mrk, Convert__Mem1285_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_Mem128, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14022 /* vmovupd */, X86::VMOVUPDZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14022 /* vmovupd */, X86::VMOVUPDZ256mrk, Convert__Mem2565_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_Mem256, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14022 /* vmovupd */, X86::VMOVUPDZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14022 /* vmovupd */, X86::VMOVUPDZmrk, Convert__Mem5125_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_Mem512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14022 /* vmovupd */, X86::VMOVUPDZ128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14022 /* vmovupd */, X86::VMOVUPDZ256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14022 /* vmovupd */, X86::VMOVUPDZrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14022 /* vmovupd */, X86::VMOVUPDZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14022 /* vmovupd */, X86::VMOVUPDZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14022 /* vmovupd */, X86::VMOVUPDZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14022 /* vmovupd */, X86::VMOVUPDZ128rmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14022 /* vmovupd */, X86::VMOVUPDZ256rmkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14022 /* vmovupd */, X86::VMOVUPDZrmkz, Convert__Reg1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14030 /* vmovupd.s */, X86::VMOVUPDrr_REV, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 14030 /* vmovupd.s */, X86::VMOVUPDYrr_REV, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256 }, },
{ 14030 /* vmovupd.s */, X86::VMOVUPDZ128rr_REV, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 14030 /* vmovupd.s */, X86::VMOVUPDZ256rr_REV, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 14030 /* vmovupd.s */, X86::VMOVUPDZrr_REV, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 14030 /* vmovupd.s */, X86::VMOVUPDZ128rrk_REV, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14030 /* vmovupd.s */, X86::VMOVUPDZ256rrk_REV, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14030 /* vmovupd.s */, X86::VMOVUPDZrrk_REV, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14030 /* vmovupd.s */, X86::VMOVUPDZ128rrkz_REV, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14030 /* vmovupd.s */, X86::VMOVUPDZ256rrkz_REV, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14030 /* vmovupd.s */, X86::VMOVUPDZrrkz_REV, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14040 /* vmovups */, X86::VMOVUPSrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 14040 /* vmovups */, X86::VMOVUPSmr, Convert__Mem1285_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 14040 /* vmovups */, X86::VMOVUPSYrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256 }, },
{ 14040 /* vmovups */, X86::VMOVUPSYmr, Convert__Mem2565_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_Mem256 }, },
{ 14040 /* vmovups */, X86::VMOVUPSZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 14040 /* vmovups */, X86::VMOVUPSZ128mr, Convert__Mem1285_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_Mem128 }, },
{ 14040 /* vmovups */, X86::VMOVUPSZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 14040 /* vmovups */, X86::VMOVUPSZ256mr, Convert__Mem2565_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_Mem256 }, },
{ 14040 /* vmovups */, X86::VMOVUPSZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 14040 /* vmovups */, X86::VMOVUPSZmr, Convert__Mem5125_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_Mem512 }, },
{ 14040 /* vmovups */, X86::VMOVUPSrm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 14040 /* vmovups */, X86::VMOVUPSZ128rm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X }, },
{ 14040 /* vmovups */, X86::VMOVUPSYrm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256 }, },
{ 14040 /* vmovups */, X86::VMOVUPSZ256rm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X }, },
{ 14040 /* vmovups */, X86::VMOVUPSZrm, Convert__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512 }, },
{ 14040 /* vmovups */, X86::VMOVUPSZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14040 /* vmovups */, X86::VMOVUPSZ128mrk, Convert__Mem1285_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_Mem128, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14040 /* vmovups */, X86::VMOVUPSZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14040 /* vmovups */, X86::VMOVUPSZ256mrk, Convert__Mem2565_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_Mem256, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14040 /* vmovups */, X86::VMOVUPSZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14040 /* vmovups */, X86::VMOVUPSZmrk, Convert__Mem5125_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_Mem512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14040 /* vmovups */, X86::VMOVUPSZ128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14040 /* vmovups */, X86::VMOVUPSZ256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14040 /* vmovups */, X86::VMOVUPSZrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14040 /* vmovups */, X86::VMOVUPSZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14040 /* vmovups */, X86::VMOVUPSZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14040 /* vmovups */, X86::VMOVUPSZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14040 /* vmovups */, X86::VMOVUPSZ128rmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14040 /* vmovups */, X86::VMOVUPSZ256rmkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14040 /* vmovups */, X86::VMOVUPSZrmkz, Convert__Reg1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14048 /* vmovups.s */, X86::VMOVUPSrr_REV, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 14048 /* vmovups.s */, X86::VMOVUPSYrr_REV, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256 }, },
{ 14048 /* vmovups.s */, X86::VMOVUPSZ128rr_REV, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 14048 /* vmovups.s */, X86::VMOVUPSZ256rr_REV, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 14048 /* vmovups.s */, X86::VMOVUPSZrr_REV, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 14048 /* vmovups.s */, X86::VMOVUPSZ128rrk_REV, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14048 /* vmovups.s */, X86::VMOVUPSZ256rrk_REV, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14048 /* vmovups.s */, X86::VMOVUPSZrrk_REV, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14048 /* vmovups.s */, X86::VMOVUPSZ128rrkz_REV, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14048 /* vmovups.s */, X86::VMOVUPSZ256rrkz_REV, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14048 /* vmovups.s */, X86::VMOVUPSZrrkz_REV, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14058 /* vmovw */, X86::VMOVW2SHrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_FR16X }, },
{ 14058 /* vmovw */, X86::VMOVW64toSHrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR64, MCK_FR16X }, },
{ 14058 /* vmovw */, X86::VMOVSH2Wrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_GR32 }, },
{ 14058 /* vmovw */, X86::VMOVSHtoW64rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_GR64 }, },
{ 14058 /* vmovw */, X86::VMOVWmr, Convert__Mem165_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_Mem16 }, },
{ 14058 /* vmovw */, X86::VMOVWrm, Convert__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16X }, },
{ 14064 /* vmpsadbw */, X86::VMPSADBWrri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 14064 /* vmpsadbw */, X86::VMPSADBWYrri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 14064 /* vmpsadbw */, X86::VMPSADBWrmi, Convert__Reg1_3__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 14064 /* vmpsadbw */, X86::VMPSADBWYrmi, Convert__Reg1_3__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 14073 /* vmptrld */, X86::VMPTRLDm, Convert__Mem645_0, AMFBS_None, { MCK_Mem64 }, },
{ 14081 /* vmptrst */, X86::VMPTRSTm, Convert__Mem645_0, AMFBS_None, { MCK_Mem64 }, },
{ 14096 /* vmreadl */, X86::VMREAD32rr, Convert__Reg1_1__Reg1_0, AMFBS_Not64BitMode, { MCK_GR32, MCK_GR32 }, },
{ 14096 /* vmreadl */, X86::VMREAD32mr, Convert__Mem325_1__Reg1_0, AMFBS_Not64BitMode, { MCK_GR32, MCK_Mem32 }, },
{ 14104 /* vmreadq */, X86::VMREAD64rr, Convert__Reg1_1__Reg1_0, AMFBS_In64BitMode, { MCK_GR64, MCK_GR64 }, },
{ 14104 /* vmreadq */, X86::VMREAD64mr, Convert__Mem645_1__Reg1_0, AMFBS_In64BitMode, { MCK_GR64, MCK_Mem64 }, },
{ 14112 /* vmresume */, X86::VMRESUME, Convert_NoOperands, AMFBS_None, { }, },
{ 14121 /* vmrun */, X86::VMRUN32, Convert_NoOperands, AMFBS_Not64BitMode, { }, },
{ 14121 /* vmrun */, X86::VMRUN64, Convert_NoOperands, AMFBS_In64BitMode, { }, },
{ 14121 /* vmrun */, X86::VMRUN32, Convert_NoOperands, AMFBS_Not64BitMode, { MCK_EAX }, },
{ 14121 /* vmrun */, X86::VMRUN64, Convert_NoOperands, AMFBS_In64BitMode, { MCK_RAX }, },
{ 14127 /* vmsave */, X86::VMSAVE32, Convert_NoOperands, AMFBS_Not64BitMode, { }, },
{ 14127 /* vmsave */, X86::VMSAVE64, Convert_NoOperands, AMFBS_In64BitMode, { }, },
{ 14127 /* vmsave */, X86::VMSAVE32, Convert_NoOperands, AMFBS_Not64BitMode, { MCK_EAX }, },
{ 14127 /* vmsave */, X86::VMSAVE64, Convert_NoOperands, AMFBS_In64BitMode, { MCK_RAX }, },
{ 14134 /* vmulpd */, X86::VMULPDrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 14134 /* vmulpd */, X86::VMULPDYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 14134 /* vmulpd */, X86::VMULPDZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 14134 /* vmulpd */, X86::VMULPDZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 14134 /* vmulpd */, X86::VMULPDZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 14134 /* vmulpd */, X86::VMULPDrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 14134 /* vmulpd */, X86::VMULPDZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 14134 /* vmulpd */, X86::VMULPDYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 14134 /* vmulpd */, X86::VMULPDZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 14134 /* vmulpd */, X86::VMULPDZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 14134 /* vmulpd */, X86::VMULPDZrrb, Convert__Reg1_3__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 14134 /* vmulpd */, X86::VMULPDZ128rmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X }, },
{ 14134 /* vmulpd */, X86::VMULPDZ256rmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X }, },
{ 14134 /* vmulpd */, X86::VMULPDZrmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512 }, },
{ 14134 /* vmulpd */, X86::VMULPDZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14134 /* vmulpd */, X86::VMULPDZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14134 /* vmulpd */, X86::VMULPDZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14134 /* vmulpd */, X86::VMULPDZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14134 /* vmulpd */, X86::VMULPDZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14134 /* vmulpd */, X86::VMULPDZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14134 /* vmulpd */, X86::VMULPDZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14134 /* vmulpd */, X86::VMULPDZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14134 /* vmulpd */, X86::VMULPDZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14134 /* vmulpd */, X86::VMULPDZrrbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14134 /* vmulpd */, X86::VMULPDZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14134 /* vmulpd */, X86::VMULPDZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14134 /* vmulpd */, X86::VMULPDZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14134 /* vmulpd */, X86::VMULPDZ128rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14134 /* vmulpd */, X86::VMULPDZ256rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14134 /* vmulpd */, X86::VMULPDZrmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14134 /* vmulpd */, X86::VMULPDZrrbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14134 /* vmulpd */, X86::VMULPDZ128rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14134 /* vmulpd */, X86::VMULPDZ256rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14134 /* vmulpd */, X86::VMULPDZrmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14141 /* vmulph */, X86::VMULPHZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 14141 /* vmulph */, X86::VMULPHZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 14141 /* vmulph */, X86::VMULPHZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 14141 /* vmulph */, X86::VMULPHZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 14141 /* vmulph */, X86::VMULPHZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 14141 /* vmulph */, X86::VMULPHZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 14141 /* vmulph */, X86::VMULPHZrrb, Convert__Reg1_3__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 14141 /* vmulph */, X86::VMULPHZ256rmb, Convert__Reg1_3__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK_VR256X }, },
{ 14141 /* vmulph */, X86::VMULPHZrmb, Convert__Reg1_3__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK_VR512 }, },
{ 14141 /* vmulph */, X86::VMULPHZ128rmb, Convert__Reg1_3__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK_FR16X }, },
{ 14141 /* vmulph */, X86::VMULPHZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14141 /* vmulph */, X86::VMULPHZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14141 /* vmulph */, X86::VMULPHZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14141 /* vmulph */, X86::VMULPHZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14141 /* vmulph */, X86::VMULPHZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14141 /* vmulph */, X86::VMULPHZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14141 /* vmulph */, X86::VMULPHZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14141 /* vmulph */, X86::VMULPHZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14141 /* vmulph */, X86::VMULPHZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14141 /* vmulph */, X86::VMULPHZrrbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14141 /* vmulph */, X86::VMULPHZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14141 /* vmulph */, X86::VMULPHZ256rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14141 /* vmulph */, X86::VMULPHZrmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14141 /* vmulph */, X86::VMULPHZ128rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14141 /* vmulph */, X86::VMULPHZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14141 /* vmulph */, X86::VMULPHZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14141 /* vmulph */, X86::VMULPHZrrbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14141 /* vmulph */, X86::VMULPHZ256rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14141 /* vmulph */, X86::VMULPHZrmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14141 /* vmulph */, X86::VMULPHZ128rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14148 /* vmulps */, X86::VMULPSrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 14148 /* vmulps */, X86::VMULPSYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 14148 /* vmulps */, X86::VMULPSZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 14148 /* vmulps */, X86::VMULPSZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 14148 /* vmulps */, X86::VMULPSZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 14148 /* vmulps */, X86::VMULPSrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 14148 /* vmulps */, X86::VMULPSZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 14148 /* vmulps */, X86::VMULPSYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 14148 /* vmulps */, X86::VMULPSZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 14148 /* vmulps */, X86::VMULPSZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 14148 /* vmulps */, X86::VMULPSZrrb, Convert__Reg1_3__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 14148 /* vmulps */, X86::VMULPSZrmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512 }, },
{ 14148 /* vmulps */, X86::VMULPSZ128rmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X }, },
{ 14148 /* vmulps */, X86::VMULPSZ256rmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X }, },
{ 14148 /* vmulps */, X86::VMULPSZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14148 /* vmulps */, X86::VMULPSZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14148 /* vmulps */, X86::VMULPSZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14148 /* vmulps */, X86::VMULPSZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14148 /* vmulps */, X86::VMULPSZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14148 /* vmulps */, X86::VMULPSZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14148 /* vmulps */, X86::VMULPSZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14148 /* vmulps */, X86::VMULPSZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14148 /* vmulps */, X86::VMULPSZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14148 /* vmulps */, X86::VMULPSZrrbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14148 /* vmulps */, X86::VMULPSZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14148 /* vmulps */, X86::VMULPSZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14148 /* vmulps */, X86::VMULPSZrmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14148 /* vmulps */, X86::VMULPSZ128rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14148 /* vmulps */, X86::VMULPSZ256rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14148 /* vmulps */, X86::VMULPSZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14148 /* vmulps */, X86::VMULPSZrrbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14148 /* vmulps */, X86::VMULPSZrmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14148 /* vmulps */, X86::VMULPSZ128rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14148 /* vmulps */, X86::VMULPSZ256rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14155 /* vmulsd */, X86::VMULSDrr_Int, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 14155 /* vmulsd */, X86::VMULSDZrr_Int, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 14155 /* vmulsd */, X86::VMULSDrm_Int, Convert__Reg1_2__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16, MCK_FR16 }, },
{ 14155 /* vmulsd */, X86::VMULSDZrm_Int, Convert__Reg1_2__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK_FR16X }, },
{ 14155 /* vmulsd */, X86::VMULSDZrrb_Int, Convert__Reg1_3__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 14155 /* vmulsd */, X86::VMULSDZrr_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14155 /* vmulsd */, X86::VMULSDZrm_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14155 /* vmulsd */, X86::VMULSDZrr_Intkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14155 /* vmulsd */, X86::VMULSDZrrb_Intk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14155 /* vmulsd */, X86::VMULSDZrm_Intkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14155 /* vmulsd */, X86::VMULSDZrrb_Intkz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14162 /* vmulsh */, X86::VMULSHZrr_Int, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 14162 /* vmulsh */, X86::VMULSHZrm_Int, Convert__Reg1_2__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16X, MCK_FR16X }, },
{ 14162 /* vmulsh */, X86::VMULSHZrrb_Int, Convert__Reg1_3__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 14162 /* vmulsh */, X86::VMULSHZrr_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14162 /* vmulsh */, X86::VMULSHZrm_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14162 /* vmulsh */, X86::VMULSHZrr_Intkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14162 /* vmulsh */, X86::VMULSHZrrb_Intk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14162 /* vmulsh */, X86::VMULSHZrm_Intkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14162 /* vmulsh */, X86::VMULSHZrrb_Intkz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14169 /* vmulss */, X86::VMULSSrr_Int, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 14169 /* vmulss */, X86::VMULSSZrr_Int, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 14169 /* vmulss */, X86::VMULSSrm_Int, Convert__Reg1_2__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16, MCK_FR16 }, },
{ 14169 /* vmulss */, X86::VMULSSZrm_Int, Convert__Reg1_2__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X }, },
{ 14169 /* vmulss */, X86::VMULSSZrrb_Int, Convert__Reg1_3__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 14169 /* vmulss */, X86::VMULSSZrr_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14169 /* vmulss */, X86::VMULSSZrm_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14169 /* vmulss */, X86::VMULSSZrr_Intkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14169 /* vmulss */, X86::VMULSSZrrb_Intk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14169 /* vmulss */, X86::VMULSSZrm_Intkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14169 /* vmulss */, X86::VMULSSZrrb_Intkz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14184 /* vmwritel */, X86::VMWRITE32rr, Convert__Reg1_1__Reg1_0, AMFBS_Not64BitMode, { MCK_GR32, MCK_GR32 }, },
{ 14184 /* vmwritel */, X86::VMWRITE32rm, Convert__Reg1_1__Mem325_0, AMFBS_Not64BitMode, { MCK_Mem32, MCK_GR32 }, },
{ 14193 /* vmwriteq */, X86::VMWRITE64rr, Convert__Reg1_1__Reg1_0, AMFBS_In64BitMode, { MCK_GR64, MCK_GR64 }, },
{ 14193 /* vmwriteq */, X86::VMWRITE64rm, Convert__Reg1_1__Mem645_0, AMFBS_In64BitMode, { MCK_Mem64, MCK_GR64 }, },
{ 14202 /* vmxoff */, X86::VMXOFF, Convert_NoOperands, AMFBS_None, { }, },
{ 14209 /* vmxon */, X86::VMXON, Convert__Mem645_0, AMFBS_None, { MCK_Mem64 }, },
{ 14215 /* vorpd */, X86::VORPDrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 14215 /* vorpd */, X86::VORPDYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 14215 /* vorpd */, X86::VORPDZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 14215 /* vorpd */, X86::VORPDZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 14215 /* vorpd */, X86::VORPDZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 14215 /* vorpd */, X86::VORPDrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 14215 /* vorpd */, X86::VORPDZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 14215 /* vorpd */, X86::VORPDYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 14215 /* vorpd */, X86::VORPDZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 14215 /* vorpd */, X86::VORPDZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 14215 /* vorpd */, X86::VORPDZ128rmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X }, },
{ 14215 /* vorpd */, X86::VORPDZ256rmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X }, },
{ 14215 /* vorpd */, X86::VORPDZrmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512 }, },
{ 14215 /* vorpd */, X86::VORPDZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14215 /* vorpd */, X86::VORPDZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14215 /* vorpd */, X86::VORPDZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14215 /* vorpd */, X86::VORPDZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14215 /* vorpd */, X86::VORPDZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14215 /* vorpd */, X86::VORPDZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14215 /* vorpd */, X86::VORPDZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14215 /* vorpd */, X86::VORPDZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14215 /* vorpd */, X86::VORPDZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14215 /* vorpd */, X86::VORPDZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14215 /* vorpd */, X86::VORPDZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14215 /* vorpd */, X86::VORPDZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14215 /* vorpd */, X86::VORPDZ128rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14215 /* vorpd */, X86::VORPDZ256rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14215 /* vorpd */, X86::VORPDZrmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14215 /* vorpd */, X86::VORPDZ128rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14215 /* vorpd */, X86::VORPDZ256rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14215 /* vorpd */, X86::VORPDZrmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14221 /* vorps */, X86::VORPSrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 14221 /* vorps */, X86::VORPSYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 14221 /* vorps */, X86::VORPSZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 14221 /* vorps */, X86::VORPSZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 14221 /* vorps */, X86::VORPSZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 14221 /* vorps */, X86::VORPSrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 14221 /* vorps */, X86::VORPSZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 14221 /* vorps */, X86::VORPSYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 14221 /* vorps */, X86::VORPSZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 14221 /* vorps */, X86::VORPSZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 14221 /* vorps */, X86::VORPSZrmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512 }, },
{ 14221 /* vorps */, X86::VORPSZ128rmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X }, },
{ 14221 /* vorps */, X86::VORPSZ256rmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X }, },
{ 14221 /* vorps */, X86::VORPSZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14221 /* vorps */, X86::VORPSZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14221 /* vorps */, X86::VORPSZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14221 /* vorps */, X86::VORPSZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14221 /* vorps */, X86::VORPSZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14221 /* vorps */, X86::VORPSZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14221 /* vorps */, X86::VORPSZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14221 /* vorps */, X86::VORPSZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14221 /* vorps */, X86::VORPSZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14221 /* vorps */, X86::VORPSZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14221 /* vorps */, X86::VORPSZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14221 /* vorps */, X86::VORPSZrmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14221 /* vorps */, X86::VORPSZ128rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14221 /* vorps */, X86::VORPSZ256rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14221 /* vorps */, X86::VORPSZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14221 /* vorps */, X86::VORPSZrmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14221 /* vorps */, X86::VORPSZ128rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14221 /* vorps */, X86::VORPSZ256rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14227 /* vp2intersectd */, X86::VP2INTERSECTDZ128rr, Convert__VK4Pair1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_VK4Pair }, },
{ 14227 /* vp2intersectd */, X86::VP2INTERSECTDZ256rr, Convert__VK8Pair1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VK8Pair }, },
{ 14227 /* vp2intersectd */, X86::VP2INTERSECTDZrr, Convert__VK16Pair1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VK16Pair }, },
{ 14227 /* vp2intersectd */, X86::VP2INTERSECTDZ128rm, Convert__VK4Pair1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_VK4Pair }, },
{ 14227 /* vp2intersectd */, X86::VP2INTERSECTDZ256rm, Convert__VK8Pair1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VK8Pair }, },
{ 14227 /* vp2intersectd */, X86::VP2INTERSECTDZrm, Convert__VK16Pair1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VK16Pair }, },
{ 14227 /* vp2intersectd */, X86::VP2INTERSECTDZrmb, Convert__VK16Pair1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VK16Pair }, },
{ 14227 /* vp2intersectd */, X86::VP2INTERSECTDZ128rmb, Convert__VK4Pair1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_VK4Pair }, },
{ 14227 /* vp2intersectd */, X86::VP2INTERSECTDZ256rmb, Convert__VK8Pair1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VK8Pair }, },
{ 14241 /* vp2intersectq */, X86::VP2INTERSECTQZ128rr, Convert__VK2Pair1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_VK2Pair }, },
{ 14241 /* vp2intersectq */, X86::VP2INTERSECTQZ256rr, Convert__VK4Pair1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VK4Pair }, },
{ 14241 /* vp2intersectq */, X86::VP2INTERSECTQZrr, Convert__VK8Pair1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VK8Pair }, },
{ 14241 /* vp2intersectq */, X86::VP2INTERSECTQZ128rm, Convert__VK2Pair1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_VK2Pair }, },
{ 14241 /* vp2intersectq */, X86::VP2INTERSECTQZ256rm, Convert__VK4Pair1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VK4Pair }, },
{ 14241 /* vp2intersectq */, X86::VP2INTERSECTQZrm, Convert__VK8Pair1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VK8Pair }, },
{ 14241 /* vp2intersectq */, X86::VP2INTERSECTQZ128rmb, Convert__VK2Pair1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_VK2Pair }, },
{ 14241 /* vp2intersectq */, X86::VP2INTERSECTQZ256rmb, Convert__VK4Pair1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VK4Pair }, },
{ 14241 /* vp2intersectq */, X86::VP2INTERSECTQZrmb, Convert__VK8Pair1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VK8Pair }, },
{ 14255 /* vp4dpwssd */, X86::VP4DPWSSDrm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR512, MCK_VR512 }, },
{ 14255 /* vp4dpwssd */, X86::VP4DPWSSDrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14255 /* vp4dpwssd */, X86::VP4DPWSSDrmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14265 /* vp4dpwssds */, X86::VP4DPWSSDSrm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR512, MCK_VR512 }, },
{ 14265 /* vp4dpwssds */, X86::VP4DPWSSDSrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14265 /* vp4dpwssds */, X86::VP4DPWSSDSrmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14276 /* vpabsb */, X86::VPABSBrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 14276 /* vpabsb */, X86::VPABSBYrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256 }, },
{ 14276 /* vpabsb */, X86::VPABSBZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 14276 /* vpabsb */, X86::VPABSBZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 14276 /* vpabsb */, X86::VPABSBZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 14276 /* vpabsb */, X86::VPABSBrm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 14276 /* vpabsb */, X86::VPABSBZ128rm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X }, },
{ 14276 /* vpabsb */, X86::VPABSBYrm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256 }, },
{ 14276 /* vpabsb */, X86::VPABSBZ256rm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X }, },
{ 14276 /* vpabsb */, X86::VPABSBZrm, Convert__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512 }, },
{ 14276 /* vpabsb */, X86::VPABSBZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14276 /* vpabsb */, X86::VPABSBZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14276 /* vpabsb */, X86::VPABSBZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14276 /* vpabsb */, X86::VPABSBZ128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14276 /* vpabsb */, X86::VPABSBZ256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14276 /* vpabsb */, X86::VPABSBZrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14276 /* vpabsb */, X86::VPABSBZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14276 /* vpabsb */, X86::VPABSBZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14276 /* vpabsb */, X86::VPABSBZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14276 /* vpabsb */, X86::VPABSBZ128rmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14276 /* vpabsb */, X86::VPABSBZ256rmkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14276 /* vpabsb */, X86::VPABSBZrmkz, Convert__Reg1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14283 /* vpabsd */, X86::VPABSDrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 14283 /* vpabsd */, X86::VPABSDYrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256 }, },
{ 14283 /* vpabsd */, X86::VPABSDZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 14283 /* vpabsd */, X86::VPABSDZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 14283 /* vpabsd */, X86::VPABSDZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 14283 /* vpabsd */, X86::VPABSDrm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 14283 /* vpabsd */, X86::VPABSDZ128rm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X }, },
{ 14283 /* vpabsd */, X86::VPABSDYrm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256 }, },
{ 14283 /* vpabsd */, X86::VPABSDZ256rm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X }, },
{ 14283 /* vpabsd */, X86::VPABSDZrm, Convert__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512 }, },
{ 14283 /* vpabsd */, X86::VPABSDZrmb, Convert__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512 }, },
{ 14283 /* vpabsd */, X86::VPABSDZ128rmb, Convert__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X }, },
{ 14283 /* vpabsd */, X86::VPABSDZ256rmb, Convert__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X }, },
{ 14283 /* vpabsd */, X86::VPABSDZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14283 /* vpabsd */, X86::VPABSDZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14283 /* vpabsd */, X86::VPABSDZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14283 /* vpabsd */, X86::VPABSDZ128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14283 /* vpabsd */, X86::VPABSDZ256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14283 /* vpabsd */, X86::VPABSDZrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14283 /* vpabsd */, X86::VPABSDZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14283 /* vpabsd */, X86::VPABSDZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14283 /* vpabsd */, X86::VPABSDZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14283 /* vpabsd */, X86::VPABSDZ128rmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14283 /* vpabsd */, X86::VPABSDZ256rmkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14283 /* vpabsd */, X86::VPABSDZrmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14283 /* vpabsd */, X86::VPABSDZ128rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14283 /* vpabsd */, X86::VPABSDZ256rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14283 /* vpabsd */, X86::VPABSDZrmkz, Convert__Reg1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14283 /* vpabsd */, X86::VPABSDZrmbkz, Convert__Reg1_2__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14283 /* vpabsd */, X86::VPABSDZ128rmbkz, Convert__Reg1_2__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14283 /* vpabsd */, X86::VPABSDZ256rmbkz, Convert__Reg1_2__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14290 /* vpabsq */, X86::VPABSQZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 14290 /* vpabsq */, X86::VPABSQZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 14290 /* vpabsq */, X86::VPABSQZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 14290 /* vpabsq */, X86::VPABSQZ128rm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X }, },
{ 14290 /* vpabsq */, X86::VPABSQZ256rm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X }, },
{ 14290 /* vpabsq */, X86::VPABSQZrm, Convert__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512 }, },
{ 14290 /* vpabsq */, X86::VPABSQZ128rmb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X }, },
{ 14290 /* vpabsq */, X86::VPABSQZ256rmb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X }, },
{ 14290 /* vpabsq */, X86::VPABSQZrmb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512 }, },
{ 14290 /* vpabsq */, X86::VPABSQZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14290 /* vpabsq */, X86::VPABSQZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14290 /* vpabsq */, X86::VPABSQZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14290 /* vpabsq */, X86::VPABSQZ128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14290 /* vpabsq */, X86::VPABSQZ256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14290 /* vpabsq */, X86::VPABSQZrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14290 /* vpabsq */, X86::VPABSQZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14290 /* vpabsq */, X86::VPABSQZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14290 /* vpabsq */, X86::VPABSQZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14290 /* vpabsq */, X86::VPABSQZ128rmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14290 /* vpabsq */, X86::VPABSQZ256rmkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14290 /* vpabsq */, X86::VPABSQZrmkz, Convert__Reg1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14290 /* vpabsq */, X86::VPABSQZ128rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14290 /* vpabsq */, X86::VPABSQZ256rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14290 /* vpabsq */, X86::VPABSQZrmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14290 /* vpabsq */, X86::VPABSQZ128rmbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14290 /* vpabsq */, X86::VPABSQZ256rmbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14290 /* vpabsq */, X86::VPABSQZrmbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14297 /* vpabsw */, X86::VPABSWrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 14297 /* vpabsw */, X86::VPABSWYrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256 }, },
{ 14297 /* vpabsw */, X86::VPABSWZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 14297 /* vpabsw */, X86::VPABSWZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 14297 /* vpabsw */, X86::VPABSWZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 14297 /* vpabsw */, X86::VPABSWrm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 14297 /* vpabsw */, X86::VPABSWZ128rm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X }, },
{ 14297 /* vpabsw */, X86::VPABSWYrm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256 }, },
{ 14297 /* vpabsw */, X86::VPABSWZ256rm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X }, },
{ 14297 /* vpabsw */, X86::VPABSWZrm, Convert__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512 }, },
{ 14297 /* vpabsw */, X86::VPABSWZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14297 /* vpabsw */, X86::VPABSWZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14297 /* vpabsw */, X86::VPABSWZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14297 /* vpabsw */, X86::VPABSWZ128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14297 /* vpabsw */, X86::VPABSWZ256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14297 /* vpabsw */, X86::VPABSWZrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14297 /* vpabsw */, X86::VPABSWZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14297 /* vpabsw */, X86::VPABSWZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14297 /* vpabsw */, X86::VPABSWZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14297 /* vpabsw */, X86::VPABSWZ128rmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14297 /* vpabsw */, X86::VPABSWZ256rmkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14297 /* vpabsw */, X86::VPABSWZrmkz, Convert__Reg1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14304 /* vpackssdw */, X86::VPACKSSDWrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 14304 /* vpackssdw */, X86::VPACKSSDWYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 14304 /* vpackssdw */, X86::VPACKSSDWZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 14304 /* vpackssdw */, X86::VPACKSSDWZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 14304 /* vpackssdw */, X86::VPACKSSDWZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 14304 /* vpackssdw */, X86::VPACKSSDWrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 14304 /* vpackssdw */, X86::VPACKSSDWZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 14304 /* vpackssdw */, X86::VPACKSSDWYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 14304 /* vpackssdw */, X86::VPACKSSDWZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 14304 /* vpackssdw */, X86::VPACKSSDWZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 14304 /* vpackssdw */, X86::VPACKSSDWZrmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512 }, },
{ 14304 /* vpackssdw */, X86::VPACKSSDWZ128rmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X }, },
{ 14304 /* vpackssdw */, X86::VPACKSSDWZ256rmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X }, },
{ 14304 /* vpackssdw */, X86::VPACKSSDWZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14304 /* vpackssdw */, X86::VPACKSSDWZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14304 /* vpackssdw */, X86::VPACKSSDWZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14304 /* vpackssdw */, X86::VPACKSSDWZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14304 /* vpackssdw */, X86::VPACKSSDWZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14304 /* vpackssdw */, X86::VPACKSSDWZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14304 /* vpackssdw */, X86::VPACKSSDWZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14304 /* vpackssdw */, X86::VPACKSSDWZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14304 /* vpackssdw */, X86::VPACKSSDWZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14304 /* vpackssdw */, X86::VPACKSSDWZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14304 /* vpackssdw */, X86::VPACKSSDWZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14304 /* vpackssdw */, X86::VPACKSSDWZrmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14304 /* vpackssdw */, X86::VPACKSSDWZ128rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14304 /* vpackssdw */, X86::VPACKSSDWZ256rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14304 /* vpackssdw */, X86::VPACKSSDWZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14304 /* vpackssdw */, X86::VPACKSSDWZrmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14304 /* vpackssdw */, X86::VPACKSSDWZ128rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14304 /* vpackssdw */, X86::VPACKSSDWZ256rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14314 /* vpacksswb */, X86::VPACKSSWBrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 14314 /* vpacksswb */, X86::VPACKSSWBYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 14314 /* vpacksswb */, X86::VPACKSSWBZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 14314 /* vpacksswb */, X86::VPACKSSWBZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 14314 /* vpacksswb */, X86::VPACKSSWBZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 14314 /* vpacksswb */, X86::VPACKSSWBrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 14314 /* vpacksswb */, X86::VPACKSSWBZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 14314 /* vpacksswb */, X86::VPACKSSWBYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 14314 /* vpacksswb */, X86::VPACKSSWBZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 14314 /* vpacksswb */, X86::VPACKSSWBZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 14314 /* vpacksswb */, X86::VPACKSSWBZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14314 /* vpacksswb */, X86::VPACKSSWBZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14314 /* vpacksswb */, X86::VPACKSSWBZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14314 /* vpacksswb */, X86::VPACKSSWBZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14314 /* vpacksswb */, X86::VPACKSSWBZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14314 /* vpacksswb */, X86::VPACKSSWBZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14314 /* vpacksswb */, X86::VPACKSSWBZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14314 /* vpacksswb */, X86::VPACKSSWBZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14314 /* vpacksswb */, X86::VPACKSSWBZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14314 /* vpacksswb */, X86::VPACKSSWBZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14314 /* vpacksswb */, X86::VPACKSSWBZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14314 /* vpacksswb */, X86::VPACKSSWBZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14324 /* vpackusdw */, X86::VPACKUSDWrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 14324 /* vpackusdw */, X86::VPACKUSDWYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 14324 /* vpackusdw */, X86::VPACKUSDWZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 14324 /* vpackusdw */, X86::VPACKUSDWZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 14324 /* vpackusdw */, X86::VPACKUSDWZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 14324 /* vpackusdw */, X86::VPACKUSDWrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 14324 /* vpackusdw */, X86::VPACKUSDWZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 14324 /* vpackusdw */, X86::VPACKUSDWYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 14324 /* vpackusdw */, X86::VPACKUSDWZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 14324 /* vpackusdw */, X86::VPACKUSDWZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 14324 /* vpackusdw */, X86::VPACKUSDWZrmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512 }, },
{ 14324 /* vpackusdw */, X86::VPACKUSDWZ128rmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X }, },
{ 14324 /* vpackusdw */, X86::VPACKUSDWZ256rmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X }, },
{ 14324 /* vpackusdw */, X86::VPACKUSDWZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14324 /* vpackusdw */, X86::VPACKUSDWZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14324 /* vpackusdw */, X86::VPACKUSDWZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14324 /* vpackusdw */, X86::VPACKUSDWZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14324 /* vpackusdw */, X86::VPACKUSDWZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14324 /* vpackusdw */, X86::VPACKUSDWZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14324 /* vpackusdw */, X86::VPACKUSDWZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14324 /* vpackusdw */, X86::VPACKUSDWZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14324 /* vpackusdw */, X86::VPACKUSDWZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14324 /* vpackusdw */, X86::VPACKUSDWZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14324 /* vpackusdw */, X86::VPACKUSDWZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14324 /* vpackusdw */, X86::VPACKUSDWZrmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14324 /* vpackusdw */, X86::VPACKUSDWZ128rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14324 /* vpackusdw */, X86::VPACKUSDWZ256rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14324 /* vpackusdw */, X86::VPACKUSDWZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14324 /* vpackusdw */, X86::VPACKUSDWZrmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14324 /* vpackusdw */, X86::VPACKUSDWZ128rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14324 /* vpackusdw */, X86::VPACKUSDWZ256rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14334 /* vpackuswb */, X86::VPACKUSWBrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 14334 /* vpackuswb */, X86::VPACKUSWBYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 14334 /* vpackuswb */, X86::VPACKUSWBZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 14334 /* vpackuswb */, X86::VPACKUSWBZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 14334 /* vpackuswb */, X86::VPACKUSWBZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 14334 /* vpackuswb */, X86::VPACKUSWBrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 14334 /* vpackuswb */, X86::VPACKUSWBZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 14334 /* vpackuswb */, X86::VPACKUSWBYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 14334 /* vpackuswb */, X86::VPACKUSWBZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 14334 /* vpackuswb */, X86::VPACKUSWBZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 14334 /* vpackuswb */, X86::VPACKUSWBZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14334 /* vpackuswb */, X86::VPACKUSWBZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14334 /* vpackuswb */, X86::VPACKUSWBZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14334 /* vpackuswb */, X86::VPACKUSWBZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14334 /* vpackuswb */, X86::VPACKUSWBZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14334 /* vpackuswb */, X86::VPACKUSWBZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14334 /* vpackuswb */, X86::VPACKUSWBZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14334 /* vpackuswb */, X86::VPACKUSWBZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14334 /* vpackuswb */, X86::VPACKUSWBZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14334 /* vpackuswb */, X86::VPACKUSWBZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14334 /* vpackuswb */, X86::VPACKUSWBZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14334 /* vpackuswb */, X86::VPACKUSWBZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14344 /* vpaddb */, X86::VPADDBrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 14344 /* vpaddb */, X86::VPADDBYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 14344 /* vpaddb */, X86::VPADDBZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 14344 /* vpaddb */, X86::VPADDBZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 14344 /* vpaddb */, X86::VPADDBZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 14344 /* vpaddb */, X86::VPADDBrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 14344 /* vpaddb */, X86::VPADDBZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 14344 /* vpaddb */, X86::VPADDBYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 14344 /* vpaddb */, X86::VPADDBZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 14344 /* vpaddb */, X86::VPADDBZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 14344 /* vpaddb */, X86::VPADDBZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14344 /* vpaddb */, X86::VPADDBZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14344 /* vpaddb */, X86::VPADDBZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14344 /* vpaddb */, X86::VPADDBZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14344 /* vpaddb */, X86::VPADDBZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14344 /* vpaddb */, X86::VPADDBZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14344 /* vpaddb */, X86::VPADDBZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14344 /* vpaddb */, X86::VPADDBZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14344 /* vpaddb */, X86::VPADDBZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14344 /* vpaddb */, X86::VPADDBZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14344 /* vpaddb */, X86::VPADDBZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14344 /* vpaddb */, X86::VPADDBZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14351 /* vpaddd */, X86::VPADDDrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 14351 /* vpaddd */, X86::VPADDDYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 14351 /* vpaddd */, X86::VPADDDZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 14351 /* vpaddd */, X86::VPADDDZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 14351 /* vpaddd */, X86::VPADDDZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 14351 /* vpaddd */, X86::VPADDDrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 14351 /* vpaddd */, X86::VPADDDZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 14351 /* vpaddd */, X86::VPADDDYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 14351 /* vpaddd */, X86::VPADDDZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 14351 /* vpaddd */, X86::VPADDDZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 14351 /* vpaddd */, X86::VPADDDZrmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512 }, },
{ 14351 /* vpaddd */, X86::VPADDDZ128rmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X }, },
{ 14351 /* vpaddd */, X86::VPADDDZ256rmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X }, },
{ 14351 /* vpaddd */, X86::VPADDDZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14351 /* vpaddd */, X86::VPADDDZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14351 /* vpaddd */, X86::VPADDDZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14351 /* vpaddd */, X86::VPADDDZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14351 /* vpaddd */, X86::VPADDDZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14351 /* vpaddd */, X86::VPADDDZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14351 /* vpaddd */, X86::VPADDDZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14351 /* vpaddd */, X86::VPADDDZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14351 /* vpaddd */, X86::VPADDDZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14351 /* vpaddd */, X86::VPADDDZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14351 /* vpaddd */, X86::VPADDDZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14351 /* vpaddd */, X86::VPADDDZrmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14351 /* vpaddd */, X86::VPADDDZ128rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14351 /* vpaddd */, X86::VPADDDZ256rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14351 /* vpaddd */, X86::VPADDDZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14351 /* vpaddd */, X86::VPADDDZrmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14351 /* vpaddd */, X86::VPADDDZ128rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14351 /* vpaddd */, X86::VPADDDZ256rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14358 /* vpaddq */, X86::VPADDQrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 14358 /* vpaddq */, X86::VPADDQYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 14358 /* vpaddq */, X86::VPADDQZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 14358 /* vpaddq */, X86::VPADDQZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 14358 /* vpaddq */, X86::VPADDQZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 14358 /* vpaddq */, X86::VPADDQrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 14358 /* vpaddq */, X86::VPADDQZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 14358 /* vpaddq */, X86::VPADDQYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 14358 /* vpaddq */, X86::VPADDQZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 14358 /* vpaddq */, X86::VPADDQZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 14358 /* vpaddq */, X86::VPADDQZ128rmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X }, },
{ 14358 /* vpaddq */, X86::VPADDQZ256rmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X }, },
{ 14358 /* vpaddq */, X86::VPADDQZrmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512 }, },
{ 14358 /* vpaddq */, X86::VPADDQZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14358 /* vpaddq */, X86::VPADDQZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14358 /* vpaddq */, X86::VPADDQZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14358 /* vpaddq */, X86::VPADDQZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14358 /* vpaddq */, X86::VPADDQZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14358 /* vpaddq */, X86::VPADDQZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14358 /* vpaddq */, X86::VPADDQZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14358 /* vpaddq */, X86::VPADDQZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14358 /* vpaddq */, X86::VPADDQZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14358 /* vpaddq */, X86::VPADDQZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14358 /* vpaddq */, X86::VPADDQZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14358 /* vpaddq */, X86::VPADDQZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14358 /* vpaddq */, X86::VPADDQZ128rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14358 /* vpaddq */, X86::VPADDQZ256rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14358 /* vpaddq */, X86::VPADDQZrmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14358 /* vpaddq */, X86::VPADDQZ128rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14358 /* vpaddq */, X86::VPADDQZ256rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14358 /* vpaddq */, X86::VPADDQZrmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14365 /* vpaddsb */, X86::VPADDSBrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 14365 /* vpaddsb */, X86::VPADDSBYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 14365 /* vpaddsb */, X86::VPADDSBZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 14365 /* vpaddsb */, X86::VPADDSBZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 14365 /* vpaddsb */, X86::VPADDSBZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 14365 /* vpaddsb */, X86::VPADDSBrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 14365 /* vpaddsb */, X86::VPADDSBZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 14365 /* vpaddsb */, X86::VPADDSBYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 14365 /* vpaddsb */, X86::VPADDSBZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 14365 /* vpaddsb */, X86::VPADDSBZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 14365 /* vpaddsb */, X86::VPADDSBZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14365 /* vpaddsb */, X86::VPADDSBZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14365 /* vpaddsb */, X86::VPADDSBZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14365 /* vpaddsb */, X86::VPADDSBZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14365 /* vpaddsb */, X86::VPADDSBZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14365 /* vpaddsb */, X86::VPADDSBZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14365 /* vpaddsb */, X86::VPADDSBZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14365 /* vpaddsb */, X86::VPADDSBZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14365 /* vpaddsb */, X86::VPADDSBZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14365 /* vpaddsb */, X86::VPADDSBZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14365 /* vpaddsb */, X86::VPADDSBZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14365 /* vpaddsb */, X86::VPADDSBZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14373 /* vpaddsw */, X86::VPADDSWrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 14373 /* vpaddsw */, X86::VPADDSWYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 14373 /* vpaddsw */, X86::VPADDSWZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 14373 /* vpaddsw */, X86::VPADDSWZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 14373 /* vpaddsw */, X86::VPADDSWZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 14373 /* vpaddsw */, X86::VPADDSWrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 14373 /* vpaddsw */, X86::VPADDSWZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 14373 /* vpaddsw */, X86::VPADDSWYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 14373 /* vpaddsw */, X86::VPADDSWZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 14373 /* vpaddsw */, X86::VPADDSWZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 14373 /* vpaddsw */, X86::VPADDSWZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14373 /* vpaddsw */, X86::VPADDSWZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14373 /* vpaddsw */, X86::VPADDSWZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14373 /* vpaddsw */, X86::VPADDSWZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14373 /* vpaddsw */, X86::VPADDSWZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14373 /* vpaddsw */, X86::VPADDSWZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14373 /* vpaddsw */, X86::VPADDSWZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14373 /* vpaddsw */, X86::VPADDSWZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14373 /* vpaddsw */, X86::VPADDSWZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14373 /* vpaddsw */, X86::VPADDSWZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14373 /* vpaddsw */, X86::VPADDSWZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14373 /* vpaddsw */, X86::VPADDSWZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14381 /* vpaddusb */, X86::VPADDUSBrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 14381 /* vpaddusb */, X86::VPADDUSBYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 14381 /* vpaddusb */, X86::VPADDUSBZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 14381 /* vpaddusb */, X86::VPADDUSBZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 14381 /* vpaddusb */, X86::VPADDUSBZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 14381 /* vpaddusb */, X86::VPADDUSBrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 14381 /* vpaddusb */, X86::VPADDUSBZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 14381 /* vpaddusb */, X86::VPADDUSBYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 14381 /* vpaddusb */, X86::VPADDUSBZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 14381 /* vpaddusb */, X86::VPADDUSBZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 14381 /* vpaddusb */, X86::VPADDUSBZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14381 /* vpaddusb */, X86::VPADDUSBZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14381 /* vpaddusb */, X86::VPADDUSBZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14381 /* vpaddusb */, X86::VPADDUSBZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14381 /* vpaddusb */, X86::VPADDUSBZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14381 /* vpaddusb */, X86::VPADDUSBZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14381 /* vpaddusb */, X86::VPADDUSBZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14381 /* vpaddusb */, X86::VPADDUSBZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14381 /* vpaddusb */, X86::VPADDUSBZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14381 /* vpaddusb */, X86::VPADDUSBZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14381 /* vpaddusb */, X86::VPADDUSBZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14381 /* vpaddusb */, X86::VPADDUSBZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14390 /* vpaddusw */, X86::VPADDUSWrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 14390 /* vpaddusw */, X86::VPADDUSWYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 14390 /* vpaddusw */, X86::VPADDUSWZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 14390 /* vpaddusw */, X86::VPADDUSWZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 14390 /* vpaddusw */, X86::VPADDUSWZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 14390 /* vpaddusw */, X86::VPADDUSWrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 14390 /* vpaddusw */, X86::VPADDUSWZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 14390 /* vpaddusw */, X86::VPADDUSWYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 14390 /* vpaddusw */, X86::VPADDUSWZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 14390 /* vpaddusw */, X86::VPADDUSWZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 14390 /* vpaddusw */, X86::VPADDUSWZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14390 /* vpaddusw */, X86::VPADDUSWZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14390 /* vpaddusw */, X86::VPADDUSWZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14390 /* vpaddusw */, X86::VPADDUSWZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14390 /* vpaddusw */, X86::VPADDUSWZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14390 /* vpaddusw */, X86::VPADDUSWZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14390 /* vpaddusw */, X86::VPADDUSWZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14390 /* vpaddusw */, X86::VPADDUSWZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14390 /* vpaddusw */, X86::VPADDUSWZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14390 /* vpaddusw */, X86::VPADDUSWZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14390 /* vpaddusw */, X86::VPADDUSWZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14390 /* vpaddusw */, X86::VPADDUSWZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14399 /* vpaddw */, X86::VPADDWrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 14399 /* vpaddw */, X86::VPADDWYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 14399 /* vpaddw */, X86::VPADDWZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 14399 /* vpaddw */, X86::VPADDWZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 14399 /* vpaddw */, X86::VPADDWZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 14399 /* vpaddw */, X86::VPADDWrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 14399 /* vpaddw */, X86::VPADDWZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 14399 /* vpaddw */, X86::VPADDWYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 14399 /* vpaddw */, X86::VPADDWZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 14399 /* vpaddw */, X86::VPADDWZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 14399 /* vpaddw */, X86::VPADDWZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14399 /* vpaddw */, X86::VPADDWZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14399 /* vpaddw */, X86::VPADDWZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14399 /* vpaddw */, X86::VPADDWZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14399 /* vpaddw */, X86::VPADDWZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14399 /* vpaddw */, X86::VPADDWZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14399 /* vpaddw */, X86::VPADDWZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14399 /* vpaddw */, X86::VPADDWZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14399 /* vpaddw */, X86::VPADDWZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14399 /* vpaddw */, X86::VPADDWZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14399 /* vpaddw */, X86::VPADDWZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14399 /* vpaddw */, X86::VPADDWZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14406 /* vpalignr */, X86::VPALIGNRrri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 14406 /* vpalignr */, X86::VPALIGNRYrri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 14406 /* vpalignr */, X86::VPALIGNRZ128rri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 14406 /* vpalignr */, X86::VPALIGNRZ256rri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 14406 /* vpalignr */, X86::VPALIGNRZrri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 14406 /* vpalignr */, X86::VPALIGNRrmi, Convert__Reg1_3__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 14406 /* vpalignr */, X86::VPALIGNRZ128rmi, Convert__Reg1_3__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 14406 /* vpalignr */, X86::VPALIGNRYrmi, Convert__Reg1_3__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 14406 /* vpalignr */, X86::VPALIGNRZ256rmi, Convert__Reg1_3__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 14406 /* vpalignr */, X86::VPALIGNRZrmi, Convert__Reg1_3__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 14406 /* vpalignr */, X86::VPALIGNRZ128rrik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14406 /* vpalignr */, X86::VPALIGNRZ256rrik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14406 /* vpalignr */, X86::VPALIGNRZrrik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14406 /* vpalignr */, X86::VPALIGNRZ128rmik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14406 /* vpalignr */, X86::VPALIGNRZ256rmik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14406 /* vpalignr */, X86::VPALIGNRZrmik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14406 /* vpalignr */, X86::VPALIGNRZ128rrikz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14406 /* vpalignr */, X86::VPALIGNRZ256rrikz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14406 /* vpalignr */, X86::VPALIGNRZrrikz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14406 /* vpalignr */, X86::VPALIGNRZ128rmikz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14406 /* vpalignr */, X86::VPALIGNRZ256rmikz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14406 /* vpalignr */, X86::VPALIGNRZrmikz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14415 /* vpand */, X86::VPANDrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 14415 /* vpand */, X86::VPANDYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 14415 /* vpand */, X86::VPANDrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 14415 /* vpand */, X86::VPANDYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 14421 /* vpandd */, X86::VPANDDZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 14421 /* vpandd */, X86::VPANDDZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 14421 /* vpandd */, X86::VPANDDZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 14421 /* vpandd */, X86::VPANDDZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 14421 /* vpandd */, X86::VPANDDZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 14421 /* vpandd */, X86::VPANDDZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 14421 /* vpandd */, X86::VPANDDZrmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512 }, },
{ 14421 /* vpandd */, X86::VPANDDZ128rmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X }, },
{ 14421 /* vpandd */, X86::VPANDDZ256rmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X }, },
{ 14421 /* vpandd */, X86::VPANDDZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14421 /* vpandd */, X86::VPANDDZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14421 /* vpandd */, X86::VPANDDZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14421 /* vpandd */, X86::VPANDDZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14421 /* vpandd */, X86::VPANDDZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14421 /* vpandd */, X86::VPANDDZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14421 /* vpandd */, X86::VPANDDZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14421 /* vpandd */, X86::VPANDDZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14421 /* vpandd */, X86::VPANDDZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14421 /* vpandd */, X86::VPANDDZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14421 /* vpandd */, X86::VPANDDZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14421 /* vpandd */, X86::VPANDDZrmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14421 /* vpandd */, X86::VPANDDZ128rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14421 /* vpandd */, X86::VPANDDZ256rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14421 /* vpandd */, X86::VPANDDZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14421 /* vpandd */, X86::VPANDDZrmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14421 /* vpandd */, X86::VPANDDZ128rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14421 /* vpandd */, X86::VPANDDZ256rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14428 /* vpandn */, X86::VPANDNrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 14428 /* vpandn */, X86::VPANDNYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 14428 /* vpandn */, X86::VPANDNrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 14428 /* vpandn */, X86::VPANDNYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 14435 /* vpandnd */, X86::VPANDNDZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 14435 /* vpandnd */, X86::VPANDNDZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 14435 /* vpandnd */, X86::VPANDNDZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 14435 /* vpandnd */, X86::VPANDNDZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 14435 /* vpandnd */, X86::VPANDNDZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 14435 /* vpandnd */, X86::VPANDNDZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 14435 /* vpandnd */, X86::VPANDNDZrmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512 }, },
{ 14435 /* vpandnd */, X86::VPANDNDZ128rmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X }, },
{ 14435 /* vpandnd */, X86::VPANDNDZ256rmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X }, },
{ 14435 /* vpandnd */, X86::VPANDNDZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14435 /* vpandnd */, X86::VPANDNDZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14435 /* vpandnd */, X86::VPANDNDZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14435 /* vpandnd */, X86::VPANDNDZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14435 /* vpandnd */, X86::VPANDNDZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14435 /* vpandnd */, X86::VPANDNDZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14435 /* vpandnd */, X86::VPANDNDZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14435 /* vpandnd */, X86::VPANDNDZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14435 /* vpandnd */, X86::VPANDNDZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14435 /* vpandnd */, X86::VPANDNDZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14435 /* vpandnd */, X86::VPANDNDZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14435 /* vpandnd */, X86::VPANDNDZrmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14435 /* vpandnd */, X86::VPANDNDZ128rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14435 /* vpandnd */, X86::VPANDNDZ256rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14435 /* vpandnd */, X86::VPANDNDZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14435 /* vpandnd */, X86::VPANDNDZrmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14435 /* vpandnd */, X86::VPANDNDZ128rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14435 /* vpandnd */, X86::VPANDNDZ256rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14443 /* vpandnq */, X86::VPANDNQZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 14443 /* vpandnq */, X86::VPANDNQZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 14443 /* vpandnq */, X86::VPANDNQZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 14443 /* vpandnq */, X86::VPANDNQZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 14443 /* vpandnq */, X86::VPANDNQZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 14443 /* vpandnq */, X86::VPANDNQZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 14443 /* vpandnq */, X86::VPANDNQZ128rmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X }, },
{ 14443 /* vpandnq */, X86::VPANDNQZ256rmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X }, },
{ 14443 /* vpandnq */, X86::VPANDNQZrmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512 }, },
{ 14443 /* vpandnq */, X86::VPANDNQZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14443 /* vpandnq */, X86::VPANDNQZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14443 /* vpandnq */, X86::VPANDNQZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14443 /* vpandnq */, X86::VPANDNQZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14443 /* vpandnq */, X86::VPANDNQZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14443 /* vpandnq */, X86::VPANDNQZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14443 /* vpandnq */, X86::VPANDNQZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14443 /* vpandnq */, X86::VPANDNQZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14443 /* vpandnq */, X86::VPANDNQZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14443 /* vpandnq */, X86::VPANDNQZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14443 /* vpandnq */, X86::VPANDNQZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14443 /* vpandnq */, X86::VPANDNQZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14443 /* vpandnq */, X86::VPANDNQZ128rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14443 /* vpandnq */, X86::VPANDNQZ256rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14443 /* vpandnq */, X86::VPANDNQZrmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14443 /* vpandnq */, X86::VPANDNQZ128rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14443 /* vpandnq */, X86::VPANDNQZ256rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14443 /* vpandnq */, X86::VPANDNQZrmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14451 /* vpandq */, X86::VPANDQZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 14451 /* vpandq */, X86::VPANDQZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 14451 /* vpandq */, X86::VPANDQZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 14451 /* vpandq */, X86::VPANDQZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 14451 /* vpandq */, X86::VPANDQZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 14451 /* vpandq */, X86::VPANDQZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 14451 /* vpandq */, X86::VPANDQZ128rmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X }, },
{ 14451 /* vpandq */, X86::VPANDQZ256rmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X }, },
{ 14451 /* vpandq */, X86::VPANDQZrmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512 }, },
{ 14451 /* vpandq */, X86::VPANDQZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14451 /* vpandq */, X86::VPANDQZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14451 /* vpandq */, X86::VPANDQZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14451 /* vpandq */, X86::VPANDQZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14451 /* vpandq */, X86::VPANDQZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14451 /* vpandq */, X86::VPANDQZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14451 /* vpandq */, X86::VPANDQZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14451 /* vpandq */, X86::VPANDQZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14451 /* vpandq */, X86::VPANDQZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14451 /* vpandq */, X86::VPANDQZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14451 /* vpandq */, X86::VPANDQZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14451 /* vpandq */, X86::VPANDQZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14451 /* vpandq */, X86::VPANDQZ128rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14451 /* vpandq */, X86::VPANDQZ256rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14451 /* vpandq */, X86::VPANDQZrmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14451 /* vpandq */, X86::VPANDQZ128rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14451 /* vpandq */, X86::VPANDQZ256rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14451 /* vpandq */, X86::VPANDQZrmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14458 /* vpavgb */, X86::VPAVGBrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 14458 /* vpavgb */, X86::VPAVGBYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 14458 /* vpavgb */, X86::VPAVGBZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 14458 /* vpavgb */, X86::VPAVGBZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 14458 /* vpavgb */, X86::VPAVGBZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 14458 /* vpavgb */, X86::VPAVGBrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 14458 /* vpavgb */, X86::VPAVGBZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 14458 /* vpavgb */, X86::VPAVGBYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 14458 /* vpavgb */, X86::VPAVGBZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 14458 /* vpavgb */, X86::VPAVGBZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 14458 /* vpavgb */, X86::VPAVGBZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14458 /* vpavgb */, X86::VPAVGBZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14458 /* vpavgb */, X86::VPAVGBZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14458 /* vpavgb */, X86::VPAVGBZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14458 /* vpavgb */, X86::VPAVGBZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14458 /* vpavgb */, X86::VPAVGBZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14458 /* vpavgb */, X86::VPAVGBZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14458 /* vpavgb */, X86::VPAVGBZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14458 /* vpavgb */, X86::VPAVGBZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14458 /* vpavgb */, X86::VPAVGBZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14458 /* vpavgb */, X86::VPAVGBZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14458 /* vpavgb */, X86::VPAVGBZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14465 /* vpavgw */, X86::VPAVGWrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 14465 /* vpavgw */, X86::VPAVGWYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 14465 /* vpavgw */, X86::VPAVGWZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 14465 /* vpavgw */, X86::VPAVGWZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 14465 /* vpavgw */, X86::VPAVGWZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 14465 /* vpavgw */, X86::VPAVGWrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 14465 /* vpavgw */, X86::VPAVGWZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 14465 /* vpavgw */, X86::VPAVGWYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 14465 /* vpavgw */, X86::VPAVGWZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 14465 /* vpavgw */, X86::VPAVGWZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 14465 /* vpavgw */, X86::VPAVGWZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14465 /* vpavgw */, X86::VPAVGWZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14465 /* vpavgw */, X86::VPAVGWZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14465 /* vpavgw */, X86::VPAVGWZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14465 /* vpavgw */, X86::VPAVGWZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14465 /* vpavgw */, X86::VPAVGWZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14465 /* vpavgw */, X86::VPAVGWZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14465 /* vpavgw */, X86::VPAVGWZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14465 /* vpavgw */, X86::VPAVGWZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14465 /* vpavgw */, X86::VPAVGWZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14465 /* vpavgw */, X86::VPAVGWZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14465 /* vpavgw */, X86::VPAVGWZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14472 /* vpblendd */, X86::VPBLENDDrri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 14472 /* vpblendd */, X86::VPBLENDDYrri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 14472 /* vpblendd */, X86::VPBLENDDrmi, Convert__Reg1_3__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 14472 /* vpblendd */, X86::VPBLENDDYrmi, Convert__Reg1_3__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 14481 /* vpblendmb */, X86::VPBLENDMBZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 14481 /* vpblendmb */, X86::VPBLENDMBZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 14481 /* vpblendmb */, X86::VPBLENDMBZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 14481 /* vpblendmb */, X86::VPBLENDMBZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 14481 /* vpblendmb */, X86::VPBLENDMBZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 14481 /* vpblendmb */, X86::VPBLENDMBZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 14481 /* vpblendmb */, X86::VPBLENDMBZ128rrk, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14481 /* vpblendmb */, X86::VPBLENDMBZ256rrk, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14481 /* vpblendmb */, X86::VPBLENDMBZrrk, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14481 /* vpblendmb */, X86::VPBLENDMBZ128rmk, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14481 /* vpblendmb */, X86::VPBLENDMBZ256rmk, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14481 /* vpblendmb */, X86::VPBLENDMBZrmk, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14481 /* vpblendmb */, X86::VPBLENDMBZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14481 /* vpblendmb */, X86::VPBLENDMBZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14481 /* vpblendmb */, X86::VPBLENDMBZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14481 /* vpblendmb */, X86::VPBLENDMBZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14481 /* vpblendmb */, X86::VPBLENDMBZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14481 /* vpblendmb */, X86::VPBLENDMBZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14491 /* vpblendmd */, X86::VPBLENDMDZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 14491 /* vpblendmd */, X86::VPBLENDMDZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 14491 /* vpblendmd */, X86::VPBLENDMDZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 14491 /* vpblendmd */, X86::VPBLENDMDZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 14491 /* vpblendmd */, X86::VPBLENDMDZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 14491 /* vpblendmd */, X86::VPBLENDMDZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 14491 /* vpblendmd */, X86::VPBLENDMDZrmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512 }, },
{ 14491 /* vpblendmd */, X86::VPBLENDMDZ128rmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X }, },
{ 14491 /* vpblendmd */, X86::VPBLENDMDZ256rmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X }, },
{ 14491 /* vpblendmd */, X86::VPBLENDMDZ128rrk, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14491 /* vpblendmd */, X86::VPBLENDMDZ256rrk, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14491 /* vpblendmd */, X86::VPBLENDMDZrrk, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14491 /* vpblendmd */, X86::VPBLENDMDZ128rmk, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14491 /* vpblendmd */, X86::VPBLENDMDZ256rmk, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14491 /* vpblendmd */, X86::VPBLENDMDZrmk, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14491 /* vpblendmd */, X86::VPBLENDMDZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14491 /* vpblendmd */, X86::VPBLENDMDZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14491 /* vpblendmd */, X86::VPBLENDMDZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14491 /* vpblendmd */, X86::VPBLENDMDZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14491 /* vpblendmd */, X86::VPBLENDMDZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14491 /* vpblendmd */, X86::VPBLENDMDZrmbk, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14491 /* vpblendmd */, X86::VPBLENDMDZ128rmbk, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14491 /* vpblendmd */, X86::VPBLENDMDZ256rmbk, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14491 /* vpblendmd */, X86::VPBLENDMDZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14491 /* vpblendmd */, X86::VPBLENDMDZrmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14491 /* vpblendmd */, X86::VPBLENDMDZ128rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14491 /* vpblendmd */, X86::VPBLENDMDZ256rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14501 /* vpblendmq */, X86::VPBLENDMQZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 14501 /* vpblendmq */, X86::VPBLENDMQZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 14501 /* vpblendmq */, X86::VPBLENDMQZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 14501 /* vpblendmq */, X86::VPBLENDMQZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 14501 /* vpblendmq */, X86::VPBLENDMQZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 14501 /* vpblendmq */, X86::VPBLENDMQZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 14501 /* vpblendmq */, X86::VPBLENDMQZ128rmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X }, },
{ 14501 /* vpblendmq */, X86::VPBLENDMQZ256rmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X }, },
{ 14501 /* vpblendmq */, X86::VPBLENDMQZrmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512 }, },
{ 14501 /* vpblendmq */, X86::VPBLENDMQZ128rrk, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14501 /* vpblendmq */, X86::VPBLENDMQZ256rrk, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14501 /* vpblendmq */, X86::VPBLENDMQZrrk, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14501 /* vpblendmq */, X86::VPBLENDMQZ128rmk, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14501 /* vpblendmq */, X86::VPBLENDMQZ256rmk, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14501 /* vpblendmq */, X86::VPBLENDMQZrmk, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14501 /* vpblendmq */, X86::VPBLENDMQZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14501 /* vpblendmq */, X86::VPBLENDMQZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14501 /* vpblendmq */, X86::VPBLENDMQZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14501 /* vpblendmq */, X86::VPBLENDMQZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14501 /* vpblendmq */, X86::VPBLENDMQZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14501 /* vpblendmq */, X86::VPBLENDMQZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14501 /* vpblendmq */, X86::VPBLENDMQZ128rmbk, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14501 /* vpblendmq */, X86::VPBLENDMQZ256rmbk, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14501 /* vpblendmq */, X86::VPBLENDMQZrmbk, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14501 /* vpblendmq */, X86::VPBLENDMQZ128rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14501 /* vpblendmq */, X86::VPBLENDMQZ256rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14501 /* vpblendmq */, X86::VPBLENDMQZrmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14511 /* vpblendmw */, X86::VPBLENDMWZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 14511 /* vpblendmw */, X86::VPBLENDMWZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 14511 /* vpblendmw */, X86::VPBLENDMWZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 14511 /* vpblendmw */, X86::VPBLENDMWZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 14511 /* vpblendmw */, X86::VPBLENDMWZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 14511 /* vpblendmw */, X86::VPBLENDMWZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 14511 /* vpblendmw */, X86::VPBLENDMWZ128rrk, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14511 /* vpblendmw */, X86::VPBLENDMWZ256rrk, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14511 /* vpblendmw */, X86::VPBLENDMWZrrk, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14511 /* vpblendmw */, X86::VPBLENDMWZ128rmk, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14511 /* vpblendmw */, X86::VPBLENDMWZ256rmk, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14511 /* vpblendmw */, X86::VPBLENDMWZrmk, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14511 /* vpblendmw */, X86::VPBLENDMWZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14511 /* vpblendmw */, X86::VPBLENDMWZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14511 /* vpblendmw */, X86::VPBLENDMWZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14511 /* vpblendmw */, X86::VPBLENDMWZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14511 /* vpblendmw */, X86::VPBLENDMWZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14511 /* vpblendmw */, X86::VPBLENDMWZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14521 /* vpblendvb */, X86::VPBLENDVBrr, Convert__Reg1_3__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 14521 /* vpblendvb */, X86::VPBLENDVBrm, Convert__Reg1_3__Reg1_2__Mem1285_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 14521 /* vpblendvb */, X86::VPBLENDVBYrr, Convert__Reg1_3__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 14521 /* vpblendvb */, X86::VPBLENDVBYrm, Convert__Reg1_3__Reg1_2__Mem2565_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 14531 /* vpblendw */, X86::VPBLENDWrri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 14531 /* vpblendw */, X86::VPBLENDWYrri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 14531 /* vpblendw */, X86::VPBLENDWrmi, Convert__Reg1_3__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 14531 /* vpblendw */, X86::VPBLENDWYrmi, Convert__Reg1_3__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 14540 /* vpbroadcastb */, X86::VPBROADCASTBrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 14540 /* vpbroadcastb */, X86::VPBROADCASTBYrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_VR256 }, },
{ 14540 /* vpbroadcastb */, X86::VPBROADCASTBrZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_FR16X }, },
{ 14540 /* vpbroadcastb */, X86::VPBROADCASTBrZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_VR256X }, },
{ 14540 /* vpbroadcastb */, X86::VPBROADCASTBrZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_VR512 }, },
{ 14540 /* vpbroadcastb */, X86::VPBROADCASTBZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 14540 /* vpbroadcastb */, X86::VPBROADCASTBZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X }, },
{ 14540 /* vpbroadcastb */, X86::VPBROADCASTBZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR512 }, },
{ 14540 /* vpbroadcastb */, X86::VPBROADCASTBrm, Convert__Reg1_1__Mem85_0, AMFBS_None, { MCK_Mem8, MCK_FR16 }, },
{ 14540 /* vpbroadcastb */, X86::VPBROADCASTBYrm, Convert__Reg1_1__Mem85_0, AMFBS_None, { MCK_Mem8, MCK_VR256 }, },
{ 14540 /* vpbroadcastb */, X86::VPBROADCASTBZ128rm, Convert__Reg1_1__Mem85_0, AMFBS_None, { MCK_Mem8, MCK_FR16X }, },
{ 14540 /* vpbroadcastb */, X86::VPBROADCASTBZ256rm, Convert__Reg1_1__Mem85_0, AMFBS_None, { MCK_Mem8, MCK_VR256X }, },
{ 14540 /* vpbroadcastb */, X86::VPBROADCASTBZrm, Convert__Reg1_1__Mem85_0, AMFBS_None, { MCK_Mem8, MCK_VR512 }, },
{ 14540 /* vpbroadcastb */, X86::VPBROADCASTBrZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_GR32, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14540 /* vpbroadcastb */, X86::VPBROADCASTBrZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_GR32, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14540 /* vpbroadcastb */, X86::VPBROADCASTBrZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_GR32, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14540 /* vpbroadcastb */, X86::VPBROADCASTBZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14540 /* vpbroadcastb */, X86::VPBROADCASTBZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14540 /* vpbroadcastb */, X86::VPBROADCASTBZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14540 /* vpbroadcastb */, X86::VPBROADCASTBZ128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem85_0, AMFBS_None, { MCK_Mem8, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14540 /* vpbroadcastb */, X86::VPBROADCASTBZ256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem85_0, AMFBS_None, { MCK_Mem8, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14540 /* vpbroadcastb */, X86::VPBROADCASTBZrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem85_0, AMFBS_None, { MCK_Mem8, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14540 /* vpbroadcastb */, X86::VPBROADCASTBrZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_GR32, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14540 /* vpbroadcastb */, X86::VPBROADCASTBrZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_GR32, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14540 /* vpbroadcastb */, X86::VPBROADCASTBrZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_GR32, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14540 /* vpbroadcastb */, X86::VPBROADCASTBZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14540 /* vpbroadcastb */, X86::VPBROADCASTBZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14540 /* vpbroadcastb */, X86::VPBROADCASTBZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14540 /* vpbroadcastb */, X86::VPBROADCASTBZ128rmkz, Convert__Reg1_1__Reg1_3__Mem85_0, AMFBS_None, { MCK_Mem8, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14540 /* vpbroadcastb */, X86::VPBROADCASTBZ256rmkz, Convert__Reg1_1__Reg1_3__Mem85_0, AMFBS_None, { MCK_Mem8, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14540 /* vpbroadcastb */, X86::VPBROADCASTBZrmkz, Convert__Reg1_1__Reg1_3__Mem85_0, AMFBS_None, { MCK_Mem8, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14553 /* vpbroadcastd */, X86::VPBROADCASTDrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 14553 /* vpbroadcastd */, X86::VPBROADCASTDYrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_VR256 }, },
{ 14553 /* vpbroadcastd */, X86::VPBROADCASTDrZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_FR16X }, },
{ 14553 /* vpbroadcastd */, X86::VPBROADCASTDrZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_VR256X }, },
{ 14553 /* vpbroadcastd */, X86::VPBROADCASTDrZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_VR512 }, },
{ 14553 /* vpbroadcastd */, X86::VPBROADCASTDZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 14553 /* vpbroadcastd */, X86::VPBROADCASTDZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X }, },
{ 14553 /* vpbroadcastd */, X86::VPBROADCASTDZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR512 }, },
{ 14553 /* vpbroadcastd */, X86::VPBROADCASTDrm, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16 }, },
{ 14553 /* vpbroadcastd */, X86::VPBROADCASTDYrm, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_VR256 }, },
{ 14553 /* vpbroadcastd */, X86::VPBROADCASTDZ128rm, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X }, },
{ 14553 /* vpbroadcastd */, X86::VPBROADCASTDZ256rm, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_VR256X }, },
{ 14553 /* vpbroadcastd */, X86::VPBROADCASTDZrm, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_VR512 }, },
{ 14553 /* vpbroadcastd */, X86::VPBROADCASTDrZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_GR32, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14553 /* vpbroadcastd */, X86::VPBROADCASTDrZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_GR32, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14553 /* vpbroadcastd */, X86::VPBROADCASTDrZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_GR32, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14553 /* vpbroadcastd */, X86::VPBROADCASTDZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14553 /* vpbroadcastd */, X86::VPBROADCASTDZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14553 /* vpbroadcastd */, X86::VPBROADCASTDZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14553 /* vpbroadcastd */, X86::VPBROADCASTDZ128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14553 /* vpbroadcastd */, X86::VPBROADCASTDZ256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14553 /* vpbroadcastd */, X86::VPBROADCASTDZrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14553 /* vpbroadcastd */, X86::VPBROADCASTDrZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_GR32, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14553 /* vpbroadcastd */, X86::VPBROADCASTDrZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_GR32, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14553 /* vpbroadcastd */, X86::VPBROADCASTDrZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_GR32, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14553 /* vpbroadcastd */, X86::VPBROADCASTDZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14553 /* vpbroadcastd */, X86::VPBROADCASTDZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14553 /* vpbroadcastd */, X86::VPBROADCASTDZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14553 /* vpbroadcastd */, X86::VPBROADCASTDZ128rmkz, Convert__Reg1_1__Reg1_3__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14553 /* vpbroadcastd */, X86::VPBROADCASTDZ256rmkz, Convert__Reg1_1__Reg1_3__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14553 /* vpbroadcastd */, X86::VPBROADCASTDZrmkz, Convert__Reg1_1__Reg1_3__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14566 /* vpbroadcastmb2q */, X86::VPBROADCASTMB2QZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VK1, MCK_FR16X }, },
{ 14566 /* vpbroadcastmb2q */, X86::VPBROADCASTMB2QZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VK1, MCK_VR256X }, },
{ 14566 /* vpbroadcastmb2q */, X86::VPBROADCASTMB2QZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VK1, MCK_VR512 }, },
{ 14582 /* vpbroadcastmw2d */, X86::VPBROADCASTMW2DZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VK1, MCK_FR16X }, },
{ 14582 /* vpbroadcastmw2d */, X86::VPBROADCASTMW2DZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VK1, MCK_VR256X }, },
{ 14582 /* vpbroadcastmw2d */, X86::VPBROADCASTMW2DZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VK1, MCK_VR512 }, },
{ 14598 /* vpbroadcastq */, X86::VPBROADCASTQrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 14598 /* vpbroadcastq */, X86::VPBROADCASTQYrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_VR256 }, },
{ 14598 /* vpbroadcastq */, X86::VPBROADCASTQrZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR64, MCK_FR16X }, },
{ 14598 /* vpbroadcastq */, X86::VPBROADCASTQrZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR64, MCK_VR256X }, },
{ 14598 /* vpbroadcastq */, X86::VPBROADCASTQrZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR64, MCK_VR512 }, },
{ 14598 /* vpbroadcastq */, X86::VPBROADCASTQZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 14598 /* vpbroadcastq */, X86::VPBROADCASTQZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X }, },
{ 14598 /* vpbroadcastq */, X86::VPBROADCASTQZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR512 }, },
{ 14598 /* vpbroadcastq */, X86::VPBROADCASTQrm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16 }, },
{ 14598 /* vpbroadcastq */, X86::VPBROADCASTQYrm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR256 }, },
{ 14598 /* vpbroadcastq */, X86::VPBROADCASTQZ128rm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X }, },
{ 14598 /* vpbroadcastq */, X86::VPBROADCASTQZ256rm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR256X }, },
{ 14598 /* vpbroadcastq */, X86::VPBROADCASTQZrm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR512 }, },
{ 14598 /* vpbroadcastq */, X86::VPBROADCASTQrZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_GR64, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14598 /* vpbroadcastq */, X86::VPBROADCASTQrZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_GR64, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14598 /* vpbroadcastq */, X86::VPBROADCASTQrZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_GR64, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14598 /* vpbroadcastq */, X86::VPBROADCASTQZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14598 /* vpbroadcastq */, X86::VPBROADCASTQZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14598 /* vpbroadcastq */, X86::VPBROADCASTQZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14598 /* vpbroadcastq */, X86::VPBROADCASTQZ128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14598 /* vpbroadcastq */, X86::VPBROADCASTQZ256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14598 /* vpbroadcastq */, X86::VPBROADCASTQZrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14598 /* vpbroadcastq */, X86::VPBROADCASTQrZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_GR64, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14598 /* vpbroadcastq */, X86::VPBROADCASTQrZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_GR64, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14598 /* vpbroadcastq */, X86::VPBROADCASTQrZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_GR64, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14598 /* vpbroadcastq */, X86::VPBROADCASTQZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14598 /* vpbroadcastq */, X86::VPBROADCASTQZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14598 /* vpbroadcastq */, X86::VPBROADCASTQZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14598 /* vpbroadcastq */, X86::VPBROADCASTQZ128rmkz, Convert__Reg1_1__Reg1_3__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14598 /* vpbroadcastq */, X86::VPBROADCASTQZ256rmkz, Convert__Reg1_1__Reg1_3__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14598 /* vpbroadcastq */, X86::VPBROADCASTQZrmkz, Convert__Reg1_1__Reg1_3__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14611 /* vpbroadcastw */, X86::VPBROADCASTWrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 14611 /* vpbroadcastw */, X86::VPBROADCASTWYrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_VR256 }, },
{ 14611 /* vpbroadcastw */, X86::VPBROADCASTWrZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_FR16X }, },
{ 14611 /* vpbroadcastw */, X86::VPBROADCASTWrZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_VR256X }, },
{ 14611 /* vpbroadcastw */, X86::VPBROADCASTWrZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_VR512 }, },
{ 14611 /* vpbroadcastw */, X86::VPBROADCASTWZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 14611 /* vpbroadcastw */, X86::VPBROADCASTWZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X }, },
{ 14611 /* vpbroadcastw */, X86::VPBROADCASTWZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR512 }, },
{ 14611 /* vpbroadcastw */, X86::VPBROADCASTWrm, Convert__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16 }, },
{ 14611 /* vpbroadcastw */, X86::VPBROADCASTWYrm, Convert__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_VR256 }, },
{ 14611 /* vpbroadcastw */, X86::VPBROADCASTWZ128rm, Convert__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16X }, },
{ 14611 /* vpbroadcastw */, X86::VPBROADCASTWZ256rm, Convert__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_VR256X }, },
{ 14611 /* vpbroadcastw */, X86::VPBROADCASTWZrm, Convert__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_VR512 }, },
{ 14611 /* vpbroadcastw */, X86::VPBROADCASTWrZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_GR32, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14611 /* vpbroadcastw */, X86::VPBROADCASTWrZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_GR32, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14611 /* vpbroadcastw */, X86::VPBROADCASTWrZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_GR32, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14611 /* vpbroadcastw */, X86::VPBROADCASTWZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14611 /* vpbroadcastw */, X86::VPBROADCASTWZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14611 /* vpbroadcastw */, X86::VPBROADCASTWZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14611 /* vpbroadcastw */, X86::VPBROADCASTWZ128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14611 /* vpbroadcastw */, X86::VPBROADCASTWZ256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14611 /* vpbroadcastw */, X86::VPBROADCASTWZrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14611 /* vpbroadcastw */, X86::VPBROADCASTWrZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_GR32, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14611 /* vpbroadcastw */, X86::VPBROADCASTWrZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_GR32, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14611 /* vpbroadcastw */, X86::VPBROADCASTWrZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_GR32, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14611 /* vpbroadcastw */, X86::VPBROADCASTWZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14611 /* vpbroadcastw */, X86::VPBROADCASTWZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14611 /* vpbroadcastw */, X86::VPBROADCASTWZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14611 /* vpbroadcastw */, X86::VPBROADCASTWZ128rmkz, Convert__Reg1_1__Reg1_3__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14611 /* vpbroadcastw */, X86::VPBROADCASTWZ256rmkz, Convert__Reg1_1__Reg1_3__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14611 /* vpbroadcastw */, X86::VPBROADCASTWZrmkz, Convert__Reg1_1__Reg1_3__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14624 /* vpclmulhqhqdq */, X86::VPCLMULQDQrr, Convert__Reg1_2__Reg1_1__Reg1_0__imm_95_17, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 14624 /* vpclmulhqhqdq */, X86::VPCLMULQDQYrr, Convert__Reg1_2__Reg1_1__Reg1_0__imm_95_17, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 14624 /* vpclmulhqhqdq */, X86::VPCLMULQDQZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0__imm_95_17, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 14624 /* vpclmulhqhqdq */, X86::VPCLMULQDQZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0__imm_95_17, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 14624 /* vpclmulhqhqdq */, X86::VPCLMULQDQZrr, Convert__Reg1_2__Reg1_1__Reg1_0__imm_95_17, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 14624 /* vpclmulhqhqdq */, X86::VPCLMULQDQrm, Convert__Reg1_2__Reg1_1__Mem1285_0__imm_95_17, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 14624 /* vpclmulhqhqdq */, X86::VPCLMULQDQZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0__imm_95_17, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 14624 /* vpclmulhqhqdq */, X86::VPCLMULQDQYrm, Convert__Reg1_2__Reg1_1__Mem2565_0__imm_95_17, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 14624 /* vpclmulhqhqdq */, X86::VPCLMULQDQZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0__imm_95_17, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 14624 /* vpclmulhqhqdq */, X86::VPCLMULQDQZrm, Convert__Reg1_2__Reg1_1__Mem5125_0__imm_95_17, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 14638 /* vpclmulhqlqdq */, X86::VPCLMULQDQrr, Convert__Reg1_2__Reg1_1__Reg1_0__imm_95_1, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 14638 /* vpclmulhqlqdq */, X86::VPCLMULQDQYrr, Convert__Reg1_2__Reg1_1__Reg1_0__imm_95_1, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 14638 /* vpclmulhqlqdq */, X86::VPCLMULQDQZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0__imm_95_1, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 14638 /* vpclmulhqlqdq */, X86::VPCLMULQDQZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0__imm_95_1, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 14638 /* vpclmulhqlqdq */, X86::VPCLMULQDQZrr, Convert__Reg1_2__Reg1_1__Reg1_0__imm_95_1, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 14638 /* vpclmulhqlqdq */, X86::VPCLMULQDQrm, Convert__Reg1_2__Reg1_1__Mem1285_0__imm_95_1, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 14638 /* vpclmulhqlqdq */, X86::VPCLMULQDQZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0__imm_95_1, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 14638 /* vpclmulhqlqdq */, X86::VPCLMULQDQYrm, Convert__Reg1_2__Reg1_1__Mem2565_0__imm_95_1, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 14638 /* vpclmulhqlqdq */, X86::VPCLMULQDQZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0__imm_95_1, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 14638 /* vpclmulhqlqdq */, X86::VPCLMULQDQZrm, Convert__Reg1_2__Reg1_1__Mem5125_0__imm_95_1, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 14652 /* vpclmullqhqdq */, X86::VPCLMULQDQrr, Convert__Reg1_2__Reg1_1__Reg1_0__imm_95_16, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 14652 /* vpclmullqhqdq */, X86::VPCLMULQDQYrr, Convert__Reg1_2__Reg1_1__Reg1_0__imm_95_16, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 14652 /* vpclmullqhqdq */, X86::VPCLMULQDQZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0__imm_95_16, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 14652 /* vpclmullqhqdq */, X86::VPCLMULQDQZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0__imm_95_16, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 14652 /* vpclmullqhqdq */, X86::VPCLMULQDQZrr, Convert__Reg1_2__Reg1_1__Reg1_0__imm_95_16, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 14652 /* vpclmullqhqdq */, X86::VPCLMULQDQrm, Convert__Reg1_2__Reg1_1__Mem1285_0__imm_95_16, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 14652 /* vpclmullqhqdq */, X86::VPCLMULQDQZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0__imm_95_16, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 14652 /* vpclmullqhqdq */, X86::VPCLMULQDQYrm, Convert__Reg1_2__Reg1_1__Mem2565_0__imm_95_16, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 14652 /* vpclmullqhqdq */, X86::VPCLMULQDQZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0__imm_95_16, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 14652 /* vpclmullqhqdq */, X86::VPCLMULQDQZrm, Convert__Reg1_2__Reg1_1__Mem5125_0__imm_95_16, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 14666 /* vpclmullqlqdq */, X86::VPCLMULQDQrr, Convert__Reg1_2__Reg1_1__Reg1_0__imm_95_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 14666 /* vpclmullqlqdq */, X86::VPCLMULQDQYrr, Convert__Reg1_2__Reg1_1__Reg1_0__imm_95_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 14666 /* vpclmullqlqdq */, X86::VPCLMULQDQZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0__imm_95_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 14666 /* vpclmullqlqdq */, X86::VPCLMULQDQZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0__imm_95_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 14666 /* vpclmullqlqdq */, X86::VPCLMULQDQZrr, Convert__Reg1_2__Reg1_1__Reg1_0__imm_95_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 14666 /* vpclmullqlqdq */, X86::VPCLMULQDQrm, Convert__Reg1_2__Reg1_1__Mem1285_0__imm_95_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 14666 /* vpclmullqlqdq */, X86::VPCLMULQDQZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0__imm_95_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 14666 /* vpclmullqlqdq */, X86::VPCLMULQDQYrm, Convert__Reg1_2__Reg1_1__Mem2565_0__imm_95_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 14666 /* vpclmullqlqdq */, X86::VPCLMULQDQZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0__imm_95_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 14666 /* vpclmullqlqdq */, X86::VPCLMULQDQZrm, Convert__Reg1_2__Reg1_1__Mem5125_0__imm_95_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 14680 /* vpclmulqdq */, X86::VPCLMULQDQrr, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 14680 /* vpclmulqdq */, X86::VPCLMULQDQYrr, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 14680 /* vpclmulqdq */, X86::VPCLMULQDQZ128rr, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 14680 /* vpclmulqdq */, X86::VPCLMULQDQZ256rr, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 14680 /* vpclmulqdq */, X86::VPCLMULQDQZrr, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 14680 /* vpclmulqdq */, X86::VPCLMULQDQrm, Convert__Reg1_3__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 14680 /* vpclmulqdq */, X86::VPCLMULQDQZ128rm, Convert__Reg1_3__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 14680 /* vpclmulqdq */, X86::VPCLMULQDQYrm, Convert__Reg1_3__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 14680 /* vpclmulqdq */, X86::VPCLMULQDQZ256rm, Convert__Reg1_3__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 14680 /* vpclmulqdq */, X86::VPCLMULQDQZrm, Convert__Reg1_3__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 14691 /* vpcmov */, X86::VPCMOVrrr, Convert__Reg1_3__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 14691 /* vpcmov */, X86::VPCMOVrmr, Convert__Reg1_3__Reg1_2__Mem1285_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 14691 /* vpcmov */, X86::VPCMOVYrrr, Convert__Reg1_3__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 14691 /* vpcmov */, X86::VPCMOVYrmr, Convert__Reg1_3__Reg1_2__Mem2565_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 14691 /* vpcmov */, X86::VPCMOVrrm, Convert__Reg1_3__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 14691 /* vpcmov */, X86::VPCMOVYrrm, Convert__Reg1_3__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 14698 /* vpcmpb */, X86::VPCMPBZ128rri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_VK1 }, },
{ 14698 /* vpcmpb */, X86::VPCMPBZ256rri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK_VK1 }, },
{ 14698 /* vpcmpb */, X86::VPCMPBZrri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK_VK1 }, },
{ 14698 /* vpcmpb */, X86::VPCMPBZ128rmi, Convert__Reg1_3__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK_VK1 }, },
{ 14698 /* vpcmpb */, X86::VPCMPBZ256rmi, Convert__Reg1_3__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK_VK1 }, },
{ 14698 /* vpcmpb */, X86::VPCMPBZrmi, Convert__Reg1_3__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK_VK1 }, },
{ 14698 /* vpcmpb */, X86::VPCMPBZ128rrik, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14698 /* vpcmpb */, X86::VPCMPBZ256rrik, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14698 /* vpcmpb */, X86::VPCMPBZrrik, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14698 /* vpcmpb */, X86::VPCMPBZ128rmik, Convert__Reg1_3__Reg1_5__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14698 /* vpcmpb */, X86::VPCMPBZ256rmik, Convert__Reg1_3__Reg1_5__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14698 /* vpcmpb */, X86::VPCMPBZrmik, Convert__Reg1_3__Reg1_5__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14705 /* vpcmpd */, X86::VPCMPDZ128rri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_VK1 }, },
{ 14705 /* vpcmpd */, X86::VPCMPDZ256rri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK_VK1 }, },
{ 14705 /* vpcmpd */, X86::VPCMPDZrri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK_VK1 }, },
{ 14705 /* vpcmpd */, X86::VPCMPDZ128rmi, Convert__Reg1_3__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK_VK1 }, },
{ 14705 /* vpcmpd */, X86::VPCMPDZ256rmi, Convert__Reg1_3__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK_VK1 }, },
{ 14705 /* vpcmpd */, X86::VPCMPDZrmi, Convert__Reg1_3__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK_VK1 }, },
{ 14705 /* vpcmpd */, X86::VPCMPDZrmib, Convert__Reg1_4__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VK1 }, },
{ 14705 /* vpcmpd */, X86::VPCMPDZ128rmib, Convert__Reg1_4__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_VK1 }, },
{ 14705 /* vpcmpd */, X86::VPCMPDZ256rmib, Convert__Reg1_4__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VK1 }, },
{ 14705 /* vpcmpd */, X86::VPCMPDZ128rrik, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14705 /* vpcmpd */, X86::VPCMPDZ256rrik, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14705 /* vpcmpd */, X86::VPCMPDZrrik, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14705 /* vpcmpd */, X86::VPCMPDZ128rmik, Convert__Reg1_3__Reg1_5__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14705 /* vpcmpd */, X86::VPCMPDZ256rmik, Convert__Reg1_3__Reg1_5__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14705 /* vpcmpd */, X86::VPCMPDZrmik, Convert__Reg1_3__Reg1_5__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14705 /* vpcmpd */, X86::VPCMPDZrmibk, Convert__Reg1_4__Reg1_6__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14705 /* vpcmpd */, X86::VPCMPDZ128rmibk, Convert__Reg1_4__Reg1_6__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14705 /* vpcmpd */, X86::VPCMPDZ256rmibk, Convert__Reg1_4__Reg1_6__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14712 /* vpcmpeqb */, X86::VPCMPEQBrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 14712 /* vpcmpeqb */, X86::VPCMPEQBYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 14712 /* vpcmpeqb */, X86::VPCMPEQBZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_VK1 }, },
{ 14712 /* vpcmpeqb */, X86::VPCMPEQBZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VK1 }, },
{ 14712 /* vpcmpeqb */, X86::VPCMPEQBZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VK1 }, },
{ 14712 /* vpcmpeqb */, X86::VPCMPEQBrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 14712 /* vpcmpeqb */, X86::VPCMPEQBZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_VK1 }, },
{ 14712 /* vpcmpeqb */, X86::VPCMPEQBYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 14712 /* vpcmpeqb */, X86::VPCMPEQBZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VK1 }, },
{ 14712 /* vpcmpeqb */, X86::VPCMPEQBZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VK1 }, },
{ 14712 /* vpcmpeqb */, X86::VPCMPEQBZ128rrk, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14712 /* vpcmpeqb */, X86::VPCMPEQBZ256rrk, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14712 /* vpcmpeqb */, X86::VPCMPEQBZrrk, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14712 /* vpcmpeqb */, X86::VPCMPEQBZ128rmk, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14712 /* vpcmpeqb */, X86::VPCMPEQBZ256rmk, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14712 /* vpcmpeqb */, X86::VPCMPEQBZrmk, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14721 /* vpcmpeqd */, X86::VPCMPEQDrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 14721 /* vpcmpeqd */, X86::VPCMPEQDYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 14721 /* vpcmpeqd */, X86::VPCMPEQDZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_VK1 }, },
{ 14721 /* vpcmpeqd */, X86::VPCMPEQDZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VK1 }, },
{ 14721 /* vpcmpeqd */, X86::VPCMPEQDZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VK1 }, },
{ 14721 /* vpcmpeqd */, X86::VPCMPEQDrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 14721 /* vpcmpeqd */, X86::VPCMPEQDZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_VK1 }, },
{ 14721 /* vpcmpeqd */, X86::VPCMPEQDYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 14721 /* vpcmpeqd */, X86::VPCMPEQDZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VK1 }, },
{ 14721 /* vpcmpeqd */, X86::VPCMPEQDZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VK1 }, },
{ 14721 /* vpcmpeqd */, X86::VPCMPEQDZrmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VK1 }, },
{ 14721 /* vpcmpeqd */, X86::VPCMPEQDZ128rmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_VK1 }, },
{ 14721 /* vpcmpeqd */, X86::VPCMPEQDZ256rmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VK1 }, },
{ 14721 /* vpcmpeqd */, X86::VPCMPEQDZ128rrk, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14721 /* vpcmpeqd */, X86::VPCMPEQDZ256rrk, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14721 /* vpcmpeqd */, X86::VPCMPEQDZrrk, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14721 /* vpcmpeqd */, X86::VPCMPEQDZ128rmk, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14721 /* vpcmpeqd */, X86::VPCMPEQDZ256rmk, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14721 /* vpcmpeqd */, X86::VPCMPEQDZrmk, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14721 /* vpcmpeqd */, X86::VPCMPEQDZrmbk, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14721 /* vpcmpeqd */, X86::VPCMPEQDZ128rmbk, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14721 /* vpcmpeqd */, X86::VPCMPEQDZ256rmbk, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14730 /* vpcmpeqq */, X86::VPCMPEQQrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 14730 /* vpcmpeqq */, X86::VPCMPEQQYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 14730 /* vpcmpeqq */, X86::VPCMPEQQZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_VK1 }, },
{ 14730 /* vpcmpeqq */, X86::VPCMPEQQZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VK1 }, },
{ 14730 /* vpcmpeqq */, X86::VPCMPEQQZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VK1 }, },
{ 14730 /* vpcmpeqq */, X86::VPCMPEQQrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 14730 /* vpcmpeqq */, X86::VPCMPEQQZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_VK1 }, },
{ 14730 /* vpcmpeqq */, X86::VPCMPEQQYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 14730 /* vpcmpeqq */, X86::VPCMPEQQZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VK1 }, },
{ 14730 /* vpcmpeqq */, X86::VPCMPEQQZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VK1 }, },
{ 14730 /* vpcmpeqq */, X86::VPCMPEQQZ128rmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_VK1 }, },
{ 14730 /* vpcmpeqq */, X86::VPCMPEQQZ256rmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VK1 }, },
{ 14730 /* vpcmpeqq */, X86::VPCMPEQQZrmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VK1 }, },
{ 14730 /* vpcmpeqq */, X86::VPCMPEQQZ128rrk, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14730 /* vpcmpeqq */, X86::VPCMPEQQZ256rrk, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14730 /* vpcmpeqq */, X86::VPCMPEQQZrrk, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14730 /* vpcmpeqq */, X86::VPCMPEQQZ128rmk, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14730 /* vpcmpeqq */, X86::VPCMPEQQZ256rmk, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14730 /* vpcmpeqq */, X86::VPCMPEQQZrmk, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14730 /* vpcmpeqq */, X86::VPCMPEQQZ128rmbk, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14730 /* vpcmpeqq */, X86::VPCMPEQQZ256rmbk, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14730 /* vpcmpeqq */, X86::VPCMPEQQZrmbk, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14739 /* vpcmpeqw */, X86::VPCMPEQWrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 14739 /* vpcmpeqw */, X86::VPCMPEQWYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 14739 /* vpcmpeqw */, X86::VPCMPEQWZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_VK1 }, },
{ 14739 /* vpcmpeqw */, X86::VPCMPEQWZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VK1 }, },
{ 14739 /* vpcmpeqw */, X86::VPCMPEQWZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VK1 }, },
{ 14739 /* vpcmpeqw */, X86::VPCMPEQWrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 14739 /* vpcmpeqw */, X86::VPCMPEQWZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_VK1 }, },
{ 14739 /* vpcmpeqw */, X86::VPCMPEQWYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 14739 /* vpcmpeqw */, X86::VPCMPEQWZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VK1 }, },
{ 14739 /* vpcmpeqw */, X86::VPCMPEQWZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VK1 }, },
{ 14739 /* vpcmpeqw */, X86::VPCMPEQWZ128rrk, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14739 /* vpcmpeqw */, X86::VPCMPEQWZ256rrk, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14739 /* vpcmpeqw */, X86::VPCMPEQWZrrk, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14739 /* vpcmpeqw */, X86::VPCMPEQWZ128rmk, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14739 /* vpcmpeqw */, X86::VPCMPEQWZ256rmk, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14739 /* vpcmpeqw */, X86::VPCMPEQWZrmk, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14748 /* vpcmpestri */, X86::VPCMPESTRIrr, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_FR16 }, },
{ 14748 /* vpcmpestri */, X86::VPCMPESTRIrm, Convert__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16 }, },
{ 14759 /* vpcmpestrm */, X86::VPCMPESTRMrr, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_FR16 }, },
{ 14759 /* vpcmpestrm */, X86::VPCMPESTRMrm, Convert__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16 }, },
{ 14770 /* vpcmpgtb */, X86::VPCMPGTBrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 14770 /* vpcmpgtb */, X86::VPCMPGTBYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 14770 /* vpcmpgtb */, X86::VPCMPGTBZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_VK1 }, },
{ 14770 /* vpcmpgtb */, X86::VPCMPGTBZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VK1 }, },
{ 14770 /* vpcmpgtb */, X86::VPCMPGTBZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VK1 }, },
{ 14770 /* vpcmpgtb */, X86::VPCMPGTBrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 14770 /* vpcmpgtb */, X86::VPCMPGTBZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_VK1 }, },
{ 14770 /* vpcmpgtb */, X86::VPCMPGTBYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 14770 /* vpcmpgtb */, X86::VPCMPGTBZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VK1 }, },
{ 14770 /* vpcmpgtb */, X86::VPCMPGTBZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VK1 }, },
{ 14770 /* vpcmpgtb */, X86::VPCMPGTBZ128rrk, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14770 /* vpcmpgtb */, X86::VPCMPGTBZ256rrk, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14770 /* vpcmpgtb */, X86::VPCMPGTBZrrk, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14770 /* vpcmpgtb */, X86::VPCMPGTBZ128rmk, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14770 /* vpcmpgtb */, X86::VPCMPGTBZ256rmk, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14770 /* vpcmpgtb */, X86::VPCMPGTBZrmk, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14779 /* vpcmpgtd */, X86::VPCMPGTDrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 14779 /* vpcmpgtd */, X86::VPCMPGTDYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 14779 /* vpcmpgtd */, X86::VPCMPGTDZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_VK1 }, },
{ 14779 /* vpcmpgtd */, X86::VPCMPGTDZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VK1 }, },
{ 14779 /* vpcmpgtd */, X86::VPCMPGTDZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VK1 }, },
{ 14779 /* vpcmpgtd */, X86::VPCMPGTDrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 14779 /* vpcmpgtd */, X86::VPCMPGTDZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_VK1 }, },
{ 14779 /* vpcmpgtd */, X86::VPCMPGTDYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 14779 /* vpcmpgtd */, X86::VPCMPGTDZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VK1 }, },
{ 14779 /* vpcmpgtd */, X86::VPCMPGTDZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VK1 }, },
{ 14779 /* vpcmpgtd */, X86::VPCMPGTDZrmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VK1 }, },
{ 14779 /* vpcmpgtd */, X86::VPCMPGTDZ128rmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_VK1 }, },
{ 14779 /* vpcmpgtd */, X86::VPCMPGTDZ256rmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VK1 }, },
{ 14779 /* vpcmpgtd */, X86::VPCMPGTDZ128rrk, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14779 /* vpcmpgtd */, X86::VPCMPGTDZ256rrk, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14779 /* vpcmpgtd */, X86::VPCMPGTDZrrk, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14779 /* vpcmpgtd */, X86::VPCMPGTDZ128rmk, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14779 /* vpcmpgtd */, X86::VPCMPGTDZ256rmk, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14779 /* vpcmpgtd */, X86::VPCMPGTDZrmk, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14779 /* vpcmpgtd */, X86::VPCMPGTDZrmbk, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14779 /* vpcmpgtd */, X86::VPCMPGTDZ128rmbk, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14779 /* vpcmpgtd */, X86::VPCMPGTDZ256rmbk, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14788 /* vpcmpgtq */, X86::VPCMPGTQrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 14788 /* vpcmpgtq */, X86::VPCMPGTQYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 14788 /* vpcmpgtq */, X86::VPCMPGTQZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_VK1 }, },
{ 14788 /* vpcmpgtq */, X86::VPCMPGTQZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VK1 }, },
{ 14788 /* vpcmpgtq */, X86::VPCMPGTQZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VK1 }, },
{ 14788 /* vpcmpgtq */, X86::VPCMPGTQrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 14788 /* vpcmpgtq */, X86::VPCMPGTQZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_VK1 }, },
{ 14788 /* vpcmpgtq */, X86::VPCMPGTQYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 14788 /* vpcmpgtq */, X86::VPCMPGTQZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VK1 }, },
{ 14788 /* vpcmpgtq */, X86::VPCMPGTQZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VK1 }, },
{ 14788 /* vpcmpgtq */, X86::VPCMPGTQZ128rmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_VK1 }, },
{ 14788 /* vpcmpgtq */, X86::VPCMPGTQZ256rmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VK1 }, },
{ 14788 /* vpcmpgtq */, X86::VPCMPGTQZrmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VK1 }, },
{ 14788 /* vpcmpgtq */, X86::VPCMPGTQZ128rrk, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14788 /* vpcmpgtq */, X86::VPCMPGTQZ256rrk, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14788 /* vpcmpgtq */, X86::VPCMPGTQZrrk, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14788 /* vpcmpgtq */, X86::VPCMPGTQZ128rmk, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14788 /* vpcmpgtq */, X86::VPCMPGTQZ256rmk, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14788 /* vpcmpgtq */, X86::VPCMPGTQZrmk, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14788 /* vpcmpgtq */, X86::VPCMPGTQZ128rmbk, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14788 /* vpcmpgtq */, X86::VPCMPGTQZ256rmbk, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14788 /* vpcmpgtq */, X86::VPCMPGTQZrmbk, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14797 /* vpcmpgtw */, X86::VPCMPGTWrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 14797 /* vpcmpgtw */, X86::VPCMPGTWYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 14797 /* vpcmpgtw */, X86::VPCMPGTWZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_VK1 }, },
{ 14797 /* vpcmpgtw */, X86::VPCMPGTWZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VK1 }, },
{ 14797 /* vpcmpgtw */, X86::VPCMPGTWZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VK1 }, },
{ 14797 /* vpcmpgtw */, X86::VPCMPGTWrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 14797 /* vpcmpgtw */, X86::VPCMPGTWZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_VK1 }, },
{ 14797 /* vpcmpgtw */, X86::VPCMPGTWYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 14797 /* vpcmpgtw */, X86::VPCMPGTWZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VK1 }, },
{ 14797 /* vpcmpgtw */, X86::VPCMPGTWZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VK1 }, },
{ 14797 /* vpcmpgtw */, X86::VPCMPGTWZ128rrk, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14797 /* vpcmpgtw */, X86::VPCMPGTWZ256rrk, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14797 /* vpcmpgtw */, X86::VPCMPGTWZrrk, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14797 /* vpcmpgtw */, X86::VPCMPGTWZ128rmk, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14797 /* vpcmpgtw */, X86::VPCMPGTWZ256rmk, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14797 /* vpcmpgtw */, X86::VPCMPGTWZrmk, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14806 /* vpcmpistri */, X86::VPCMPISTRIrr, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_FR16 }, },
{ 14806 /* vpcmpistri */, X86::VPCMPISTRIrm, Convert__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16 }, },
{ 14817 /* vpcmpistrm */, X86::VPCMPISTRMrr, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_FR16 }, },
{ 14817 /* vpcmpistrm */, X86::VPCMPISTRMrm, Convert__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16 }, },
{ 14828 /* vpcmpq */, X86::VPCMPQZ128rri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_VK1 }, },
{ 14828 /* vpcmpq */, X86::VPCMPQZ256rri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK_VK1 }, },
{ 14828 /* vpcmpq */, X86::VPCMPQZrri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK_VK1 }, },
{ 14828 /* vpcmpq */, X86::VPCMPQZ128rmi, Convert__Reg1_3__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK_VK1 }, },
{ 14828 /* vpcmpq */, X86::VPCMPQZ256rmi, Convert__Reg1_3__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK_VK1 }, },
{ 14828 /* vpcmpq */, X86::VPCMPQZrmi, Convert__Reg1_3__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK_VK1 }, },
{ 14828 /* vpcmpq */, X86::VPCMPQZ128rmib, Convert__Reg1_4__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_VK1 }, },
{ 14828 /* vpcmpq */, X86::VPCMPQZ256rmib, Convert__Reg1_4__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VK1 }, },
{ 14828 /* vpcmpq */, X86::VPCMPQZrmib, Convert__Reg1_4__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VK1 }, },
{ 14828 /* vpcmpq */, X86::VPCMPQZ128rrik, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14828 /* vpcmpq */, X86::VPCMPQZ256rrik, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14828 /* vpcmpq */, X86::VPCMPQZrrik, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14828 /* vpcmpq */, X86::VPCMPQZ128rmik, Convert__Reg1_3__Reg1_5__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14828 /* vpcmpq */, X86::VPCMPQZ256rmik, Convert__Reg1_3__Reg1_5__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14828 /* vpcmpq */, X86::VPCMPQZrmik, Convert__Reg1_3__Reg1_5__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14828 /* vpcmpq */, X86::VPCMPQZ128rmibk, Convert__Reg1_4__Reg1_6__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14828 /* vpcmpq */, X86::VPCMPQZ256rmibk, Convert__Reg1_4__Reg1_6__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14828 /* vpcmpq */, X86::VPCMPQZrmibk, Convert__Reg1_4__Reg1_6__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14835 /* vpcmpub */, X86::VPCMPUBZ128rri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_VK1 }, },
{ 14835 /* vpcmpub */, X86::VPCMPUBZ256rri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK_VK1 }, },
{ 14835 /* vpcmpub */, X86::VPCMPUBZrri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK_VK1 }, },
{ 14835 /* vpcmpub */, X86::VPCMPUBZ128rmi, Convert__Reg1_3__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK_VK1 }, },
{ 14835 /* vpcmpub */, X86::VPCMPUBZ256rmi, Convert__Reg1_3__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK_VK1 }, },
{ 14835 /* vpcmpub */, X86::VPCMPUBZrmi, Convert__Reg1_3__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK_VK1 }, },
{ 14835 /* vpcmpub */, X86::VPCMPUBZ128rrik, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14835 /* vpcmpub */, X86::VPCMPUBZ256rrik, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14835 /* vpcmpub */, X86::VPCMPUBZrrik, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14835 /* vpcmpub */, X86::VPCMPUBZ128rmik, Convert__Reg1_3__Reg1_5__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14835 /* vpcmpub */, X86::VPCMPUBZ256rmik, Convert__Reg1_3__Reg1_5__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14835 /* vpcmpub */, X86::VPCMPUBZrmik, Convert__Reg1_3__Reg1_5__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14843 /* vpcmpud */, X86::VPCMPUDZ128rri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_VK1 }, },
{ 14843 /* vpcmpud */, X86::VPCMPUDZ256rri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK_VK1 }, },
{ 14843 /* vpcmpud */, X86::VPCMPUDZrri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK_VK1 }, },
{ 14843 /* vpcmpud */, X86::VPCMPUDZ128rmi, Convert__Reg1_3__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK_VK1 }, },
{ 14843 /* vpcmpud */, X86::VPCMPUDZ256rmi, Convert__Reg1_3__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK_VK1 }, },
{ 14843 /* vpcmpud */, X86::VPCMPUDZrmi, Convert__Reg1_3__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK_VK1 }, },
{ 14843 /* vpcmpud */, X86::VPCMPUDZrmib, Convert__Reg1_4__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VK1 }, },
{ 14843 /* vpcmpud */, X86::VPCMPUDZ128rmib, Convert__Reg1_4__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_VK1 }, },
{ 14843 /* vpcmpud */, X86::VPCMPUDZ256rmib, Convert__Reg1_4__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VK1 }, },
{ 14843 /* vpcmpud */, X86::VPCMPUDZ128rrik, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14843 /* vpcmpud */, X86::VPCMPUDZ256rrik, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14843 /* vpcmpud */, X86::VPCMPUDZrrik, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14843 /* vpcmpud */, X86::VPCMPUDZ128rmik, Convert__Reg1_3__Reg1_5__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14843 /* vpcmpud */, X86::VPCMPUDZ256rmik, Convert__Reg1_3__Reg1_5__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14843 /* vpcmpud */, X86::VPCMPUDZrmik, Convert__Reg1_3__Reg1_5__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14843 /* vpcmpud */, X86::VPCMPUDZrmibk, Convert__Reg1_4__Reg1_6__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14843 /* vpcmpud */, X86::VPCMPUDZ128rmibk, Convert__Reg1_4__Reg1_6__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14843 /* vpcmpud */, X86::VPCMPUDZ256rmibk, Convert__Reg1_4__Reg1_6__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14851 /* vpcmpuq */, X86::VPCMPUQZ128rri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_VK1 }, },
{ 14851 /* vpcmpuq */, X86::VPCMPUQZ256rri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK_VK1 }, },
{ 14851 /* vpcmpuq */, X86::VPCMPUQZrri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK_VK1 }, },
{ 14851 /* vpcmpuq */, X86::VPCMPUQZ128rmi, Convert__Reg1_3__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK_VK1 }, },
{ 14851 /* vpcmpuq */, X86::VPCMPUQZ256rmi, Convert__Reg1_3__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK_VK1 }, },
{ 14851 /* vpcmpuq */, X86::VPCMPUQZrmi, Convert__Reg1_3__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK_VK1 }, },
{ 14851 /* vpcmpuq */, X86::VPCMPUQZ128rmib, Convert__Reg1_4__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_VK1 }, },
{ 14851 /* vpcmpuq */, X86::VPCMPUQZ256rmib, Convert__Reg1_4__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VK1 }, },
{ 14851 /* vpcmpuq */, X86::VPCMPUQZrmib, Convert__Reg1_4__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VK1 }, },
{ 14851 /* vpcmpuq */, X86::VPCMPUQZ128rrik, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14851 /* vpcmpuq */, X86::VPCMPUQZ256rrik, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14851 /* vpcmpuq */, X86::VPCMPUQZrrik, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14851 /* vpcmpuq */, X86::VPCMPUQZ128rmik, Convert__Reg1_3__Reg1_5__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14851 /* vpcmpuq */, X86::VPCMPUQZ256rmik, Convert__Reg1_3__Reg1_5__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14851 /* vpcmpuq */, X86::VPCMPUQZrmik, Convert__Reg1_3__Reg1_5__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14851 /* vpcmpuq */, X86::VPCMPUQZ128rmibk, Convert__Reg1_4__Reg1_6__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14851 /* vpcmpuq */, X86::VPCMPUQZ256rmibk, Convert__Reg1_4__Reg1_6__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14851 /* vpcmpuq */, X86::VPCMPUQZrmibk, Convert__Reg1_4__Reg1_6__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14859 /* vpcmpuw */, X86::VPCMPUWZ128rri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_VK1 }, },
{ 14859 /* vpcmpuw */, X86::VPCMPUWZ256rri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK_VK1 }, },
{ 14859 /* vpcmpuw */, X86::VPCMPUWZrri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK_VK1 }, },
{ 14859 /* vpcmpuw */, X86::VPCMPUWZ128rmi, Convert__Reg1_3__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK_VK1 }, },
{ 14859 /* vpcmpuw */, X86::VPCMPUWZ256rmi, Convert__Reg1_3__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK_VK1 }, },
{ 14859 /* vpcmpuw */, X86::VPCMPUWZrmi, Convert__Reg1_3__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK_VK1 }, },
{ 14859 /* vpcmpuw */, X86::VPCMPUWZ128rrik, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14859 /* vpcmpuw */, X86::VPCMPUWZ256rrik, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14859 /* vpcmpuw */, X86::VPCMPUWZrrik, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14859 /* vpcmpuw */, X86::VPCMPUWZ128rmik, Convert__Reg1_3__Reg1_5__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14859 /* vpcmpuw */, X86::VPCMPUWZ256rmik, Convert__Reg1_3__Reg1_5__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14859 /* vpcmpuw */, X86::VPCMPUWZrmik, Convert__Reg1_3__Reg1_5__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14867 /* vpcmpw */, X86::VPCMPWZ128rri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_VK1 }, },
{ 14867 /* vpcmpw */, X86::VPCMPWZ256rri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK_VK1 }, },
{ 14867 /* vpcmpw */, X86::VPCMPWZrri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK_VK1 }, },
{ 14867 /* vpcmpw */, X86::VPCMPWZ128rmi, Convert__Reg1_3__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK_VK1 }, },
{ 14867 /* vpcmpw */, X86::VPCMPWZ256rmi, Convert__Reg1_3__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK_VK1 }, },
{ 14867 /* vpcmpw */, X86::VPCMPWZrmi, Convert__Reg1_3__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK_VK1 }, },
{ 14867 /* vpcmpw */, X86::VPCMPWZ128rrik, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14867 /* vpcmpw */, X86::VPCMPWZ256rrik, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14867 /* vpcmpw */, X86::VPCMPWZrrik, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14867 /* vpcmpw */, X86::VPCMPWZ128rmik, Convert__Reg1_3__Reg1_5__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14867 /* vpcmpw */, X86::VPCMPWZ256rmik, Convert__Reg1_3__Reg1_5__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14867 /* vpcmpw */, X86::VPCMPWZrmik, Convert__Reg1_3__Reg1_5__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14874 /* vpcomb */, X86::VPCOMBri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 14874 /* vpcomb */, X86::VPCOMBmi, Convert__Reg1_3__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 14881 /* vpcomd */, X86::VPCOMDri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 14881 /* vpcomd */, X86::VPCOMDmi, Convert__Reg1_3__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 14888 /* vpcompressb */, X86::VPCOMPRESSBZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 14888 /* vpcompressb */, X86::VPCOMPRESSBZ128mr, Convert__Mem1285_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_Mem128 }, },
{ 14888 /* vpcompressb */, X86::VPCOMPRESSBZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 14888 /* vpcompressb */, X86::VPCOMPRESSBZ256mr, Convert__Mem2565_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_Mem256 }, },
{ 14888 /* vpcompressb */, X86::VPCOMPRESSBZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 14888 /* vpcompressb */, X86::VPCOMPRESSBZmr, Convert__Mem5125_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_Mem512 }, },
{ 14888 /* vpcompressb */, X86::VPCOMPRESSBZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14888 /* vpcompressb */, X86::VPCOMPRESSBZ128mrk, Convert__Mem1285_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_Mem128, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14888 /* vpcompressb */, X86::VPCOMPRESSBZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14888 /* vpcompressb */, X86::VPCOMPRESSBZ256mrk, Convert__Mem2565_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_Mem256, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14888 /* vpcompressb */, X86::VPCOMPRESSBZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14888 /* vpcompressb */, X86::VPCOMPRESSBZmrk, Convert__Mem5125_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_Mem512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14888 /* vpcompressb */, X86::VPCOMPRESSBZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14888 /* vpcompressb */, X86::VPCOMPRESSBZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14888 /* vpcompressb */, X86::VPCOMPRESSBZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14900 /* vpcompressd */, X86::VPCOMPRESSDZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 14900 /* vpcompressd */, X86::VPCOMPRESSDZ128mr, Convert__Mem1285_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_Mem128 }, },
{ 14900 /* vpcompressd */, X86::VPCOMPRESSDZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 14900 /* vpcompressd */, X86::VPCOMPRESSDZ256mr, Convert__Mem2565_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_Mem256 }, },
{ 14900 /* vpcompressd */, X86::VPCOMPRESSDZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 14900 /* vpcompressd */, X86::VPCOMPRESSDZmr, Convert__Mem5125_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_Mem512 }, },
{ 14900 /* vpcompressd */, X86::VPCOMPRESSDZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14900 /* vpcompressd */, X86::VPCOMPRESSDZ128mrk, Convert__Mem1285_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_Mem128, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14900 /* vpcompressd */, X86::VPCOMPRESSDZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14900 /* vpcompressd */, X86::VPCOMPRESSDZ256mrk, Convert__Mem2565_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_Mem256, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14900 /* vpcompressd */, X86::VPCOMPRESSDZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14900 /* vpcompressd */, X86::VPCOMPRESSDZmrk, Convert__Mem5125_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_Mem512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14900 /* vpcompressd */, X86::VPCOMPRESSDZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14900 /* vpcompressd */, X86::VPCOMPRESSDZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14900 /* vpcompressd */, X86::VPCOMPRESSDZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14912 /* vpcompressq */, X86::VPCOMPRESSQZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 14912 /* vpcompressq */, X86::VPCOMPRESSQZ128mr, Convert__Mem1285_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_Mem128 }, },
{ 14912 /* vpcompressq */, X86::VPCOMPRESSQZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 14912 /* vpcompressq */, X86::VPCOMPRESSQZ256mr, Convert__Mem2565_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_Mem256 }, },
{ 14912 /* vpcompressq */, X86::VPCOMPRESSQZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 14912 /* vpcompressq */, X86::VPCOMPRESSQZmr, Convert__Mem5125_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_Mem512 }, },
{ 14912 /* vpcompressq */, X86::VPCOMPRESSQZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14912 /* vpcompressq */, X86::VPCOMPRESSQZ128mrk, Convert__Mem1285_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_Mem128, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14912 /* vpcompressq */, X86::VPCOMPRESSQZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14912 /* vpcompressq */, X86::VPCOMPRESSQZ256mrk, Convert__Mem2565_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_Mem256, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14912 /* vpcompressq */, X86::VPCOMPRESSQZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14912 /* vpcompressq */, X86::VPCOMPRESSQZmrk, Convert__Mem5125_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_Mem512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14912 /* vpcompressq */, X86::VPCOMPRESSQZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14912 /* vpcompressq */, X86::VPCOMPRESSQZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14912 /* vpcompressq */, X86::VPCOMPRESSQZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14924 /* vpcompressw */, X86::VPCOMPRESSWZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 14924 /* vpcompressw */, X86::VPCOMPRESSWZ128mr, Convert__Mem1285_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_Mem128 }, },
{ 14924 /* vpcompressw */, X86::VPCOMPRESSWZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 14924 /* vpcompressw */, X86::VPCOMPRESSWZ256mr, Convert__Mem2565_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_Mem256 }, },
{ 14924 /* vpcompressw */, X86::VPCOMPRESSWZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 14924 /* vpcompressw */, X86::VPCOMPRESSWZmr, Convert__Mem5125_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_Mem512 }, },
{ 14924 /* vpcompressw */, X86::VPCOMPRESSWZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14924 /* vpcompressw */, X86::VPCOMPRESSWZ128mrk, Convert__Mem1285_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_Mem128, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14924 /* vpcompressw */, X86::VPCOMPRESSWZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14924 /* vpcompressw */, X86::VPCOMPRESSWZ256mrk, Convert__Mem2565_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_Mem256, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14924 /* vpcompressw */, X86::VPCOMPRESSWZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14924 /* vpcompressw */, X86::VPCOMPRESSWZmrk, Convert__Mem5125_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_Mem512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14924 /* vpcompressw */, X86::VPCOMPRESSWZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14924 /* vpcompressw */, X86::VPCOMPRESSWZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14924 /* vpcompressw */, X86::VPCOMPRESSWZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14936 /* vpcomq */, X86::VPCOMQri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 14936 /* vpcomq */, X86::VPCOMQmi, Convert__Reg1_3__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 14943 /* vpcomub */, X86::VPCOMUBri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 14943 /* vpcomub */, X86::VPCOMUBmi, Convert__Reg1_3__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 14951 /* vpcomud */, X86::VPCOMUDri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 14951 /* vpcomud */, X86::VPCOMUDmi, Convert__Reg1_3__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 14959 /* vpcomuq */, X86::VPCOMUQri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 14959 /* vpcomuq */, X86::VPCOMUQmi, Convert__Reg1_3__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 14967 /* vpcomuw */, X86::VPCOMUWri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 14967 /* vpcomuw */, X86::VPCOMUWmi, Convert__Reg1_3__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 14975 /* vpcomw */, X86::VPCOMWri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 14975 /* vpcomw */, X86::VPCOMWmi, Convert__Reg1_3__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 14982 /* vpconflictd */, X86::VPCONFLICTDZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 14982 /* vpconflictd */, X86::VPCONFLICTDZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 14982 /* vpconflictd */, X86::VPCONFLICTDZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 14982 /* vpconflictd */, X86::VPCONFLICTDZ128rm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X }, },
{ 14982 /* vpconflictd */, X86::VPCONFLICTDZ256rm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X }, },
{ 14982 /* vpconflictd */, X86::VPCONFLICTDZrm, Convert__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512 }, },
{ 14982 /* vpconflictd */, X86::VPCONFLICTDZrmb, Convert__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512 }, },
{ 14982 /* vpconflictd */, X86::VPCONFLICTDZ128rmb, Convert__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X }, },
{ 14982 /* vpconflictd */, X86::VPCONFLICTDZ256rmb, Convert__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X }, },
{ 14982 /* vpconflictd */, X86::VPCONFLICTDZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14982 /* vpconflictd */, X86::VPCONFLICTDZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14982 /* vpconflictd */, X86::VPCONFLICTDZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14982 /* vpconflictd */, X86::VPCONFLICTDZ128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14982 /* vpconflictd */, X86::VPCONFLICTDZ256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14982 /* vpconflictd */, X86::VPCONFLICTDZrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14982 /* vpconflictd */, X86::VPCONFLICTDZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14982 /* vpconflictd */, X86::VPCONFLICTDZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14982 /* vpconflictd */, X86::VPCONFLICTDZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14982 /* vpconflictd */, X86::VPCONFLICTDZ128rmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14982 /* vpconflictd */, X86::VPCONFLICTDZ256rmkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14982 /* vpconflictd */, X86::VPCONFLICTDZrmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14982 /* vpconflictd */, X86::VPCONFLICTDZ128rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14982 /* vpconflictd */, X86::VPCONFLICTDZ256rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14982 /* vpconflictd */, X86::VPCONFLICTDZrmkz, Convert__Reg1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14982 /* vpconflictd */, X86::VPCONFLICTDZrmbkz, Convert__Reg1_2__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14982 /* vpconflictd */, X86::VPCONFLICTDZ128rmbkz, Convert__Reg1_2__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14982 /* vpconflictd */, X86::VPCONFLICTDZ256rmbkz, Convert__Reg1_2__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14994 /* vpconflictq */, X86::VPCONFLICTQZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 14994 /* vpconflictq */, X86::VPCONFLICTQZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 14994 /* vpconflictq */, X86::VPCONFLICTQZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 14994 /* vpconflictq */, X86::VPCONFLICTQZ128rm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X }, },
{ 14994 /* vpconflictq */, X86::VPCONFLICTQZ256rm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X }, },
{ 14994 /* vpconflictq */, X86::VPCONFLICTQZrm, Convert__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512 }, },
{ 14994 /* vpconflictq */, X86::VPCONFLICTQZ128rmb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X }, },
{ 14994 /* vpconflictq */, X86::VPCONFLICTQZ256rmb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X }, },
{ 14994 /* vpconflictq */, X86::VPCONFLICTQZrmb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512 }, },
{ 14994 /* vpconflictq */, X86::VPCONFLICTQZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14994 /* vpconflictq */, X86::VPCONFLICTQZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14994 /* vpconflictq */, X86::VPCONFLICTQZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14994 /* vpconflictq */, X86::VPCONFLICTQZ128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14994 /* vpconflictq */, X86::VPCONFLICTQZ256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14994 /* vpconflictq */, X86::VPCONFLICTQZrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14994 /* vpconflictq */, X86::VPCONFLICTQZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14994 /* vpconflictq */, X86::VPCONFLICTQZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14994 /* vpconflictq */, X86::VPCONFLICTQZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14994 /* vpconflictq */, X86::VPCONFLICTQZ128rmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14994 /* vpconflictq */, X86::VPCONFLICTQZ256rmkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14994 /* vpconflictq */, X86::VPCONFLICTQZrmkz, Convert__Reg1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14994 /* vpconflictq */, X86::VPCONFLICTQZ128rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14994 /* vpconflictq */, X86::VPCONFLICTQZ256rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14994 /* vpconflictq */, X86::VPCONFLICTQZrmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 14994 /* vpconflictq */, X86::VPCONFLICTQZ128rmbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14994 /* vpconflictq */, X86::VPCONFLICTQZ256rmbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 14994 /* vpconflictq */, X86::VPCONFLICTQZrmbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15006 /* vpdpbssd */, X86::VPDPBSSDrr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 15006 /* vpdpbssd */, X86::VPDPBSSDYrr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 15006 /* vpdpbssd */, X86::VPDPBSSDrm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 15006 /* vpdpbssd */, X86::VPDPBSSDYrm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 15015 /* vpdpbssds */, X86::VPDPBSSDSrr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 15015 /* vpdpbssds */, X86::VPDPBSSDSYrr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 15015 /* vpdpbssds */, X86::VPDPBSSDSrm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 15015 /* vpdpbssds */, X86::VPDPBSSDSYrm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 15025 /* vpdpbsud */, X86::VPDPBSUDrr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 15025 /* vpdpbsud */, X86::VPDPBSUDYrr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 15025 /* vpdpbsud */, X86::VPDPBSUDrm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 15025 /* vpdpbsud */, X86::VPDPBSUDYrm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 15034 /* vpdpbsuds */, X86::VPDPBSUDSrr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 15034 /* vpdpbsuds */, X86::VPDPBSUDSYrr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 15034 /* vpdpbsuds */, X86::VPDPBSUDSrm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 15034 /* vpdpbsuds */, X86::VPDPBSUDSYrm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 15044 /* vpdpbusd */, X86::VPDPBUSDrr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 15044 /* vpdpbusd */, X86::VPDPBUSDYrr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 15044 /* vpdpbusd */, X86::VPDPBUSDZ128r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 15044 /* vpdpbusd */, X86::VPDPBUSDZ256r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 15044 /* vpdpbusd */, X86::VPDPBUSDZr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 15044 /* vpdpbusd */, X86::VPDPBUSDrm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 15044 /* vpdpbusd */, X86::VPDPBUSDZ128m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 15044 /* vpdpbusd */, X86::VPDPBUSDYrm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 15044 /* vpdpbusd */, X86::VPDPBUSDZ256m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 15044 /* vpdpbusd */, X86::VPDPBUSDZm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 15044 /* vpdpbusd */, X86::VPDPBUSDZmb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512 }, },
{ 15044 /* vpdpbusd */, X86::VPDPBUSDZ128mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X }, },
{ 15044 /* vpdpbusd */, X86::VPDPBUSDZ256mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X }, },
{ 15044 /* vpdpbusd */, X86::VPDPBUSDZ128rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15044 /* vpdpbusd */, X86::VPDPBUSDZ256rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15044 /* vpdpbusd */, X86::VPDPBUSDZrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15044 /* vpdpbusd */, X86::VPDPBUSDZ128mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15044 /* vpdpbusd */, X86::VPDPBUSDZ256mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15044 /* vpdpbusd */, X86::VPDPBUSDZmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15044 /* vpdpbusd */, X86::VPDPBUSDZ128rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15044 /* vpdpbusd */, X86::VPDPBUSDZ256rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15044 /* vpdpbusd */, X86::VPDPBUSDZrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15044 /* vpdpbusd */, X86::VPDPBUSDZ128mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15044 /* vpdpbusd */, X86::VPDPBUSDZ256mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15044 /* vpdpbusd */, X86::VPDPBUSDZmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15044 /* vpdpbusd */, X86::VPDPBUSDZ128mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15044 /* vpdpbusd */, X86::VPDPBUSDZ256mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15044 /* vpdpbusd */, X86::VPDPBUSDZmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15044 /* vpdpbusd */, X86::VPDPBUSDZmbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15044 /* vpdpbusd */, X86::VPDPBUSDZ128mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15044 /* vpdpbusd */, X86::VPDPBUSDZ256mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15053 /* vpdpbusds */, X86::VPDPBUSDSrr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 15053 /* vpdpbusds */, X86::VPDPBUSDSYrr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 15053 /* vpdpbusds */, X86::VPDPBUSDSZ128r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 15053 /* vpdpbusds */, X86::VPDPBUSDSZ256r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 15053 /* vpdpbusds */, X86::VPDPBUSDSZr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 15053 /* vpdpbusds */, X86::VPDPBUSDSrm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 15053 /* vpdpbusds */, X86::VPDPBUSDSZ128m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 15053 /* vpdpbusds */, X86::VPDPBUSDSYrm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 15053 /* vpdpbusds */, X86::VPDPBUSDSZ256m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 15053 /* vpdpbusds */, X86::VPDPBUSDSZm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 15053 /* vpdpbusds */, X86::VPDPBUSDSZmb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512 }, },
{ 15053 /* vpdpbusds */, X86::VPDPBUSDSZ128mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X }, },
{ 15053 /* vpdpbusds */, X86::VPDPBUSDSZ256mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X }, },
{ 15053 /* vpdpbusds */, X86::VPDPBUSDSZ128rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15053 /* vpdpbusds */, X86::VPDPBUSDSZ256rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15053 /* vpdpbusds */, X86::VPDPBUSDSZrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15053 /* vpdpbusds */, X86::VPDPBUSDSZ128mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15053 /* vpdpbusds */, X86::VPDPBUSDSZ256mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15053 /* vpdpbusds */, X86::VPDPBUSDSZmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15053 /* vpdpbusds */, X86::VPDPBUSDSZ128rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15053 /* vpdpbusds */, X86::VPDPBUSDSZ256rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15053 /* vpdpbusds */, X86::VPDPBUSDSZrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15053 /* vpdpbusds */, X86::VPDPBUSDSZ128mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15053 /* vpdpbusds */, X86::VPDPBUSDSZ256mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15053 /* vpdpbusds */, X86::VPDPBUSDSZmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15053 /* vpdpbusds */, X86::VPDPBUSDSZ128mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15053 /* vpdpbusds */, X86::VPDPBUSDSZ256mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15053 /* vpdpbusds */, X86::VPDPBUSDSZmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15053 /* vpdpbusds */, X86::VPDPBUSDSZmbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15053 /* vpdpbusds */, X86::VPDPBUSDSZ128mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15053 /* vpdpbusds */, X86::VPDPBUSDSZ256mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15063 /* vpdpbuud */, X86::VPDPBUUDrr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 15063 /* vpdpbuud */, X86::VPDPBUUDYrr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 15063 /* vpdpbuud */, X86::VPDPBUUDrm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 15063 /* vpdpbuud */, X86::VPDPBUUDYrm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 15072 /* vpdpbuuds */, X86::VPDPBUUDSrr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 15072 /* vpdpbuuds */, X86::VPDPBUUDSYrr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 15072 /* vpdpbuuds */, X86::VPDPBUUDSrm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 15072 /* vpdpbuuds */, X86::VPDPBUUDSYrm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 15082 /* vpdpwssd */, X86::VPDPWSSDrr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 15082 /* vpdpwssd */, X86::VPDPWSSDYrr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 15082 /* vpdpwssd */, X86::VPDPWSSDZ128r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 15082 /* vpdpwssd */, X86::VPDPWSSDZ256r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 15082 /* vpdpwssd */, X86::VPDPWSSDZr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 15082 /* vpdpwssd */, X86::VPDPWSSDrm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 15082 /* vpdpwssd */, X86::VPDPWSSDZ128m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 15082 /* vpdpwssd */, X86::VPDPWSSDYrm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 15082 /* vpdpwssd */, X86::VPDPWSSDZ256m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 15082 /* vpdpwssd */, X86::VPDPWSSDZm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 15082 /* vpdpwssd */, X86::VPDPWSSDZmb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512 }, },
{ 15082 /* vpdpwssd */, X86::VPDPWSSDZ128mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X }, },
{ 15082 /* vpdpwssd */, X86::VPDPWSSDZ256mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X }, },
{ 15082 /* vpdpwssd */, X86::VPDPWSSDZ128rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15082 /* vpdpwssd */, X86::VPDPWSSDZ256rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15082 /* vpdpwssd */, X86::VPDPWSSDZrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15082 /* vpdpwssd */, X86::VPDPWSSDZ128mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15082 /* vpdpwssd */, X86::VPDPWSSDZ256mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15082 /* vpdpwssd */, X86::VPDPWSSDZmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15082 /* vpdpwssd */, X86::VPDPWSSDZ128rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15082 /* vpdpwssd */, X86::VPDPWSSDZ256rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15082 /* vpdpwssd */, X86::VPDPWSSDZrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15082 /* vpdpwssd */, X86::VPDPWSSDZ128mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15082 /* vpdpwssd */, X86::VPDPWSSDZ256mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15082 /* vpdpwssd */, X86::VPDPWSSDZmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15082 /* vpdpwssd */, X86::VPDPWSSDZ128mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15082 /* vpdpwssd */, X86::VPDPWSSDZ256mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15082 /* vpdpwssd */, X86::VPDPWSSDZmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15082 /* vpdpwssd */, X86::VPDPWSSDZmbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15082 /* vpdpwssd */, X86::VPDPWSSDZ128mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15082 /* vpdpwssd */, X86::VPDPWSSDZ256mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15091 /* vpdpwssds */, X86::VPDPWSSDSrr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 15091 /* vpdpwssds */, X86::VPDPWSSDSYrr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 15091 /* vpdpwssds */, X86::VPDPWSSDSZ128r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 15091 /* vpdpwssds */, X86::VPDPWSSDSZ256r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 15091 /* vpdpwssds */, X86::VPDPWSSDSZr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 15091 /* vpdpwssds */, X86::VPDPWSSDSrm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 15091 /* vpdpwssds */, X86::VPDPWSSDSZ128m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 15091 /* vpdpwssds */, X86::VPDPWSSDSYrm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 15091 /* vpdpwssds */, X86::VPDPWSSDSZ256m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 15091 /* vpdpwssds */, X86::VPDPWSSDSZm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 15091 /* vpdpwssds */, X86::VPDPWSSDSZmb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512 }, },
{ 15091 /* vpdpwssds */, X86::VPDPWSSDSZ128mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X }, },
{ 15091 /* vpdpwssds */, X86::VPDPWSSDSZ256mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X }, },
{ 15091 /* vpdpwssds */, X86::VPDPWSSDSZ128rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15091 /* vpdpwssds */, X86::VPDPWSSDSZ256rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15091 /* vpdpwssds */, X86::VPDPWSSDSZrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15091 /* vpdpwssds */, X86::VPDPWSSDSZ128mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15091 /* vpdpwssds */, X86::VPDPWSSDSZ256mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15091 /* vpdpwssds */, X86::VPDPWSSDSZmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15091 /* vpdpwssds */, X86::VPDPWSSDSZ128rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15091 /* vpdpwssds */, X86::VPDPWSSDSZ256rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15091 /* vpdpwssds */, X86::VPDPWSSDSZrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15091 /* vpdpwssds */, X86::VPDPWSSDSZ128mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15091 /* vpdpwssds */, X86::VPDPWSSDSZ256mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15091 /* vpdpwssds */, X86::VPDPWSSDSZmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15091 /* vpdpwssds */, X86::VPDPWSSDSZ128mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15091 /* vpdpwssds */, X86::VPDPWSSDSZ256mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15091 /* vpdpwssds */, X86::VPDPWSSDSZmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15091 /* vpdpwssds */, X86::VPDPWSSDSZmbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15091 /* vpdpwssds */, X86::VPDPWSSDSZ128mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15091 /* vpdpwssds */, X86::VPDPWSSDSZ256mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15101 /* vperm2f128 */, X86::VPERM2F128rr, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 15101 /* vperm2f128 */, X86::VPERM2F128rm, Convert__Reg1_3__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 15112 /* vperm2i128 */, X86::VPERM2I128rr, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 15112 /* vperm2i128 */, X86::VPERM2I128rm, Convert__Reg1_3__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 15123 /* vpermb */, X86::VPERMBZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 15123 /* vpermb */, X86::VPERMBZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 15123 /* vpermb */, X86::VPERMBZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 15123 /* vpermb */, X86::VPERMBZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 15123 /* vpermb */, X86::VPERMBZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 15123 /* vpermb */, X86::VPERMBZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 15123 /* vpermb */, X86::VPERMBZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15123 /* vpermb */, X86::VPERMBZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15123 /* vpermb */, X86::VPERMBZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15123 /* vpermb */, X86::VPERMBZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15123 /* vpermb */, X86::VPERMBZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15123 /* vpermb */, X86::VPERMBZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15123 /* vpermb */, X86::VPERMBZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15123 /* vpermb */, X86::VPERMBZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15123 /* vpermb */, X86::VPERMBZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15123 /* vpermb */, X86::VPERMBZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15123 /* vpermb */, X86::VPERMBZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15123 /* vpermb */, X86::VPERMBZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15130 /* vpermd */, X86::VPERMDYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 15130 /* vpermd */, X86::VPERMDZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 15130 /* vpermd */, X86::VPERMDZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 15130 /* vpermd */, X86::VPERMDYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 15130 /* vpermd */, X86::VPERMDZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 15130 /* vpermd */, X86::VPERMDZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 15130 /* vpermd */, X86::VPERMDZrmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512 }, },
{ 15130 /* vpermd */, X86::VPERMDZ256rmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X }, },
{ 15130 /* vpermd */, X86::VPERMDZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15130 /* vpermd */, X86::VPERMDZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15130 /* vpermd */, X86::VPERMDZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15130 /* vpermd */, X86::VPERMDZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15130 /* vpermd */, X86::VPERMDZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15130 /* vpermd */, X86::VPERMDZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15130 /* vpermd */, X86::VPERMDZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15130 /* vpermd */, X86::VPERMDZrmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15130 /* vpermd */, X86::VPERMDZ256rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15130 /* vpermd */, X86::VPERMDZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15130 /* vpermd */, X86::VPERMDZrmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15130 /* vpermd */, X86::VPERMDZ256rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15137 /* vpermi2b */, X86::VPERMI2B128rr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 15137 /* vpermi2b */, X86::VPERMI2B256rr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 15137 /* vpermi2b */, X86::VPERMI2Brr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 15137 /* vpermi2b */, X86::VPERMI2B128rm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 15137 /* vpermi2b */, X86::VPERMI2B256rm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 15137 /* vpermi2b */, X86::VPERMI2Brm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 15137 /* vpermi2b */, X86::VPERMI2B128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15137 /* vpermi2b */, X86::VPERMI2B256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15137 /* vpermi2b */, X86::VPERMI2Brrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15137 /* vpermi2b */, X86::VPERMI2B128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15137 /* vpermi2b */, X86::VPERMI2B256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15137 /* vpermi2b */, X86::VPERMI2Brmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15137 /* vpermi2b */, X86::VPERMI2B128rrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15137 /* vpermi2b */, X86::VPERMI2B256rrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15137 /* vpermi2b */, X86::VPERMI2Brrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15137 /* vpermi2b */, X86::VPERMI2B128rmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15137 /* vpermi2b */, X86::VPERMI2B256rmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15137 /* vpermi2b */, X86::VPERMI2Brmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15146 /* vpermi2d */, X86::VPERMI2D128rr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 15146 /* vpermi2d */, X86::VPERMI2D256rr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 15146 /* vpermi2d */, X86::VPERMI2Drr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 15146 /* vpermi2d */, X86::VPERMI2D128rm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 15146 /* vpermi2d */, X86::VPERMI2D256rm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 15146 /* vpermi2d */, X86::VPERMI2Drm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 15146 /* vpermi2d */, X86::VPERMI2Drmb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512 }, },
{ 15146 /* vpermi2d */, X86::VPERMI2D128rmb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X }, },
{ 15146 /* vpermi2d */, X86::VPERMI2D256rmb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X }, },
{ 15146 /* vpermi2d */, X86::VPERMI2D128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15146 /* vpermi2d */, X86::VPERMI2D256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15146 /* vpermi2d */, X86::VPERMI2Drrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15146 /* vpermi2d */, X86::VPERMI2D128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15146 /* vpermi2d */, X86::VPERMI2D256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15146 /* vpermi2d */, X86::VPERMI2Drmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15146 /* vpermi2d */, X86::VPERMI2D128rrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15146 /* vpermi2d */, X86::VPERMI2D256rrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15146 /* vpermi2d */, X86::VPERMI2Drrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15146 /* vpermi2d */, X86::VPERMI2D128rmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15146 /* vpermi2d */, X86::VPERMI2D256rmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15146 /* vpermi2d */, X86::VPERMI2Drmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15146 /* vpermi2d */, X86::VPERMI2D128rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15146 /* vpermi2d */, X86::VPERMI2D256rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15146 /* vpermi2d */, X86::VPERMI2Drmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15146 /* vpermi2d */, X86::VPERMI2Drmbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15146 /* vpermi2d */, X86::VPERMI2D128rmbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15146 /* vpermi2d */, X86::VPERMI2D256rmbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15155 /* vpermi2pd */, X86::VPERMI2PD128rr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 15155 /* vpermi2pd */, X86::VPERMI2PD256rr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 15155 /* vpermi2pd */, X86::VPERMI2PDrr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 15155 /* vpermi2pd */, X86::VPERMI2PD128rm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 15155 /* vpermi2pd */, X86::VPERMI2PD256rm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 15155 /* vpermi2pd */, X86::VPERMI2PDrm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 15155 /* vpermi2pd */, X86::VPERMI2PD128rmb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X }, },
{ 15155 /* vpermi2pd */, X86::VPERMI2PD256rmb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X }, },
{ 15155 /* vpermi2pd */, X86::VPERMI2PDrmb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512 }, },
{ 15155 /* vpermi2pd */, X86::VPERMI2PD128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15155 /* vpermi2pd */, X86::VPERMI2PD256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15155 /* vpermi2pd */, X86::VPERMI2PDrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15155 /* vpermi2pd */, X86::VPERMI2PD128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15155 /* vpermi2pd */, X86::VPERMI2PD256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15155 /* vpermi2pd */, X86::VPERMI2PDrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15155 /* vpermi2pd */, X86::VPERMI2PD128rrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15155 /* vpermi2pd */, X86::VPERMI2PD256rrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15155 /* vpermi2pd */, X86::VPERMI2PDrrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15155 /* vpermi2pd */, X86::VPERMI2PD128rmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15155 /* vpermi2pd */, X86::VPERMI2PD256rmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15155 /* vpermi2pd */, X86::VPERMI2PDrmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15155 /* vpermi2pd */, X86::VPERMI2PD128rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15155 /* vpermi2pd */, X86::VPERMI2PD256rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15155 /* vpermi2pd */, X86::VPERMI2PDrmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15155 /* vpermi2pd */, X86::VPERMI2PD128rmbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15155 /* vpermi2pd */, X86::VPERMI2PD256rmbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15155 /* vpermi2pd */, X86::VPERMI2PDrmbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15165 /* vpermi2ps */, X86::VPERMI2PS128rr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 15165 /* vpermi2ps */, X86::VPERMI2PS256rr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 15165 /* vpermi2ps */, X86::VPERMI2PSrr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 15165 /* vpermi2ps */, X86::VPERMI2PS128rm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 15165 /* vpermi2ps */, X86::VPERMI2PS256rm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 15165 /* vpermi2ps */, X86::VPERMI2PSrm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 15165 /* vpermi2ps */, X86::VPERMI2PSrmb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512 }, },
{ 15165 /* vpermi2ps */, X86::VPERMI2PS128rmb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X }, },
{ 15165 /* vpermi2ps */, X86::VPERMI2PS256rmb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X }, },
{ 15165 /* vpermi2ps */, X86::VPERMI2PS128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15165 /* vpermi2ps */, X86::VPERMI2PS256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15165 /* vpermi2ps */, X86::VPERMI2PSrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15165 /* vpermi2ps */, X86::VPERMI2PS128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15165 /* vpermi2ps */, X86::VPERMI2PS256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15165 /* vpermi2ps */, X86::VPERMI2PSrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15165 /* vpermi2ps */, X86::VPERMI2PS128rrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15165 /* vpermi2ps */, X86::VPERMI2PS256rrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15165 /* vpermi2ps */, X86::VPERMI2PSrrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15165 /* vpermi2ps */, X86::VPERMI2PS128rmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15165 /* vpermi2ps */, X86::VPERMI2PS256rmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15165 /* vpermi2ps */, X86::VPERMI2PSrmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15165 /* vpermi2ps */, X86::VPERMI2PS128rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15165 /* vpermi2ps */, X86::VPERMI2PS256rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15165 /* vpermi2ps */, X86::VPERMI2PSrmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15165 /* vpermi2ps */, X86::VPERMI2PSrmbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15165 /* vpermi2ps */, X86::VPERMI2PS128rmbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15165 /* vpermi2ps */, X86::VPERMI2PS256rmbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15175 /* vpermi2q */, X86::VPERMI2Q128rr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 15175 /* vpermi2q */, X86::VPERMI2Q256rr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 15175 /* vpermi2q */, X86::VPERMI2Qrr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 15175 /* vpermi2q */, X86::VPERMI2Q128rm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 15175 /* vpermi2q */, X86::VPERMI2Q256rm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 15175 /* vpermi2q */, X86::VPERMI2Qrm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 15175 /* vpermi2q */, X86::VPERMI2Q128rmb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X }, },
{ 15175 /* vpermi2q */, X86::VPERMI2Q256rmb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X }, },
{ 15175 /* vpermi2q */, X86::VPERMI2Qrmb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512 }, },
{ 15175 /* vpermi2q */, X86::VPERMI2Q128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15175 /* vpermi2q */, X86::VPERMI2Q256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15175 /* vpermi2q */, X86::VPERMI2Qrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15175 /* vpermi2q */, X86::VPERMI2Q128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15175 /* vpermi2q */, X86::VPERMI2Q256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15175 /* vpermi2q */, X86::VPERMI2Qrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15175 /* vpermi2q */, X86::VPERMI2Q128rrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15175 /* vpermi2q */, X86::VPERMI2Q256rrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15175 /* vpermi2q */, X86::VPERMI2Qrrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15175 /* vpermi2q */, X86::VPERMI2Q128rmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15175 /* vpermi2q */, X86::VPERMI2Q256rmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15175 /* vpermi2q */, X86::VPERMI2Qrmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15175 /* vpermi2q */, X86::VPERMI2Q128rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15175 /* vpermi2q */, X86::VPERMI2Q256rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15175 /* vpermi2q */, X86::VPERMI2Qrmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15175 /* vpermi2q */, X86::VPERMI2Q128rmbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15175 /* vpermi2q */, X86::VPERMI2Q256rmbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15175 /* vpermi2q */, X86::VPERMI2Qrmbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15184 /* vpermi2w */, X86::VPERMI2W128rr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 15184 /* vpermi2w */, X86::VPERMI2W256rr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 15184 /* vpermi2w */, X86::VPERMI2Wrr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 15184 /* vpermi2w */, X86::VPERMI2W128rm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 15184 /* vpermi2w */, X86::VPERMI2W256rm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 15184 /* vpermi2w */, X86::VPERMI2Wrm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 15184 /* vpermi2w */, X86::VPERMI2W128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15184 /* vpermi2w */, X86::VPERMI2W256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15184 /* vpermi2w */, X86::VPERMI2Wrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15184 /* vpermi2w */, X86::VPERMI2W128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15184 /* vpermi2w */, X86::VPERMI2W256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15184 /* vpermi2w */, X86::VPERMI2Wrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15184 /* vpermi2w */, X86::VPERMI2W128rrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15184 /* vpermi2w */, X86::VPERMI2W256rrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15184 /* vpermi2w */, X86::VPERMI2Wrrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15184 /* vpermi2w */, X86::VPERMI2W128rmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15184 /* vpermi2w */, X86::VPERMI2W256rmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15184 /* vpermi2w */, X86::VPERMI2Wrmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15193 /* vpermil2pd */, X86::VPERMIL2PDrr, Convert__Reg1_4__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi41_0, AMFBS_None, { MCK_ImmUnsignedi4, MCK_FR16, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 15193 /* vpermil2pd */, X86::VPERMIL2PDmr, Convert__Reg1_4__Reg1_3__Mem1285_2__Reg1_1__ImmUnsignedi41_0, AMFBS_None, { MCK_ImmUnsignedi4, MCK_FR16, MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 15193 /* vpermil2pd */, X86::VPERMIL2PDYrr, Convert__Reg1_4__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi41_0, AMFBS_None, { MCK_ImmUnsignedi4, MCK_VR256, MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 15193 /* vpermil2pd */, X86::VPERMIL2PDYmr, Convert__Reg1_4__Reg1_3__Mem2565_2__Reg1_1__ImmUnsignedi41_0, AMFBS_None, { MCK_ImmUnsignedi4, MCK_VR256, MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 15193 /* vpermil2pd */, X86::VPERMIL2PDrm, Convert__Reg1_4__Reg1_3__Reg1_2__Mem1285_1__ImmUnsignedi41_0, AMFBS_None, { MCK_ImmUnsignedi4, MCK_Mem128, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 15193 /* vpermil2pd */, X86::VPERMIL2PDYrm, Convert__Reg1_4__Reg1_3__Reg1_2__Mem2565_1__ImmUnsignedi41_0, AMFBS_None, { MCK_ImmUnsignedi4, MCK_Mem256, MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 15204 /* vpermil2ps */, X86::VPERMIL2PSrr, Convert__Reg1_4__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi41_0, AMFBS_None, { MCK_ImmUnsignedi4, MCK_FR16, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 15204 /* vpermil2ps */, X86::VPERMIL2PSmr, Convert__Reg1_4__Reg1_3__Mem1285_2__Reg1_1__ImmUnsignedi41_0, AMFBS_None, { MCK_ImmUnsignedi4, MCK_FR16, MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 15204 /* vpermil2ps */, X86::VPERMIL2PSYrr, Convert__Reg1_4__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi41_0, AMFBS_None, { MCK_ImmUnsignedi4, MCK_VR256, MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 15204 /* vpermil2ps */, X86::VPERMIL2PSYmr, Convert__Reg1_4__Reg1_3__Mem2565_2__Reg1_1__ImmUnsignedi41_0, AMFBS_None, { MCK_ImmUnsignedi4, MCK_VR256, MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 15204 /* vpermil2ps */, X86::VPERMIL2PSrm, Convert__Reg1_4__Reg1_3__Reg1_2__Mem1285_1__ImmUnsignedi41_0, AMFBS_None, { MCK_ImmUnsignedi4, MCK_Mem128, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 15204 /* vpermil2ps */, X86::VPERMIL2PSYrm, Convert__Reg1_4__Reg1_3__Reg1_2__Mem2565_1__ImmUnsignedi41_0, AMFBS_None, { MCK_ImmUnsignedi4, MCK_Mem256, MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_FR16 }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDYri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256, MCK_VR256 }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZ128ri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZ256ri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512 }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDmi, Convert__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16 }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZ128mi, Convert__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDYmi, Convert__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256 }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZ256mi, Convert__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZmi, Convert__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512 }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZ128mbi, Convert__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZ256mbi, Convert__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZmbi, Convert__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to8_125_, MCK_VR512 }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZ128rmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZ256rmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZrmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512 }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZ128rik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZ256rik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZrik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZ128mik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZ256mik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZmik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZ128rikz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZ256rikz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZrikz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZ128mikz, Convert__Reg1_2__Reg1_4__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZ256mikz, Convert__Reg1_2__Reg1_4__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZmikz, Convert__Reg1_2__Reg1_4__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZ128mbik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZ256mbik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZmbik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZ128rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZ256rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZrmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZ128mbikz, Convert__Reg1_3__Reg1_5__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZ256mbikz, Convert__Reg1_3__Reg1_5__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZmbikz, Convert__Reg1_3__Reg1_5__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZ128rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZ256rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZrmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15225 /* vpermilps */, X86::VPERMILPSrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 15225 /* vpermilps */, X86::VPERMILPSYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 15225 /* vpermilps */, X86::VPERMILPSri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_FR16 }, },
{ 15225 /* vpermilps */, X86::VPERMILPSYri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256, MCK_VR256 }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZ128ri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZ256ri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512 }, },
{ 15225 /* vpermilps */, X86::VPERMILPSmi, Convert__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16 }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZ128mi, Convert__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X }, },
{ 15225 /* vpermilps */, X86::VPERMILPSYmi, Convert__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256 }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZ256mi, Convert__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZmi, Convert__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512 }, },
{ 15225 /* vpermilps */, X86::VPERMILPSrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 15225 /* vpermilps */, X86::VPERMILPSYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZmbi, Convert__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to16_125_, MCK_VR512 }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZ128mbi, Convert__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZ256mbi, Convert__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZrmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512 }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZ128rmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZ256rmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZ128rik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZ256rik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZrik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZ128mik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZ256mik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZmik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZ128rikz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZ256rikz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZrikz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZ128mikz, Convert__Reg1_2__Reg1_4__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZ256mikz, Convert__Reg1_2__Reg1_4__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZmbik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZ128mbik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZ256mbik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZmikz, Convert__Reg1_2__Reg1_4__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZrmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZ128rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZ256rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZmbikz, Convert__Reg1_3__Reg1_5__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZ128mbikz, Convert__Reg1_3__Reg1_5__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZ256mbikz, Convert__Reg1_3__Reg1_5__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZrmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZ128rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZ256rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15235 /* vpermpd */, X86::VPERMPDZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 15235 /* vpermpd */, X86::VPERMPDZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 15235 /* vpermpd */, X86::VPERMPDYri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256, MCK_VR256 }, },
{ 15235 /* vpermpd */, X86::VPERMPDZ256ri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X }, },
{ 15235 /* vpermpd */, X86::VPERMPDZri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512 }, },
{ 15235 /* vpermpd */, X86::VPERMPDYmi, Convert__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256 }, },
{ 15235 /* vpermpd */, X86::VPERMPDZ256mi, Convert__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X }, },
{ 15235 /* vpermpd */, X86::VPERMPDZmi, Convert__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512 }, },
{ 15235 /* vpermpd */, X86::VPERMPDZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 15235 /* vpermpd */, X86::VPERMPDZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 15235 /* vpermpd */, X86::VPERMPDZ256mbi, Convert__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X }, },
{ 15235 /* vpermpd */, X86::VPERMPDZmbi, Convert__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to8_125_, MCK_VR512 }, },
{ 15235 /* vpermpd */, X86::VPERMPDZ256rmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X }, },
{ 15235 /* vpermpd */, X86::VPERMPDZrmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512 }, },
{ 15235 /* vpermpd */, X86::VPERMPDZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15235 /* vpermpd */, X86::VPERMPDZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15235 /* vpermpd */, X86::VPERMPDZ256rik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15235 /* vpermpd */, X86::VPERMPDZrik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15235 /* vpermpd */, X86::VPERMPDZ256mik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15235 /* vpermpd */, X86::VPERMPDZmik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15235 /* vpermpd */, X86::VPERMPDZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15235 /* vpermpd */, X86::VPERMPDZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15235 /* vpermpd */, X86::VPERMPDZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15235 /* vpermpd */, X86::VPERMPDZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15235 /* vpermpd */, X86::VPERMPDZ256rikz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15235 /* vpermpd */, X86::VPERMPDZrikz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15235 /* vpermpd */, X86::VPERMPDZ256mikz, Convert__Reg1_2__Reg1_4__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15235 /* vpermpd */, X86::VPERMPDZmikz, Convert__Reg1_2__Reg1_4__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15235 /* vpermpd */, X86::VPERMPDZ256mbik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15235 /* vpermpd */, X86::VPERMPDZmbik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15235 /* vpermpd */, X86::VPERMPDZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15235 /* vpermpd */, X86::VPERMPDZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15235 /* vpermpd */, X86::VPERMPDZ256rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15235 /* vpermpd */, X86::VPERMPDZrmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15235 /* vpermpd */, X86::VPERMPDZ256mbikz, Convert__Reg1_3__Reg1_5__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15235 /* vpermpd */, X86::VPERMPDZmbikz, Convert__Reg1_3__Reg1_5__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15235 /* vpermpd */, X86::VPERMPDZ256rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15235 /* vpermpd */, X86::VPERMPDZrmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15243 /* vpermps */, X86::VPERMPSYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 15243 /* vpermps */, X86::VPERMPSZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 15243 /* vpermps */, X86::VPERMPSZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 15243 /* vpermps */, X86::VPERMPSYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 15243 /* vpermps */, X86::VPERMPSZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 15243 /* vpermps */, X86::VPERMPSZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 15243 /* vpermps */, X86::VPERMPSZrmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512 }, },
{ 15243 /* vpermps */, X86::VPERMPSZ256rmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X }, },
{ 15243 /* vpermps */, X86::VPERMPSZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15243 /* vpermps */, X86::VPERMPSZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15243 /* vpermps */, X86::VPERMPSZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15243 /* vpermps */, X86::VPERMPSZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15243 /* vpermps */, X86::VPERMPSZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15243 /* vpermps */, X86::VPERMPSZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15243 /* vpermps */, X86::VPERMPSZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15243 /* vpermps */, X86::VPERMPSZrmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15243 /* vpermps */, X86::VPERMPSZ256rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15243 /* vpermps */, X86::VPERMPSZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15243 /* vpermps */, X86::VPERMPSZrmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15243 /* vpermps */, X86::VPERMPSZ256rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15251 /* vpermq */, X86::VPERMQZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 15251 /* vpermq */, X86::VPERMQZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 15251 /* vpermq */, X86::VPERMQYri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256, MCK_VR256 }, },
{ 15251 /* vpermq */, X86::VPERMQZ256ri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X }, },
{ 15251 /* vpermq */, X86::VPERMQZri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512 }, },
{ 15251 /* vpermq */, X86::VPERMQYmi, Convert__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256 }, },
{ 15251 /* vpermq */, X86::VPERMQZ256mi, Convert__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X }, },
{ 15251 /* vpermq */, X86::VPERMQZmi, Convert__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512 }, },
{ 15251 /* vpermq */, X86::VPERMQZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 15251 /* vpermq */, X86::VPERMQZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 15251 /* vpermq */, X86::VPERMQZ256mbi, Convert__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X }, },
{ 15251 /* vpermq */, X86::VPERMQZmbi, Convert__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to8_125_, MCK_VR512 }, },
{ 15251 /* vpermq */, X86::VPERMQZ256rmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X }, },
{ 15251 /* vpermq */, X86::VPERMQZrmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512 }, },
{ 15251 /* vpermq */, X86::VPERMQZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15251 /* vpermq */, X86::VPERMQZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15251 /* vpermq */, X86::VPERMQZ256rik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15251 /* vpermq */, X86::VPERMQZrik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15251 /* vpermq */, X86::VPERMQZ256mik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15251 /* vpermq */, X86::VPERMQZmik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15251 /* vpermq */, X86::VPERMQZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15251 /* vpermq */, X86::VPERMQZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15251 /* vpermq */, X86::VPERMQZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15251 /* vpermq */, X86::VPERMQZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15251 /* vpermq */, X86::VPERMQZ256rikz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15251 /* vpermq */, X86::VPERMQZrikz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15251 /* vpermq */, X86::VPERMQZ256mikz, Convert__Reg1_2__Reg1_4__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15251 /* vpermq */, X86::VPERMQZmikz, Convert__Reg1_2__Reg1_4__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15251 /* vpermq */, X86::VPERMQZ256mbik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15251 /* vpermq */, X86::VPERMQZmbik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15251 /* vpermq */, X86::VPERMQZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15251 /* vpermq */, X86::VPERMQZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15251 /* vpermq */, X86::VPERMQZ256rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15251 /* vpermq */, X86::VPERMQZrmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15251 /* vpermq */, X86::VPERMQZ256mbikz, Convert__Reg1_3__Reg1_5__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15251 /* vpermq */, X86::VPERMQZmbikz, Convert__Reg1_3__Reg1_5__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15251 /* vpermq */, X86::VPERMQZ256rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15251 /* vpermq */, X86::VPERMQZrmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15258 /* vpermt2b */, X86::VPERMT2B128rr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 15258 /* vpermt2b */, X86::VPERMT2B256rr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 15258 /* vpermt2b */, X86::VPERMT2Brr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 15258 /* vpermt2b */, X86::VPERMT2B128rm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 15258 /* vpermt2b */, X86::VPERMT2B256rm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 15258 /* vpermt2b */, X86::VPERMT2Brm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 15258 /* vpermt2b */, X86::VPERMT2B128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15258 /* vpermt2b */, X86::VPERMT2B256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15258 /* vpermt2b */, X86::VPERMT2Brrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15258 /* vpermt2b */, X86::VPERMT2B128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15258 /* vpermt2b */, X86::VPERMT2B256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15258 /* vpermt2b */, X86::VPERMT2Brmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15258 /* vpermt2b */, X86::VPERMT2B128rrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15258 /* vpermt2b */, X86::VPERMT2B256rrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15258 /* vpermt2b */, X86::VPERMT2Brrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15258 /* vpermt2b */, X86::VPERMT2B128rmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15258 /* vpermt2b */, X86::VPERMT2B256rmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15258 /* vpermt2b */, X86::VPERMT2Brmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15267 /* vpermt2d */, X86::VPERMT2D128rr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 15267 /* vpermt2d */, X86::VPERMT2D256rr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 15267 /* vpermt2d */, X86::VPERMT2Drr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 15267 /* vpermt2d */, X86::VPERMT2D128rm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 15267 /* vpermt2d */, X86::VPERMT2D256rm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 15267 /* vpermt2d */, X86::VPERMT2Drm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 15267 /* vpermt2d */, X86::VPERMT2Drmb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512 }, },
{ 15267 /* vpermt2d */, X86::VPERMT2D128rmb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X }, },
{ 15267 /* vpermt2d */, X86::VPERMT2D256rmb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X }, },
{ 15267 /* vpermt2d */, X86::VPERMT2D128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15267 /* vpermt2d */, X86::VPERMT2D256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15267 /* vpermt2d */, X86::VPERMT2Drrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15267 /* vpermt2d */, X86::VPERMT2D128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15267 /* vpermt2d */, X86::VPERMT2D256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15267 /* vpermt2d */, X86::VPERMT2Drmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15267 /* vpermt2d */, X86::VPERMT2D128rrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15267 /* vpermt2d */, X86::VPERMT2D256rrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15267 /* vpermt2d */, X86::VPERMT2Drrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15267 /* vpermt2d */, X86::VPERMT2D128rmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15267 /* vpermt2d */, X86::VPERMT2D256rmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15267 /* vpermt2d */, X86::VPERMT2Drmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15267 /* vpermt2d */, X86::VPERMT2D128rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15267 /* vpermt2d */, X86::VPERMT2D256rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15267 /* vpermt2d */, X86::VPERMT2Drmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15267 /* vpermt2d */, X86::VPERMT2Drmbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15267 /* vpermt2d */, X86::VPERMT2D128rmbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15267 /* vpermt2d */, X86::VPERMT2D256rmbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15276 /* vpermt2pd */, X86::VPERMT2PD128rr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 15276 /* vpermt2pd */, X86::VPERMT2PD256rr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 15276 /* vpermt2pd */, X86::VPERMT2PDrr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 15276 /* vpermt2pd */, X86::VPERMT2PD128rm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 15276 /* vpermt2pd */, X86::VPERMT2PD256rm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 15276 /* vpermt2pd */, X86::VPERMT2PDrm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 15276 /* vpermt2pd */, X86::VPERMT2PD128rmb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X }, },
{ 15276 /* vpermt2pd */, X86::VPERMT2PD256rmb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X }, },
{ 15276 /* vpermt2pd */, X86::VPERMT2PDrmb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512 }, },
{ 15276 /* vpermt2pd */, X86::VPERMT2PD128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15276 /* vpermt2pd */, X86::VPERMT2PD256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15276 /* vpermt2pd */, X86::VPERMT2PDrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15276 /* vpermt2pd */, X86::VPERMT2PD128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15276 /* vpermt2pd */, X86::VPERMT2PD256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15276 /* vpermt2pd */, X86::VPERMT2PDrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15276 /* vpermt2pd */, X86::VPERMT2PD128rrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15276 /* vpermt2pd */, X86::VPERMT2PD256rrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15276 /* vpermt2pd */, X86::VPERMT2PDrrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15276 /* vpermt2pd */, X86::VPERMT2PD128rmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15276 /* vpermt2pd */, X86::VPERMT2PD256rmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15276 /* vpermt2pd */, X86::VPERMT2PDrmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15276 /* vpermt2pd */, X86::VPERMT2PD128rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15276 /* vpermt2pd */, X86::VPERMT2PD256rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15276 /* vpermt2pd */, X86::VPERMT2PDrmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15276 /* vpermt2pd */, X86::VPERMT2PD128rmbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15276 /* vpermt2pd */, X86::VPERMT2PD256rmbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15276 /* vpermt2pd */, X86::VPERMT2PDrmbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15286 /* vpermt2ps */, X86::VPERMT2PS128rr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 15286 /* vpermt2ps */, X86::VPERMT2PS256rr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 15286 /* vpermt2ps */, X86::VPERMT2PSrr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 15286 /* vpermt2ps */, X86::VPERMT2PS128rm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 15286 /* vpermt2ps */, X86::VPERMT2PS256rm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 15286 /* vpermt2ps */, X86::VPERMT2PSrm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 15286 /* vpermt2ps */, X86::VPERMT2PSrmb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512 }, },
{ 15286 /* vpermt2ps */, X86::VPERMT2PS128rmb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X }, },
{ 15286 /* vpermt2ps */, X86::VPERMT2PS256rmb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X }, },
{ 15286 /* vpermt2ps */, X86::VPERMT2PS128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15286 /* vpermt2ps */, X86::VPERMT2PS256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15286 /* vpermt2ps */, X86::VPERMT2PSrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15286 /* vpermt2ps */, X86::VPERMT2PS128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15286 /* vpermt2ps */, X86::VPERMT2PS256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15286 /* vpermt2ps */, X86::VPERMT2PSrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15286 /* vpermt2ps */, X86::VPERMT2PS128rrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15286 /* vpermt2ps */, X86::VPERMT2PS256rrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15286 /* vpermt2ps */, X86::VPERMT2PSrrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15286 /* vpermt2ps */, X86::VPERMT2PS128rmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15286 /* vpermt2ps */, X86::VPERMT2PS256rmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15286 /* vpermt2ps */, X86::VPERMT2PSrmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15286 /* vpermt2ps */, X86::VPERMT2PS128rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15286 /* vpermt2ps */, X86::VPERMT2PS256rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15286 /* vpermt2ps */, X86::VPERMT2PSrmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15286 /* vpermt2ps */, X86::VPERMT2PSrmbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15286 /* vpermt2ps */, X86::VPERMT2PS128rmbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15286 /* vpermt2ps */, X86::VPERMT2PS256rmbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15296 /* vpermt2q */, X86::VPERMT2Q128rr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 15296 /* vpermt2q */, X86::VPERMT2Q256rr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 15296 /* vpermt2q */, X86::VPERMT2Qrr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 15296 /* vpermt2q */, X86::VPERMT2Q128rm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 15296 /* vpermt2q */, X86::VPERMT2Q256rm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 15296 /* vpermt2q */, X86::VPERMT2Qrm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 15296 /* vpermt2q */, X86::VPERMT2Q128rmb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X }, },
{ 15296 /* vpermt2q */, X86::VPERMT2Q256rmb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X }, },
{ 15296 /* vpermt2q */, X86::VPERMT2Qrmb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512 }, },
{ 15296 /* vpermt2q */, X86::VPERMT2Q128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15296 /* vpermt2q */, X86::VPERMT2Q256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15296 /* vpermt2q */, X86::VPERMT2Qrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15296 /* vpermt2q */, X86::VPERMT2Q128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15296 /* vpermt2q */, X86::VPERMT2Q256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15296 /* vpermt2q */, X86::VPERMT2Qrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15296 /* vpermt2q */, X86::VPERMT2Q128rrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15296 /* vpermt2q */, X86::VPERMT2Q256rrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15296 /* vpermt2q */, X86::VPERMT2Qrrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15296 /* vpermt2q */, X86::VPERMT2Q128rmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15296 /* vpermt2q */, X86::VPERMT2Q256rmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15296 /* vpermt2q */, X86::VPERMT2Qrmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15296 /* vpermt2q */, X86::VPERMT2Q128rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15296 /* vpermt2q */, X86::VPERMT2Q256rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15296 /* vpermt2q */, X86::VPERMT2Qrmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15296 /* vpermt2q */, X86::VPERMT2Q128rmbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15296 /* vpermt2q */, X86::VPERMT2Q256rmbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15296 /* vpermt2q */, X86::VPERMT2Qrmbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15305 /* vpermt2w */, X86::VPERMT2W128rr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 15305 /* vpermt2w */, X86::VPERMT2W256rr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 15305 /* vpermt2w */, X86::VPERMT2Wrr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 15305 /* vpermt2w */, X86::VPERMT2W128rm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 15305 /* vpermt2w */, X86::VPERMT2W256rm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 15305 /* vpermt2w */, X86::VPERMT2Wrm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 15305 /* vpermt2w */, X86::VPERMT2W128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15305 /* vpermt2w */, X86::VPERMT2W256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15305 /* vpermt2w */, X86::VPERMT2Wrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15305 /* vpermt2w */, X86::VPERMT2W128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15305 /* vpermt2w */, X86::VPERMT2W256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15305 /* vpermt2w */, X86::VPERMT2Wrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15305 /* vpermt2w */, X86::VPERMT2W128rrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15305 /* vpermt2w */, X86::VPERMT2W256rrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15305 /* vpermt2w */, X86::VPERMT2Wrrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15305 /* vpermt2w */, X86::VPERMT2W128rmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15305 /* vpermt2w */, X86::VPERMT2W256rmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15305 /* vpermt2w */, X86::VPERMT2Wrmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15314 /* vpermw */, X86::VPERMWZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 15314 /* vpermw */, X86::VPERMWZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 15314 /* vpermw */, X86::VPERMWZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 15314 /* vpermw */, X86::VPERMWZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 15314 /* vpermw */, X86::VPERMWZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 15314 /* vpermw */, X86::VPERMWZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 15314 /* vpermw */, X86::VPERMWZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15314 /* vpermw */, X86::VPERMWZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15314 /* vpermw */, X86::VPERMWZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15314 /* vpermw */, X86::VPERMWZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15314 /* vpermw */, X86::VPERMWZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15314 /* vpermw */, X86::VPERMWZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15314 /* vpermw */, X86::VPERMWZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15314 /* vpermw */, X86::VPERMWZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15314 /* vpermw */, X86::VPERMWZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15314 /* vpermw */, X86::VPERMWZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15314 /* vpermw */, X86::VPERMWZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15314 /* vpermw */, X86::VPERMWZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15321 /* vpexpandb */, X86::VPEXPANDBZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 15321 /* vpexpandb */, X86::VPEXPANDBZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 15321 /* vpexpandb */, X86::VPEXPANDBZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 15321 /* vpexpandb */, X86::VPEXPANDBZ128rm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X }, },
{ 15321 /* vpexpandb */, X86::VPEXPANDBZ256rm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X }, },
{ 15321 /* vpexpandb */, X86::VPEXPANDBZrm, Convert__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512 }, },
{ 15321 /* vpexpandb */, X86::VPEXPANDBZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15321 /* vpexpandb */, X86::VPEXPANDBZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15321 /* vpexpandb */, X86::VPEXPANDBZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15321 /* vpexpandb */, X86::VPEXPANDBZ128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15321 /* vpexpandb */, X86::VPEXPANDBZ256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15321 /* vpexpandb */, X86::VPEXPANDBZrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15321 /* vpexpandb */, X86::VPEXPANDBZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15321 /* vpexpandb */, X86::VPEXPANDBZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15321 /* vpexpandb */, X86::VPEXPANDBZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15321 /* vpexpandb */, X86::VPEXPANDBZ128rmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15321 /* vpexpandb */, X86::VPEXPANDBZ256rmkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15321 /* vpexpandb */, X86::VPEXPANDBZrmkz, Convert__Reg1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15331 /* vpexpandd */, X86::VPEXPANDDZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 15331 /* vpexpandd */, X86::VPEXPANDDZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 15331 /* vpexpandd */, X86::VPEXPANDDZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 15331 /* vpexpandd */, X86::VPEXPANDDZ128rm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X }, },
{ 15331 /* vpexpandd */, X86::VPEXPANDDZ256rm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X }, },
{ 15331 /* vpexpandd */, X86::VPEXPANDDZrm, Convert__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512 }, },
{ 15331 /* vpexpandd */, X86::VPEXPANDDZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15331 /* vpexpandd */, X86::VPEXPANDDZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15331 /* vpexpandd */, X86::VPEXPANDDZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15331 /* vpexpandd */, X86::VPEXPANDDZ128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15331 /* vpexpandd */, X86::VPEXPANDDZ256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15331 /* vpexpandd */, X86::VPEXPANDDZrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15331 /* vpexpandd */, X86::VPEXPANDDZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15331 /* vpexpandd */, X86::VPEXPANDDZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15331 /* vpexpandd */, X86::VPEXPANDDZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15331 /* vpexpandd */, X86::VPEXPANDDZ128rmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15331 /* vpexpandd */, X86::VPEXPANDDZ256rmkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15331 /* vpexpandd */, X86::VPEXPANDDZrmkz, Convert__Reg1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15341 /* vpexpandq */, X86::VPEXPANDQZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 15341 /* vpexpandq */, X86::VPEXPANDQZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 15341 /* vpexpandq */, X86::VPEXPANDQZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 15341 /* vpexpandq */, X86::VPEXPANDQZ128rm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X }, },
{ 15341 /* vpexpandq */, X86::VPEXPANDQZ256rm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X }, },
{ 15341 /* vpexpandq */, X86::VPEXPANDQZrm, Convert__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512 }, },
{ 15341 /* vpexpandq */, X86::VPEXPANDQZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15341 /* vpexpandq */, X86::VPEXPANDQZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15341 /* vpexpandq */, X86::VPEXPANDQZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15341 /* vpexpandq */, X86::VPEXPANDQZ128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15341 /* vpexpandq */, X86::VPEXPANDQZ256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15341 /* vpexpandq */, X86::VPEXPANDQZrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15341 /* vpexpandq */, X86::VPEXPANDQZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15341 /* vpexpandq */, X86::VPEXPANDQZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15341 /* vpexpandq */, X86::VPEXPANDQZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15341 /* vpexpandq */, X86::VPEXPANDQZ128rmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15341 /* vpexpandq */, X86::VPEXPANDQZ256rmkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15341 /* vpexpandq */, X86::VPEXPANDQZrmkz, Convert__Reg1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15351 /* vpexpandw */, X86::VPEXPANDWZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 15351 /* vpexpandw */, X86::VPEXPANDWZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 15351 /* vpexpandw */, X86::VPEXPANDWZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 15351 /* vpexpandw */, X86::VPEXPANDWZ128rm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X }, },
{ 15351 /* vpexpandw */, X86::VPEXPANDWZ256rm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X }, },
{ 15351 /* vpexpandw */, X86::VPEXPANDWZrm, Convert__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512 }, },
{ 15351 /* vpexpandw */, X86::VPEXPANDWZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15351 /* vpexpandw */, X86::VPEXPANDWZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15351 /* vpexpandw */, X86::VPEXPANDWZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15351 /* vpexpandw */, X86::VPEXPANDWZ128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15351 /* vpexpandw */, X86::VPEXPANDWZ256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15351 /* vpexpandw */, X86::VPEXPANDWZrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15351 /* vpexpandw */, X86::VPEXPANDWZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15351 /* vpexpandw */, X86::VPEXPANDWZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15351 /* vpexpandw */, X86::VPEXPANDWZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15351 /* vpexpandw */, X86::VPEXPANDWZ128rmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15351 /* vpexpandw */, X86::VPEXPANDWZ256rmkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15351 /* vpexpandw */, X86::VPEXPANDWZrmkz, Convert__Reg1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15361 /* vpextrb */, X86::VPEXTRBrr, Convert__GR32orGR641_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_GR32orGR64 }, },
{ 15361 /* vpextrb */, X86::VPEXTRBmr, Convert__Mem85_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_Mem8 }, },
{ 15361 /* vpextrb */, X86::VPEXTRBZrr, Convert__GR32orGR641_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_GR32orGR64 }, },
{ 15361 /* vpextrb */, X86::VPEXTRBZmr, Convert__Mem85_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_Mem8 }, },
{ 15369 /* vpextrd */, X86::VPEXTRDrr, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_GR32 }, },
{ 15369 /* vpextrd */, X86::VPEXTRDmr, Convert__Mem325_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_Mem32 }, },
{ 15369 /* vpextrd */, X86::VPEXTRDZrr, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_GR32 }, },
{ 15369 /* vpextrd */, X86::VPEXTRDZmr, Convert__Mem325_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_Mem32 }, },
{ 15377 /* vpextrq */, X86::VPEXTRQrr, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_GR64 }, },
{ 15377 /* vpextrq */, X86::VPEXTRQmr, Convert__Mem645_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_Mem64 }, },
{ 15377 /* vpextrq */, X86::VPEXTRQZrr, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_GR64 }, },
{ 15377 /* vpextrq */, X86::VPEXTRQZmr, Convert__Mem645_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_Mem64 }, },
{ 15385 /* vpextrw */, X86::VPEXTRWrr, Convert__GR32orGR641_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_GR32orGR64 }, },
{ 15385 /* vpextrw */, X86::VPEXTRWmr, Convert__Mem165_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_Mem16 }, },
{ 15385 /* vpextrw */, X86::VPEXTRWZrr, Convert__GR32orGR641_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_GR32orGR64 }, },
{ 15385 /* vpextrw */, X86::VPEXTRWZmr, Convert__Mem165_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_Mem16 }, },
{ 15393 /* vpgatherdd */, X86::VPGATHERDDrm, Convert__Reg1_2__Reg1_0__Tie0_1_1__Mem128_RC1285_1__Tie1_1_1, AMFBS_None, { MCK_FR16, MCK_Mem128_RC128, MCK_FR16 }, },
{ 15393 /* vpgatherdd */, X86::VPGATHERDDYrm, Convert__Reg1_2__Reg1_0__Tie0_1_1__Mem256_RC2565_1__Tie1_1_1, AMFBS_None, { MCK_VR256, MCK_Mem256_RC256, MCK_VR256 }, },
{ 15393 /* vpgatherdd */, X86::VPGATHERDDZ128rm, Convert__Reg1_1__Reg1_3__Tie0_1_1__Tie1_4_4__Mem128_RC128X5_0, AMFBS_None, { MCK_Mem128_RC128X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15393 /* vpgatherdd */, X86::VPGATHERDDZ256rm, Convert__Reg1_1__Reg1_3__Tie0_1_1__Tie1_4_4__Mem256_RC256X5_0, AMFBS_None, { MCK_Mem256_RC256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15393 /* vpgatherdd */, X86::VPGATHERDDZrm, Convert__Reg1_1__Reg1_3__Tie0_1_1__Tie1_4_4__Mem512_RC5125_0, AMFBS_None, { MCK_Mem512_RC512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15404 /* vpgatherdq */, X86::VPGATHERDQrm, Convert__Reg1_2__Reg1_0__Tie0_1_1__Mem128_RC1285_1__Tie1_1_1, AMFBS_None, { MCK_FR16, MCK_Mem128_RC128, MCK_FR16 }, },
{ 15404 /* vpgatherdq */, X86::VPGATHERDQYrm, Convert__Reg1_2__Reg1_0__Tie0_1_1__Mem256_RC1285_1__Tie1_1_1, AMFBS_None, { MCK_VR256, MCK_Mem256_RC128, MCK_VR256 }, },
{ 15404 /* vpgatherdq */, X86::VPGATHERDQZ128rm, Convert__Reg1_1__Reg1_3__Tie0_1_1__Tie1_4_4__Mem128_RC128X5_0, AMFBS_None, { MCK_Mem128_RC128X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15404 /* vpgatherdq */, X86::VPGATHERDQZ256rm, Convert__Reg1_1__Reg1_3__Tie0_1_1__Tie1_4_4__Mem256_RC128X5_0, AMFBS_None, { MCK_Mem256_RC128X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15404 /* vpgatherdq */, X86::VPGATHERDQZrm, Convert__Reg1_1__Reg1_3__Tie0_1_1__Tie1_4_4__Mem512_RC256X5_0, AMFBS_None, { MCK_Mem512_RC256X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15415 /* vpgatherqd */, X86::VPGATHERQDYrm, Convert__Reg1_2__Reg1_0__Tie0_1_1__Mem128_RC2565_1__Tie1_1_1, AMFBS_None, { MCK_FR16, MCK_Mem128_RC256, MCK_FR16 }, },
{ 15415 /* vpgatherqd */, X86::VPGATHERQDrm, Convert__Reg1_2__Reg1_0__Tie0_1_1__Mem64_RC1285_1__Tie1_1_1, AMFBS_None, { MCK_FR16, MCK_Mem64_RC128, MCK_FR16 }, },
{ 15415 /* vpgatherqd */, X86::VPGATHERQDZ256rm, Convert__Reg1_1__Reg1_3__Tie0_1_1__Tie1_4_4__Mem128_RC256X5_0, AMFBS_None, { MCK_Mem128_RC256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15415 /* vpgatherqd */, X86::VPGATHERQDZrm, Convert__Reg1_1__Reg1_3__Tie0_1_1__Tie1_4_4__Mem256_RC5125_0, AMFBS_None, { MCK_Mem256_RC512, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15415 /* vpgatherqd */, X86::VPGATHERQDZ128rm, Convert__Reg1_1__Reg1_3__Tie0_1_1__Tie1_4_4__Mem64_RC128X5_0, AMFBS_None, { MCK_Mem64_RC128X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15426 /* vpgatherqq */, X86::VPGATHERQQrm, Convert__Reg1_2__Reg1_0__Tie0_1_1__Mem128_RC1285_1__Tie1_1_1, AMFBS_None, { MCK_FR16, MCK_Mem128_RC128, MCK_FR16 }, },
{ 15426 /* vpgatherqq */, X86::VPGATHERQQYrm, Convert__Reg1_2__Reg1_0__Tie0_1_1__Mem256_RC2565_1__Tie1_1_1, AMFBS_None, { MCK_VR256, MCK_Mem256_RC256, MCK_VR256 }, },
{ 15426 /* vpgatherqq */, X86::VPGATHERQQZ128rm, Convert__Reg1_1__Reg1_3__Tie0_1_1__Tie1_4_4__Mem128_RC128X5_0, AMFBS_None, { MCK_Mem128_RC128X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15426 /* vpgatherqq */, X86::VPGATHERQQZ256rm, Convert__Reg1_1__Reg1_3__Tie0_1_1__Tie1_4_4__Mem256_RC256X5_0, AMFBS_None, { MCK_Mem256_RC256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15426 /* vpgatherqq */, X86::VPGATHERQQZrm, Convert__Reg1_1__Reg1_3__Tie0_1_1__Tie1_4_4__Mem512_RC5125_0, AMFBS_None, { MCK_Mem512_RC512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15437 /* vphaddbd */, X86::VPHADDBDrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 15437 /* vphaddbd */, X86::VPHADDBDrm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 15446 /* vphaddbq */, X86::VPHADDBQrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 15446 /* vphaddbq */, X86::VPHADDBQrm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 15455 /* vphaddbw */, X86::VPHADDBWrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 15455 /* vphaddbw */, X86::VPHADDBWrm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 15464 /* vphaddd */, X86::VPHADDDrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 15464 /* vphaddd */, X86::VPHADDDYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 15464 /* vphaddd */, X86::VPHADDDrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 15464 /* vphaddd */, X86::VPHADDDYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 15472 /* vphadddq */, X86::VPHADDDQrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 15472 /* vphadddq */, X86::VPHADDDQrm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 15481 /* vphaddsw */, X86::VPHADDSWrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 15481 /* vphaddsw */, X86::VPHADDSWYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 15481 /* vphaddsw */, X86::VPHADDSWrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 15481 /* vphaddsw */, X86::VPHADDSWYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 15490 /* vphaddubd */, X86::VPHADDUBDrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 15490 /* vphaddubd */, X86::VPHADDUBDrm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 15500 /* vphaddubq */, X86::VPHADDUBQrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 15500 /* vphaddubq */, X86::VPHADDUBQrm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 15510 /* vphaddubw */, X86::VPHADDUBWrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 15510 /* vphaddubw */, X86::VPHADDUBWrm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 15520 /* vphaddudq */, X86::VPHADDUDQrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 15520 /* vphaddudq */, X86::VPHADDUDQrm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 15530 /* vphadduwd */, X86::VPHADDUWDrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 15530 /* vphadduwd */, X86::VPHADDUWDrm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 15540 /* vphadduwq */, X86::VPHADDUWQrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 15540 /* vphadduwq */, X86::VPHADDUWQrm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 15550 /* vphaddw */, X86::VPHADDWrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 15550 /* vphaddw */, X86::VPHADDWYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 15550 /* vphaddw */, X86::VPHADDWrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 15550 /* vphaddw */, X86::VPHADDWYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 15558 /* vphaddwd */, X86::VPHADDWDrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 15558 /* vphaddwd */, X86::VPHADDWDrm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 15567 /* vphaddwq */, X86::VPHADDWQrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 15567 /* vphaddwq */, X86::VPHADDWQrm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 15576 /* vphminposuw */, X86::VPHMINPOSUWrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 15576 /* vphminposuw */, X86::VPHMINPOSUWrm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 15588 /* vphsubbw */, X86::VPHSUBBWrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 15588 /* vphsubbw */, X86::VPHSUBBWrm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 15597 /* vphsubd */, X86::VPHSUBDrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 15597 /* vphsubd */, X86::VPHSUBDYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 15597 /* vphsubd */, X86::VPHSUBDrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 15597 /* vphsubd */, X86::VPHSUBDYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 15605 /* vphsubdq */, X86::VPHSUBDQrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 15605 /* vphsubdq */, X86::VPHSUBDQrm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 15614 /* vphsubsw */, X86::VPHSUBSWrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 15614 /* vphsubsw */, X86::VPHSUBSWYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 15614 /* vphsubsw */, X86::VPHSUBSWrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 15614 /* vphsubsw */, X86::VPHSUBSWYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 15623 /* vphsubw */, X86::VPHSUBWrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 15623 /* vphsubw */, X86::VPHSUBWYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 15623 /* vphsubw */, X86::VPHSUBWrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 15623 /* vphsubw */, X86::VPHSUBWYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 15631 /* vphsubwd */, X86::VPHSUBWDrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 15631 /* vphsubwd */, X86::VPHSUBWDrm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 15640 /* vpinsrb */, X86::VPINSRBrr, Convert__Reg1_3__Reg1_2__GR32orGR641_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_GR32orGR64, MCK_FR16, MCK_FR16 }, },
{ 15640 /* vpinsrb */, X86::VPINSRBZrr, Convert__Reg1_3__Reg1_2__GR32orGR641_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_GR32orGR64, MCK_FR16X, MCK_FR16X }, },
{ 15640 /* vpinsrb */, X86::VPINSRBrm, Convert__Reg1_3__Reg1_2__Mem85_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem8, MCK_FR16, MCK_FR16 }, },
{ 15640 /* vpinsrb */, X86::VPINSRBZrm, Convert__Reg1_3__Reg1_2__Mem85_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem8, MCK_FR16X, MCK_FR16X }, },
{ 15648 /* vpinsrd */, X86::VPINSRDrr, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_GR32, MCK_FR16, MCK_FR16 }, },
{ 15648 /* vpinsrd */, X86::VPINSRDZrr, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_GR32, MCK_FR16X, MCK_FR16X }, },
{ 15648 /* vpinsrd */, X86::VPINSRDrm, Convert__Reg1_3__Reg1_2__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK_FR16, MCK_FR16 }, },
{ 15648 /* vpinsrd */, X86::VPINSRDZrm, Convert__Reg1_3__Reg1_2__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK_FR16X, MCK_FR16X }, },
{ 15656 /* vpinsrq */, X86::VPINSRQrr, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_GR64, MCK_FR16, MCK_FR16 }, },
{ 15656 /* vpinsrq */, X86::VPINSRQZrr, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_GR64, MCK_FR16X, MCK_FR16X }, },
{ 15656 /* vpinsrq */, X86::VPINSRQrm, Convert__Reg1_3__Reg1_2__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK_FR16, MCK_FR16 }, },
{ 15656 /* vpinsrq */, X86::VPINSRQZrm, Convert__Reg1_3__Reg1_2__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK_FR16X, MCK_FR16X }, },
{ 15664 /* vpinsrw */, X86::VPINSRWrr, Convert__Reg1_3__Reg1_2__GR32orGR641_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_GR32orGR64, MCK_FR16, MCK_FR16 }, },
{ 15664 /* vpinsrw */, X86::VPINSRWZrr, Convert__Reg1_3__Reg1_2__GR32orGR641_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_GR32orGR64, MCK_FR16X, MCK_FR16X }, },
{ 15664 /* vpinsrw */, X86::VPINSRWrm, Convert__Reg1_3__Reg1_2__Mem165_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem16, MCK_FR16, MCK_FR16 }, },
{ 15664 /* vpinsrw */, X86::VPINSRWZrm, Convert__Reg1_3__Reg1_2__Mem165_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem16, MCK_FR16X, MCK_FR16X }, },
{ 15672 /* vplzcntd */, X86::VPLZCNTDZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 15672 /* vplzcntd */, X86::VPLZCNTDZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 15672 /* vplzcntd */, X86::VPLZCNTDZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 15672 /* vplzcntd */, X86::VPLZCNTDZ128rm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X }, },
{ 15672 /* vplzcntd */, X86::VPLZCNTDZ256rm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X }, },
{ 15672 /* vplzcntd */, X86::VPLZCNTDZrm, Convert__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512 }, },
{ 15672 /* vplzcntd */, X86::VPLZCNTDZrmb, Convert__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512 }, },
{ 15672 /* vplzcntd */, X86::VPLZCNTDZ128rmb, Convert__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X }, },
{ 15672 /* vplzcntd */, X86::VPLZCNTDZ256rmb, Convert__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X }, },
{ 15672 /* vplzcntd */, X86::VPLZCNTDZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15672 /* vplzcntd */, X86::VPLZCNTDZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15672 /* vplzcntd */, X86::VPLZCNTDZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15672 /* vplzcntd */, X86::VPLZCNTDZ128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15672 /* vplzcntd */, X86::VPLZCNTDZ256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15672 /* vplzcntd */, X86::VPLZCNTDZrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15672 /* vplzcntd */, X86::VPLZCNTDZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15672 /* vplzcntd */, X86::VPLZCNTDZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15672 /* vplzcntd */, X86::VPLZCNTDZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15672 /* vplzcntd */, X86::VPLZCNTDZ128rmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15672 /* vplzcntd */, X86::VPLZCNTDZ256rmkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15672 /* vplzcntd */, X86::VPLZCNTDZrmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15672 /* vplzcntd */, X86::VPLZCNTDZ128rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15672 /* vplzcntd */, X86::VPLZCNTDZ256rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15672 /* vplzcntd */, X86::VPLZCNTDZrmkz, Convert__Reg1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15672 /* vplzcntd */, X86::VPLZCNTDZrmbkz, Convert__Reg1_2__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15672 /* vplzcntd */, X86::VPLZCNTDZ128rmbkz, Convert__Reg1_2__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15672 /* vplzcntd */, X86::VPLZCNTDZ256rmbkz, Convert__Reg1_2__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15681 /* vplzcntq */, X86::VPLZCNTQZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 15681 /* vplzcntq */, X86::VPLZCNTQZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 15681 /* vplzcntq */, X86::VPLZCNTQZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 15681 /* vplzcntq */, X86::VPLZCNTQZ128rm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X }, },
{ 15681 /* vplzcntq */, X86::VPLZCNTQZ256rm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X }, },
{ 15681 /* vplzcntq */, X86::VPLZCNTQZrm, Convert__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512 }, },
{ 15681 /* vplzcntq */, X86::VPLZCNTQZ128rmb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X }, },
{ 15681 /* vplzcntq */, X86::VPLZCNTQZ256rmb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X }, },
{ 15681 /* vplzcntq */, X86::VPLZCNTQZrmb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512 }, },
{ 15681 /* vplzcntq */, X86::VPLZCNTQZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15681 /* vplzcntq */, X86::VPLZCNTQZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15681 /* vplzcntq */, X86::VPLZCNTQZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15681 /* vplzcntq */, X86::VPLZCNTQZ128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15681 /* vplzcntq */, X86::VPLZCNTQZ256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15681 /* vplzcntq */, X86::VPLZCNTQZrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15681 /* vplzcntq */, X86::VPLZCNTQZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15681 /* vplzcntq */, X86::VPLZCNTQZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15681 /* vplzcntq */, X86::VPLZCNTQZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15681 /* vplzcntq */, X86::VPLZCNTQZ128rmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15681 /* vplzcntq */, X86::VPLZCNTQZ256rmkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15681 /* vplzcntq */, X86::VPLZCNTQZrmkz, Convert__Reg1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15681 /* vplzcntq */, X86::VPLZCNTQZ128rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15681 /* vplzcntq */, X86::VPLZCNTQZ256rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15681 /* vplzcntq */, X86::VPLZCNTQZrmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15681 /* vplzcntq */, X86::VPLZCNTQZ128rmbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15681 /* vplzcntq */, X86::VPLZCNTQZ256rmbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15681 /* vplzcntq */, X86::VPLZCNTQZrmbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15690 /* vpmacsdd */, X86::VPMACSDDrr, Convert__Reg1_3__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 15690 /* vpmacsdd */, X86::VPMACSDDrm, Convert__Reg1_3__Reg1_2__Mem1285_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 15699 /* vpmacsdqh */, X86::VPMACSDQHrr, Convert__Reg1_3__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 15699 /* vpmacsdqh */, X86::VPMACSDQHrm, Convert__Reg1_3__Reg1_2__Mem1285_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 15709 /* vpmacsdql */, X86::VPMACSDQLrr, Convert__Reg1_3__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 15709 /* vpmacsdql */, X86::VPMACSDQLrm, Convert__Reg1_3__Reg1_2__Mem1285_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 15719 /* vpmacssdd */, X86::VPMACSSDDrr, Convert__Reg1_3__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 15719 /* vpmacssdd */, X86::VPMACSSDDrm, Convert__Reg1_3__Reg1_2__Mem1285_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 15729 /* vpmacssdqh */, X86::VPMACSSDQHrr, Convert__Reg1_3__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 15729 /* vpmacssdqh */, X86::VPMACSSDQHrm, Convert__Reg1_3__Reg1_2__Mem1285_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 15740 /* vpmacssdql */, X86::VPMACSSDQLrr, Convert__Reg1_3__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 15740 /* vpmacssdql */, X86::VPMACSSDQLrm, Convert__Reg1_3__Reg1_2__Mem1285_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 15751 /* vpmacsswd */, X86::VPMACSSWDrr, Convert__Reg1_3__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 15751 /* vpmacsswd */, X86::VPMACSSWDrm, Convert__Reg1_3__Reg1_2__Mem1285_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 15761 /* vpmacssww */, X86::VPMACSSWWrr, Convert__Reg1_3__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 15761 /* vpmacssww */, X86::VPMACSSWWrm, Convert__Reg1_3__Reg1_2__Mem1285_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 15771 /* vpmacswd */, X86::VPMACSWDrr, Convert__Reg1_3__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 15771 /* vpmacswd */, X86::VPMACSWDrm, Convert__Reg1_3__Reg1_2__Mem1285_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 15780 /* vpmacsww */, X86::VPMACSWWrr, Convert__Reg1_3__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 15780 /* vpmacsww */, X86::VPMACSWWrm, Convert__Reg1_3__Reg1_2__Mem1285_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 15789 /* vpmadcsswd */, X86::VPMADCSSWDrr, Convert__Reg1_3__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 15789 /* vpmadcsswd */, X86::VPMADCSSWDrm, Convert__Reg1_3__Reg1_2__Mem1285_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 15800 /* vpmadcswd */, X86::VPMADCSWDrr, Convert__Reg1_3__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 15800 /* vpmadcswd */, X86::VPMADCSWDrm, Convert__Reg1_3__Reg1_2__Mem1285_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 15810 /* vpmadd52huq */, X86::VPMADD52HUQrr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 15810 /* vpmadd52huq */, X86::VPMADD52HUQYrr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 15810 /* vpmadd52huq */, X86::VPMADD52HUQZ128r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 15810 /* vpmadd52huq */, X86::VPMADD52HUQZ256r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 15810 /* vpmadd52huq */, X86::VPMADD52HUQZr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 15810 /* vpmadd52huq */, X86::VPMADD52HUQrm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 15810 /* vpmadd52huq */, X86::VPMADD52HUQZ128m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 15810 /* vpmadd52huq */, X86::VPMADD52HUQYrm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 15810 /* vpmadd52huq */, X86::VPMADD52HUQZ256m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 15810 /* vpmadd52huq */, X86::VPMADD52HUQZm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 15810 /* vpmadd52huq */, X86::VPMADD52HUQZ128mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X }, },
{ 15810 /* vpmadd52huq */, X86::VPMADD52HUQZ256mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X }, },
{ 15810 /* vpmadd52huq */, X86::VPMADD52HUQZmb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512 }, },
{ 15810 /* vpmadd52huq */, X86::VPMADD52HUQZ128rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15810 /* vpmadd52huq */, X86::VPMADD52HUQZ256rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15810 /* vpmadd52huq */, X86::VPMADD52HUQZrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15810 /* vpmadd52huq */, X86::VPMADD52HUQZ128mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15810 /* vpmadd52huq */, X86::VPMADD52HUQZ256mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15810 /* vpmadd52huq */, X86::VPMADD52HUQZmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15810 /* vpmadd52huq */, X86::VPMADD52HUQZ128rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15810 /* vpmadd52huq */, X86::VPMADD52HUQZ256rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15810 /* vpmadd52huq */, X86::VPMADD52HUQZrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15810 /* vpmadd52huq */, X86::VPMADD52HUQZ128mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15810 /* vpmadd52huq */, X86::VPMADD52HUQZ256mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15810 /* vpmadd52huq */, X86::VPMADD52HUQZmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15810 /* vpmadd52huq */, X86::VPMADD52HUQZ128mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15810 /* vpmadd52huq */, X86::VPMADD52HUQZ256mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15810 /* vpmadd52huq */, X86::VPMADD52HUQZmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15810 /* vpmadd52huq */, X86::VPMADD52HUQZ128mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15810 /* vpmadd52huq */, X86::VPMADD52HUQZ256mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15810 /* vpmadd52huq */, X86::VPMADD52HUQZmbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15822 /* vpmadd52luq */, X86::VPMADD52LUQrr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 15822 /* vpmadd52luq */, X86::VPMADD52LUQYrr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 15822 /* vpmadd52luq */, X86::VPMADD52LUQZ128r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 15822 /* vpmadd52luq */, X86::VPMADD52LUQZ256r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 15822 /* vpmadd52luq */, X86::VPMADD52LUQZr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 15822 /* vpmadd52luq */, X86::VPMADD52LUQrm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 15822 /* vpmadd52luq */, X86::VPMADD52LUQZ128m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 15822 /* vpmadd52luq */, X86::VPMADD52LUQYrm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 15822 /* vpmadd52luq */, X86::VPMADD52LUQZ256m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 15822 /* vpmadd52luq */, X86::VPMADD52LUQZm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 15822 /* vpmadd52luq */, X86::VPMADD52LUQZ128mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X }, },
{ 15822 /* vpmadd52luq */, X86::VPMADD52LUQZ256mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X }, },
{ 15822 /* vpmadd52luq */, X86::VPMADD52LUQZmb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512 }, },
{ 15822 /* vpmadd52luq */, X86::VPMADD52LUQZ128rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15822 /* vpmadd52luq */, X86::VPMADD52LUQZ256rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15822 /* vpmadd52luq */, X86::VPMADD52LUQZrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15822 /* vpmadd52luq */, X86::VPMADD52LUQZ128mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15822 /* vpmadd52luq */, X86::VPMADD52LUQZ256mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15822 /* vpmadd52luq */, X86::VPMADD52LUQZmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15822 /* vpmadd52luq */, X86::VPMADD52LUQZ128rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15822 /* vpmadd52luq */, X86::VPMADD52LUQZ256rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15822 /* vpmadd52luq */, X86::VPMADD52LUQZrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15822 /* vpmadd52luq */, X86::VPMADD52LUQZ128mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15822 /* vpmadd52luq */, X86::VPMADD52LUQZ256mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15822 /* vpmadd52luq */, X86::VPMADD52LUQZmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15822 /* vpmadd52luq */, X86::VPMADD52LUQZ128mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15822 /* vpmadd52luq */, X86::VPMADD52LUQZ256mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15822 /* vpmadd52luq */, X86::VPMADD52LUQZmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15822 /* vpmadd52luq */, X86::VPMADD52LUQZ128mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15822 /* vpmadd52luq */, X86::VPMADD52LUQZ256mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15822 /* vpmadd52luq */, X86::VPMADD52LUQZmbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15834 /* vpmaddubsw */, X86::VPMADDUBSWrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 15834 /* vpmaddubsw */, X86::VPMADDUBSWYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 15834 /* vpmaddubsw */, X86::VPMADDUBSWZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 15834 /* vpmaddubsw */, X86::VPMADDUBSWZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 15834 /* vpmaddubsw */, X86::VPMADDUBSWZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 15834 /* vpmaddubsw */, X86::VPMADDUBSWrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 15834 /* vpmaddubsw */, X86::VPMADDUBSWZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 15834 /* vpmaddubsw */, X86::VPMADDUBSWYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 15834 /* vpmaddubsw */, X86::VPMADDUBSWZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 15834 /* vpmaddubsw */, X86::VPMADDUBSWZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 15834 /* vpmaddubsw */, X86::VPMADDUBSWZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15834 /* vpmaddubsw */, X86::VPMADDUBSWZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15834 /* vpmaddubsw */, X86::VPMADDUBSWZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15834 /* vpmaddubsw */, X86::VPMADDUBSWZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15834 /* vpmaddubsw */, X86::VPMADDUBSWZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15834 /* vpmaddubsw */, X86::VPMADDUBSWZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15834 /* vpmaddubsw */, X86::VPMADDUBSWZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15834 /* vpmaddubsw */, X86::VPMADDUBSWZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15834 /* vpmaddubsw */, X86::VPMADDUBSWZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15834 /* vpmaddubsw */, X86::VPMADDUBSWZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15834 /* vpmaddubsw */, X86::VPMADDUBSWZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15834 /* vpmaddubsw */, X86::VPMADDUBSWZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15845 /* vpmaddwd */, X86::VPMADDWDrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 15845 /* vpmaddwd */, X86::VPMADDWDYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 15845 /* vpmaddwd */, X86::VPMADDWDZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 15845 /* vpmaddwd */, X86::VPMADDWDZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 15845 /* vpmaddwd */, X86::VPMADDWDZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 15845 /* vpmaddwd */, X86::VPMADDWDrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 15845 /* vpmaddwd */, X86::VPMADDWDZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 15845 /* vpmaddwd */, X86::VPMADDWDYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 15845 /* vpmaddwd */, X86::VPMADDWDZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 15845 /* vpmaddwd */, X86::VPMADDWDZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 15845 /* vpmaddwd */, X86::VPMADDWDZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15845 /* vpmaddwd */, X86::VPMADDWDZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15845 /* vpmaddwd */, X86::VPMADDWDZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15845 /* vpmaddwd */, X86::VPMADDWDZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15845 /* vpmaddwd */, X86::VPMADDWDZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15845 /* vpmaddwd */, X86::VPMADDWDZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15845 /* vpmaddwd */, X86::VPMADDWDZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15845 /* vpmaddwd */, X86::VPMADDWDZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15845 /* vpmaddwd */, X86::VPMADDWDZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15845 /* vpmaddwd */, X86::VPMADDWDZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15845 /* vpmaddwd */, X86::VPMADDWDZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15845 /* vpmaddwd */, X86::VPMADDWDZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15854 /* vpmaskmovd */, X86::VPMASKMOVDmr, Convert__Mem1285_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 15854 /* vpmaskmovd */, X86::VPMASKMOVDYmr, Convert__Mem2565_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 15854 /* vpmaskmovd */, X86::VPMASKMOVDrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 15854 /* vpmaskmovd */, X86::VPMASKMOVDYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 15865 /* vpmaskmovq */, X86::VPMASKMOVQmr, Convert__Mem1285_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 15865 /* vpmaskmovq */, X86::VPMASKMOVQYmr, Convert__Mem2565_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 15865 /* vpmaskmovq */, X86::VPMASKMOVQrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 15865 /* vpmaskmovq */, X86::VPMASKMOVQYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 15876 /* vpmaxsb */, X86::VPMAXSBrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 15876 /* vpmaxsb */, X86::VPMAXSBYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 15876 /* vpmaxsb */, X86::VPMAXSBZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 15876 /* vpmaxsb */, X86::VPMAXSBZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 15876 /* vpmaxsb */, X86::VPMAXSBZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 15876 /* vpmaxsb */, X86::VPMAXSBrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 15876 /* vpmaxsb */, X86::VPMAXSBZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 15876 /* vpmaxsb */, X86::VPMAXSBYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 15876 /* vpmaxsb */, X86::VPMAXSBZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 15876 /* vpmaxsb */, X86::VPMAXSBZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 15876 /* vpmaxsb */, X86::VPMAXSBZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15876 /* vpmaxsb */, X86::VPMAXSBZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15876 /* vpmaxsb */, X86::VPMAXSBZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15876 /* vpmaxsb */, X86::VPMAXSBZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15876 /* vpmaxsb */, X86::VPMAXSBZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15876 /* vpmaxsb */, X86::VPMAXSBZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15876 /* vpmaxsb */, X86::VPMAXSBZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15876 /* vpmaxsb */, X86::VPMAXSBZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15876 /* vpmaxsb */, X86::VPMAXSBZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15876 /* vpmaxsb */, X86::VPMAXSBZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15876 /* vpmaxsb */, X86::VPMAXSBZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15876 /* vpmaxsb */, X86::VPMAXSBZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15884 /* vpmaxsd */, X86::VPMAXSDrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 15884 /* vpmaxsd */, X86::VPMAXSDYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 15884 /* vpmaxsd */, X86::VPMAXSDZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 15884 /* vpmaxsd */, X86::VPMAXSDZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 15884 /* vpmaxsd */, X86::VPMAXSDZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 15884 /* vpmaxsd */, X86::VPMAXSDrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 15884 /* vpmaxsd */, X86::VPMAXSDZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 15884 /* vpmaxsd */, X86::VPMAXSDYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 15884 /* vpmaxsd */, X86::VPMAXSDZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 15884 /* vpmaxsd */, X86::VPMAXSDZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 15884 /* vpmaxsd */, X86::VPMAXSDZrmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512 }, },
{ 15884 /* vpmaxsd */, X86::VPMAXSDZ128rmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X }, },
{ 15884 /* vpmaxsd */, X86::VPMAXSDZ256rmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X }, },
{ 15884 /* vpmaxsd */, X86::VPMAXSDZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15884 /* vpmaxsd */, X86::VPMAXSDZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15884 /* vpmaxsd */, X86::VPMAXSDZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15884 /* vpmaxsd */, X86::VPMAXSDZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15884 /* vpmaxsd */, X86::VPMAXSDZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15884 /* vpmaxsd */, X86::VPMAXSDZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15884 /* vpmaxsd */, X86::VPMAXSDZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15884 /* vpmaxsd */, X86::VPMAXSDZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15884 /* vpmaxsd */, X86::VPMAXSDZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15884 /* vpmaxsd */, X86::VPMAXSDZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15884 /* vpmaxsd */, X86::VPMAXSDZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15884 /* vpmaxsd */, X86::VPMAXSDZrmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15884 /* vpmaxsd */, X86::VPMAXSDZ128rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15884 /* vpmaxsd */, X86::VPMAXSDZ256rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15884 /* vpmaxsd */, X86::VPMAXSDZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15884 /* vpmaxsd */, X86::VPMAXSDZrmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15884 /* vpmaxsd */, X86::VPMAXSDZ128rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15884 /* vpmaxsd */, X86::VPMAXSDZ256rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15892 /* vpmaxsq */, X86::VPMAXSQZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 15892 /* vpmaxsq */, X86::VPMAXSQZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 15892 /* vpmaxsq */, X86::VPMAXSQZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 15892 /* vpmaxsq */, X86::VPMAXSQZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 15892 /* vpmaxsq */, X86::VPMAXSQZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 15892 /* vpmaxsq */, X86::VPMAXSQZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 15892 /* vpmaxsq */, X86::VPMAXSQZ128rmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X }, },
{ 15892 /* vpmaxsq */, X86::VPMAXSQZ256rmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X }, },
{ 15892 /* vpmaxsq */, X86::VPMAXSQZrmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512 }, },
{ 15892 /* vpmaxsq */, X86::VPMAXSQZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15892 /* vpmaxsq */, X86::VPMAXSQZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15892 /* vpmaxsq */, X86::VPMAXSQZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15892 /* vpmaxsq */, X86::VPMAXSQZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15892 /* vpmaxsq */, X86::VPMAXSQZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15892 /* vpmaxsq */, X86::VPMAXSQZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15892 /* vpmaxsq */, X86::VPMAXSQZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15892 /* vpmaxsq */, X86::VPMAXSQZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15892 /* vpmaxsq */, X86::VPMAXSQZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15892 /* vpmaxsq */, X86::VPMAXSQZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15892 /* vpmaxsq */, X86::VPMAXSQZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15892 /* vpmaxsq */, X86::VPMAXSQZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15892 /* vpmaxsq */, X86::VPMAXSQZ128rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15892 /* vpmaxsq */, X86::VPMAXSQZ256rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15892 /* vpmaxsq */, X86::VPMAXSQZrmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15892 /* vpmaxsq */, X86::VPMAXSQZ128rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15892 /* vpmaxsq */, X86::VPMAXSQZ256rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15892 /* vpmaxsq */, X86::VPMAXSQZrmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15900 /* vpmaxsw */, X86::VPMAXSWrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 15900 /* vpmaxsw */, X86::VPMAXSWYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 15900 /* vpmaxsw */, X86::VPMAXSWZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 15900 /* vpmaxsw */, X86::VPMAXSWZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 15900 /* vpmaxsw */, X86::VPMAXSWZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 15900 /* vpmaxsw */, X86::VPMAXSWrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 15900 /* vpmaxsw */, X86::VPMAXSWZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 15900 /* vpmaxsw */, X86::VPMAXSWYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 15900 /* vpmaxsw */, X86::VPMAXSWZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 15900 /* vpmaxsw */, X86::VPMAXSWZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 15900 /* vpmaxsw */, X86::VPMAXSWZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15900 /* vpmaxsw */, X86::VPMAXSWZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15900 /* vpmaxsw */, X86::VPMAXSWZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15900 /* vpmaxsw */, X86::VPMAXSWZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15900 /* vpmaxsw */, X86::VPMAXSWZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15900 /* vpmaxsw */, X86::VPMAXSWZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15900 /* vpmaxsw */, X86::VPMAXSWZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15900 /* vpmaxsw */, X86::VPMAXSWZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15900 /* vpmaxsw */, X86::VPMAXSWZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15900 /* vpmaxsw */, X86::VPMAXSWZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15900 /* vpmaxsw */, X86::VPMAXSWZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15900 /* vpmaxsw */, X86::VPMAXSWZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15908 /* vpmaxub */, X86::VPMAXUBrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 15908 /* vpmaxub */, X86::VPMAXUBYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 15908 /* vpmaxub */, X86::VPMAXUBZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 15908 /* vpmaxub */, X86::VPMAXUBZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 15908 /* vpmaxub */, X86::VPMAXUBZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 15908 /* vpmaxub */, X86::VPMAXUBrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 15908 /* vpmaxub */, X86::VPMAXUBZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 15908 /* vpmaxub */, X86::VPMAXUBYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 15908 /* vpmaxub */, X86::VPMAXUBZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 15908 /* vpmaxub */, X86::VPMAXUBZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 15908 /* vpmaxub */, X86::VPMAXUBZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15908 /* vpmaxub */, X86::VPMAXUBZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15908 /* vpmaxub */, X86::VPMAXUBZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15908 /* vpmaxub */, X86::VPMAXUBZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15908 /* vpmaxub */, X86::VPMAXUBZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15908 /* vpmaxub */, X86::VPMAXUBZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15908 /* vpmaxub */, X86::VPMAXUBZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15908 /* vpmaxub */, X86::VPMAXUBZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15908 /* vpmaxub */, X86::VPMAXUBZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15908 /* vpmaxub */, X86::VPMAXUBZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15908 /* vpmaxub */, X86::VPMAXUBZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15908 /* vpmaxub */, X86::VPMAXUBZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15916 /* vpmaxud */, X86::VPMAXUDrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 15916 /* vpmaxud */, X86::VPMAXUDYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 15916 /* vpmaxud */, X86::VPMAXUDZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 15916 /* vpmaxud */, X86::VPMAXUDZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 15916 /* vpmaxud */, X86::VPMAXUDZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 15916 /* vpmaxud */, X86::VPMAXUDrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 15916 /* vpmaxud */, X86::VPMAXUDZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 15916 /* vpmaxud */, X86::VPMAXUDYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 15916 /* vpmaxud */, X86::VPMAXUDZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 15916 /* vpmaxud */, X86::VPMAXUDZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 15916 /* vpmaxud */, X86::VPMAXUDZrmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512 }, },
{ 15916 /* vpmaxud */, X86::VPMAXUDZ128rmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X }, },
{ 15916 /* vpmaxud */, X86::VPMAXUDZ256rmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X }, },
{ 15916 /* vpmaxud */, X86::VPMAXUDZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15916 /* vpmaxud */, X86::VPMAXUDZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15916 /* vpmaxud */, X86::VPMAXUDZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15916 /* vpmaxud */, X86::VPMAXUDZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15916 /* vpmaxud */, X86::VPMAXUDZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15916 /* vpmaxud */, X86::VPMAXUDZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15916 /* vpmaxud */, X86::VPMAXUDZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15916 /* vpmaxud */, X86::VPMAXUDZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15916 /* vpmaxud */, X86::VPMAXUDZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15916 /* vpmaxud */, X86::VPMAXUDZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15916 /* vpmaxud */, X86::VPMAXUDZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15916 /* vpmaxud */, X86::VPMAXUDZrmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15916 /* vpmaxud */, X86::VPMAXUDZ128rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15916 /* vpmaxud */, X86::VPMAXUDZ256rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15916 /* vpmaxud */, X86::VPMAXUDZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15916 /* vpmaxud */, X86::VPMAXUDZrmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15916 /* vpmaxud */, X86::VPMAXUDZ128rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15916 /* vpmaxud */, X86::VPMAXUDZ256rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15924 /* vpmaxuq */, X86::VPMAXUQZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 15924 /* vpmaxuq */, X86::VPMAXUQZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 15924 /* vpmaxuq */, X86::VPMAXUQZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 15924 /* vpmaxuq */, X86::VPMAXUQZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 15924 /* vpmaxuq */, X86::VPMAXUQZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 15924 /* vpmaxuq */, X86::VPMAXUQZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 15924 /* vpmaxuq */, X86::VPMAXUQZ128rmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X }, },
{ 15924 /* vpmaxuq */, X86::VPMAXUQZ256rmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X }, },
{ 15924 /* vpmaxuq */, X86::VPMAXUQZrmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512 }, },
{ 15924 /* vpmaxuq */, X86::VPMAXUQZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15924 /* vpmaxuq */, X86::VPMAXUQZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15924 /* vpmaxuq */, X86::VPMAXUQZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15924 /* vpmaxuq */, X86::VPMAXUQZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15924 /* vpmaxuq */, X86::VPMAXUQZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15924 /* vpmaxuq */, X86::VPMAXUQZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15924 /* vpmaxuq */, X86::VPMAXUQZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15924 /* vpmaxuq */, X86::VPMAXUQZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15924 /* vpmaxuq */, X86::VPMAXUQZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15924 /* vpmaxuq */, X86::VPMAXUQZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15924 /* vpmaxuq */, X86::VPMAXUQZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15924 /* vpmaxuq */, X86::VPMAXUQZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15924 /* vpmaxuq */, X86::VPMAXUQZ128rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15924 /* vpmaxuq */, X86::VPMAXUQZ256rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15924 /* vpmaxuq */, X86::VPMAXUQZrmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15924 /* vpmaxuq */, X86::VPMAXUQZ128rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15924 /* vpmaxuq */, X86::VPMAXUQZ256rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15924 /* vpmaxuq */, X86::VPMAXUQZrmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15932 /* vpmaxuw */, X86::VPMAXUWrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 15932 /* vpmaxuw */, X86::VPMAXUWYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 15932 /* vpmaxuw */, X86::VPMAXUWZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 15932 /* vpmaxuw */, X86::VPMAXUWZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 15932 /* vpmaxuw */, X86::VPMAXUWZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 15932 /* vpmaxuw */, X86::VPMAXUWrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 15932 /* vpmaxuw */, X86::VPMAXUWZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 15932 /* vpmaxuw */, X86::VPMAXUWYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 15932 /* vpmaxuw */, X86::VPMAXUWZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 15932 /* vpmaxuw */, X86::VPMAXUWZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 15932 /* vpmaxuw */, X86::VPMAXUWZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15932 /* vpmaxuw */, X86::VPMAXUWZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15932 /* vpmaxuw */, X86::VPMAXUWZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15932 /* vpmaxuw */, X86::VPMAXUWZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15932 /* vpmaxuw */, X86::VPMAXUWZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15932 /* vpmaxuw */, X86::VPMAXUWZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15932 /* vpmaxuw */, X86::VPMAXUWZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15932 /* vpmaxuw */, X86::VPMAXUWZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15932 /* vpmaxuw */, X86::VPMAXUWZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15932 /* vpmaxuw */, X86::VPMAXUWZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15932 /* vpmaxuw */, X86::VPMAXUWZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15932 /* vpmaxuw */, X86::VPMAXUWZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15940 /* vpminsb */, X86::VPMINSBrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 15940 /* vpminsb */, X86::VPMINSBYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 15940 /* vpminsb */, X86::VPMINSBZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 15940 /* vpminsb */, X86::VPMINSBZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 15940 /* vpminsb */, X86::VPMINSBZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 15940 /* vpminsb */, X86::VPMINSBrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 15940 /* vpminsb */, X86::VPMINSBZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 15940 /* vpminsb */, X86::VPMINSBYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 15940 /* vpminsb */, X86::VPMINSBZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 15940 /* vpminsb */, X86::VPMINSBZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 15940 /* vpminsb */, X86::VPMINSBZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15940 /* vpminsb */, X86::VPMINSBZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15940 /* vpminsb */, X86::VPMINSBZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15940 /* vpminsb */, X86::VPMINSBZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15940 /* vpminsb */, X86::VPMINSBZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15940 /* vpminsb */, X86::VPMINSBZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15940 /* vpminsb */, X86::VPMINSBZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15940 /* vpminsb */, X86::VPMINSBZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15940 /* vpminsb */, X86::VPMINSBZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15940 /* vpminsb */, X86::VPMINSBZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15940 /* vpminsb */, X86::VPMINSBZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15940 /* vpminsb */, X86::VPMINSBZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15948 /* vpminsd */, X86::VPMINSDrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 15948 /* vpminsd */, X86::VPMINSDYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 15948 /* vpminsd */, X86::VPMINSDZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 15948 /* vpminsd */, X86::VPMINSDZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 15948 /* vpminsd */, X86::VPMINSDZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 15948 /* vpminsd */, X86::VPMINSDrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 15948 /* vpminsd */, X86::VPMINSDZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 15948 /* vpminsd */, X86::VPMINSDYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 15948 /* vpminsd */, X86::VPMINSDZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 15948 /* vpminsd */, X86::VPMINSDZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 15948 /* vpminsd */, X86::VPMINSDZrmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512 }, },
{ 15948 /* vpminsd */, X86::VPMINSDZ128rmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X }, },
{ 15948 /* vpminsd */, X86::VPMINSDZ256rmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X }, },
{ 15948 /* vpminsd */, X86::VPMINSDZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15948 /* vpminsd */, X86::VPMINSDZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15948 /* vpminsd */, X86::VPMINSDZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15948 /* vpminsd */, X86::VPMINSDZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15948 /* vpminsd */, X86::VPMINSDZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15948 /* vpminsd */, X86::VPMINSDZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15948 /* vpminsd */, X86::VPMINSDZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15948 /* vpminsd */, X86::VPMINSDZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15948 /* vpminsd */, X86::VPMINSDZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15948 /* vpminsd */, X86::VPMINSDZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15948 /* vpminsd */, X86::VPMINSDZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15948 /* vpminsd */, X86::VPMINSDZrmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15948 /* vpminsd */, X86::VPMINSDZ128rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15948 /* vpminsd */, X86::VPMINSDZ256rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15948 /* vpminsd */, X86::VPMINSDZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15948 /* vpminsd */, X86::VPMINSDZrmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15948 /* vpminsd */, X86::VPMINSDZ128rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15948 /* vpminsd */, X86::VPMINSDZ256rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15956 /* vpminsq */, X86::VPMINSQZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 15956 /* vpminsq */, X86::VPMINSQZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 15956 /* vpminsq */, X86::VPMINSQZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 15956 /* vpminsq */, X86::VPMINSQZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 15956 /* vpminsq */, X86::VPMINSQZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 15956 /* vpminsq */, X86::VPMINSQZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 15956 /* vpminsq */, X86::VPMINSQZ128rmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X }, },
{ 15956 /* vpminsq */, X86::VPMINSQZ256rmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X }, },
{ 15956 /* vpminsq */, X86::VPMINSQZrmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512 }, },
{ 15956 /* vpminsq */, X86::VPMINSQZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15956 /* vpminsq */, X86::VPMINSQZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15956 /* vpminsq */, X86::VPMINSQZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15956 /* vpminsq */, X86::VPMINSQZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15956 /* vpminsq */, X86::VPMINSQZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15956 /* vpminsq */, X86::VPMINSQZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15956 /* vpminsq */, X86::VPMINSQZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15956 /* vpminsq */, X86::VPMINSQZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15956 /* vpminsq */, X86::VPMINSQZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15956 /* vpminsq */, X86::VPMINSQZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15956 /* vpminsq */, X86::VPMINSQZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15956 /* vpminsq */, X86::VPMINSQZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15956 /* vpminsq */, X86::VPMINSQZ128rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15956 /* vpminsq */, X86::VPMINSQZ256rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15956 /* vpminsq */, X86::VPMINSQZrmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15956 /* vpminsq */, X86::VPMINSQZ128rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15956 /* vpminsq */, X86::VPMINSQZ256rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15956 /* vpminsq */, X86::VPMINSQZrmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15964 /* vpminsw */, X86::VPMINSWrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 15964 /* vpminsw */, X86::VPMINSWYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 15964 /* vpminsw */, X86::VPMINSWZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 15964 /* vpminsw */, X86::VPMINSWZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 15964 /* vpminsw */, X86::VPMINSWZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 15964 /* vpminsw */, X86::VPMINSWrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 15964 /* vpminsw */, X86::VPMINSWZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 15964 /* vpminsw */, X86::VPMINSWYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 15964 /* vpminsw */, X86::VPMINSWZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 15964 /* vpminsw */, X86::VPMINSWZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 15964 /* vpminsw */, X86::VPMINSWZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15964 /* vpminsw */, X86::VPMINSWZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15964 /* vpminsw */, X86::VPMINSWZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15964 /* vpminsw */, X86::VPMINSWZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15964 /* vpminsw */, X86::VPMINSWZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15964 /* vpminsw */, X86::VPMINSWZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15964 /* vpminsw */, X86::VPMINSWZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15964 /* vpminsw */, X86::VPMINSWZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15964 /* vpminsw */, X86::VPMINSWZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15964 /* vpminsw */, X86::VPMINSWZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15964 /* vpminsw */, X86::VPMINSWZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15964 /* vpminsw */, X86::VPMINSWZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15972 /* vpminub */, X86::VPMINUBrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 15972 /* vpminub */, X86::VPMINUBYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 15972 /* vpminub */, X86::VPMINUBZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 15972 /* vpminub */, X86::VPMINUBZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 15972 /* vpminub */, X86::VPMINUBZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 15972 /* vpminub */, X86::VPMINUBrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 15972 /* vpminub */, X86::VPMINUBZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 15972 /* vpminub */, X86::VPMINUBYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 15972 /* vpminub */, X86::VPMINUBZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 15972 /* vpminub */, X86::VPMINUBZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 15972 /* vpminub */, X86::VPMINUBZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15972 /* vpminub */, X86::VPMINUBZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15972 /* vpminub */, X86::VPMINUBZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15972 /* vpminub */, X86::VPMINUBZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15972 /* vpminub */, X86::VPMINUBZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15972 /* vpminub */, X86::VPMINUBZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15972 /* vpminub */, X86::VPMINUBZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15972 /* vpminub */, X86::VPMINUBZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15972 /* vpminub */, X86::VPMINUBZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15972 /* vpminub */, X86::VPMINUBZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15972 /* vpminub */, X86::VPMINUBZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15972 /* vpminub */, X86::VPMINUBZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15980 /* vpminud */, X86::VPMINUDrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 15980 /* vpminud */, X86::VPMINUDYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 15980 /* vpminud */, X86::VPMINUDZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 15980 /* vpminud */, X86::VPMINUDZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 15980 /* vpminud */, X86::VPMINUDZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 15980 /* vpminud */, X86::VPMINUDrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 15980 /* vpminud */, X86::VPMINUDZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 15980 /* vpminud */, X86::VPMINUDYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 15980 /* vpminud */, X86::VPMINUDZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 15980 /* vpminud */, X86::VPMINUDZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 15980 /* vpminud */, X86::VPMINUDZrmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512 }, },
{ 15980 /* vpminud */, X86::VPMINUDZ128rmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X }, },
{ 15980 /* vpminud */, X86::VPMINUDZ256rmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X }, },
{ 15980 /* vpminud */, X86::VPMINUDZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15980 /* vpminud */, X86::VPMINUDZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15980 /* vpminud */, X86::VPMINUDZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15980 /* vpminud */, X86::VPMINUDZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15980 /* vpminud */, X86::VPMINUDZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15980 /* vpminud */, X86::VPMINUDZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15980 /* vpminud */, X86::VPMINUDZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15980 /* vpminud */, X86::VPMINUDZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15980 /* vpminud */, X86::VPMINUDZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15980 /* vpminud */, X86::VPMINUDZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15980 /* vpminud */, X86::VPMINUDZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15980 /* vpminud */, X86::VPMINUDZrmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15980 /* vpminud */, X86::VPMINUDZ128rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15980 /* vpminud */, X86::VPMINUDZ256rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15980 /* vpminud */, X86::VPMINUDZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15980 /* vpminud */, X86::VPMINUDZrmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15980 /* vpminud */, X86::VPMINUDZ128rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15980 /* vpminud */, X86::VPMINUDZ256rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15988 /* vpminuq */, X86::VPMINUQZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 15988 /* vpminuq */, X86::VPMINUQZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 15988 /* vpminuq */, X86::VPMINUQZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 15988 /* vpminuq */, X86::VPMINUQZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 15988 /* vpminuq */, X86::VPMINUQZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 15988 /* vpminuq */, X86::VPMINUQZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 15988 /* vpminuq */, X86::VPMINUQZ128rmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X }, },
{ 15988 /* vpminuq */, X86::VPMINUQZ256rmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X }, },
{ 15988 /* vpminuq */, X86::VPMINUQZrmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512 }, },
{ 15988 /* vpminuq */, X86::VPMINUQZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15988 /* vpminuq */, X86::VPMINUQZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15988 /* vpminuq */, X86::VPMINUQZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15988 /* vpminuq */, X86::VPMINUQZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15988 /* vpminuq */, X86::VPMINUQZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15988 /* vpminuq */, X86::VPMINUQZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15988 /* vpminuq */, X86::VPMINUQZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15988 /* vpminuq */, X86::VPMINUQZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15988 /* vpminuq */, X86::VPMINUQZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15988 /* vpminuq */, X86::VPMINUQZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15988 /* vpminuq */, X86::VPMINUQZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15988 /* vpminuq */, X86::VPMINUQZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15988 /* vpminuq */, X86::VPMINUQZ128rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15988 /* vpminuq */, X86::VPMINUQZ256rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15988 /* vpminuq */, X86::VPMINUQZrmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15988 /* vpminuq */, X86::VPMINUQZ128rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15988 /* vpminuq */, X86::VPMINUQZ256rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15988 /* vpminuq */, X86::VPMINUQZrmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15996 /* vpminuw */, X86::VPMINUWrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 15996 /* vpminuw */, X86::VPMINUWYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 15996 /* vpminuw */, X86::VPMINUWZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 15996 /* vpminuw */, X86::VPMINUWZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 15996 /* vpminuw */, X86::VPMINUWZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 15996 /* vpminuw */, X86::VPMINUWrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 15996 /* vpminuw */, X86::VPMINUWZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 15996 /* vpminuw */, X86::VPMINUWYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 15996 /* vpminuw */, X86::VPMINUWZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 15996 /* vpminuw */, X86::VPMINUWZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 15996 /* vpminuw */, X86::VPMINUWZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15996 /* vpminuw */, X86::VPMINUWZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15996 /* vpminuw */, X86::VPMINUWZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15996 /* vpminuw */, X86::VPMINUWZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15996 /* vpminuw */, X86::VPMINUWZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15996 /* vpminuw */, X86::VPMINUWZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 15996 /* vpminuw */, X86::VPMINUWZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15996 /* vpminuw */, X86::VPMINUWZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15996 /* vpminuw */, X86::VPMINUWZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15996 /* vpminuw */, X86::VPMINUWZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15996 /* vpminuw */, X86::VPMINUWZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 15996 /* vpminuw */, X86::VPMINUWZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16004 /* vpmovb2m */, X86::VPMOVB2MZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VK1 }, },
{ 16004 /* vpmovb2m */, X86::VPMOVB2MZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VK1 }, },
{ 16004 /* vpmovb2m */, X86::VPMOVB2MZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VK1 }, },
{ 16013 /* vpmovd2m */, X86::VPMOVD2MZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VK1 }, },
{ 16013 /* vpmovd2m */, X86::VPMOVD2MZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VK1 }, },
{ 16013 /* vpmovd2m */, X86::VPMOVD2MZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VK1 }, },
{ 16022 /* vpmovdb */, X86::VPMOVDBZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 16022 /* vpmovdb */, X86::VPMOVDBZ128mr, Convert__Mem325_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_Mem32 }, },
{ 16022 /* vpmovdb */, X86::VPMOVDBZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X }, },
{ 16022 /* vpmovdb */, X86::VPMOVDBZ256mr, Convert__Mem645_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_Mem64 }, },
{ 16022 /* vpmovdb */, X86::VPMOVDBZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_FR16X }, },
{ 16022 /* vpmovdb */, X86::VPMOVDBZmr, Convert__Mem1285_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_Mem128 }, },
{ 16022 /* vpmovdb */, X86::VPMOVDBZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16022 /* vpmovdb */, X86::VPMOVDBZ128mrk, Convert__Mem325_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_Mem32, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16022 /* vpmovdb */, X86::VPMOVDBZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16022 /* vpmovdb */, X86::VPMOVDBZ256mrk, Convert__Mem645_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_Mem64, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16022 /* vpmovdb */, X86::VPMOVDBZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16022 /* vpmovdb */, X86::VPMOVDBZmrk, Convert__Mem1285_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_Mem128, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16022 /* vpmovdb */, X86::VPMOVDBZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16022 /* vpmovdb */, X86::VPMOVDBZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16022 /* vpmovdb */, X86::VPMOVDBZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16030 /* vpmovdw */, X86::VPMOVDWZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 16030 /* vpmovdw */, X86::VPMOVDWZ128mr, Convert__Mem645_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_Mem64 }, },
{ 16030 /* vpmovdw */, X86::VPMOVDWZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X }, },
{ 16030 /* vpmovdw */, X86::VPMOVDWZ256mr, Convert__Mem1285_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_Mem128 }, },
{ 16030 /* vpmovdw */, X86::VPMOVDWZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR256X }, },
{ 16030 /* vpmovdw */, X86::VPMOVDWZmr, Convert__Mem2565_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_Mem256 }, },
{ 16030 /* vpmovdw */, X86::VPMOVDWZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16030 /* vpmovdw */, X86::VPMOVDWZ128mrk, Convert__Mem645_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_Mem64, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16030 /* vpmovdw */, X86::VPMOVDWZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16030 /* vpmovdw */, X86::VPMOVDWZ256mrk, Convert__Mem1285_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_Mem128, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16030 /* vpmovdw */, X86::VPMOVDWZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16030 /* vpmovdw */, X86::VPMOVDWZmrk, Convert__Mem2565_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_Mem256, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16030 /* vpmovdw */, X86::VPMOVDWZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16030 /* vpmovdw */, X86::VPMOVDWZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16030 /* vpmovdw */, X86::VPMOVDWZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16038 /* vpmovm2b */, X86::VPMOVM2BZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VK1, MCK_FR16X }, },
{ 16038 /* vpmovm2b */, X86::VPMOVM2BZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VK1, MCK_VR256X }, },
{ 16038 /* vpmovm2b */, X86::VPMOVM2BZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VK1, MCK_VR512 }, },
{ 16047 /* vpmovm2d */, X86::VPMOVM2DZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VK1, MCK_FR16X }, },
{ 16047 /* vpmovm2d */, X86::VPMOVM2DZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VK1, MCK_VR256X }, },
{ 16047 /* vpmovm2d */, X86::VPMOVM2DZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VK1, MCK_VR512 }, },
{ 16056 /* vpmovm2q */, X86::VPMOVM2QZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VK1, MCK_FR16X }, },
{ 16056 /* vpmovm2q */, X86::VPMOVM2QZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VK1, MCK_VR256X }, },
{ 16056 /* vpmovm2q */, X86::VPMOVM2QZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VK1, MCK_VR512 }, },
{ 16065 /* vpmovm2w */, X86::VPMOVM2WZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VK1, MCK_FR16X }, },
{ 16065 /* vpmovm2w */, X86::VPMOVM2WZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VK1, MCK_VR256X }, },
{ 16065 /* vpmovm2w */, X86::VPMOVM2WZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VK1, MCK_VR512 }, },
{ 16074 /* vpmovmskb */, X86::VPMOVMSKBrr, Convert__GR32orGR641_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_GR32orGR64 }, },
{ 16074 /* vpmovmskb */, X86::VPMOVMSKBYrr, Convert__GR32orGR641_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_GR32orGR64 }, },
{ 16084 /* vpmovq2m */, X86::VPMOVQ2MZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VK1 }, },
{ 16084 /* vpmovq2m */, X86::VPMOVQ2MZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VK1 }, },
{ 16084 /* vpmovq2m */, X86::VPMOVQ2MZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VK1 }, },
{ 16093 /* vpmovqb */, X86::VPMOVQBZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 16093 /* vpmovqb */, X86::VPMOVQBZ128mr, Convert__Mem165_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_Mem16 }, },
{ 16093 /* vpmovqb */, X86::VPMOVQBZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X }, },
{ 16093 /* vpmovqb */, X86::VPMOVQBZ256mr, Convert__Mem325_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_Mem32 }, },
{ 16093 /* vpmovqb */, X86::VPMOVQBZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_FR16X }, },
{ 16093 /* vpmovqb */, X86::VPMOVQBZmr, Convert__Mem645_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_Mem64 }, },
{ 16093 /* vpmovqb */, X86::VPMOVQBZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16093 /* vpmovqb */, X86::VPMOVQBZ128mrk, Convert__Mem165_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_Mem16, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16093 /* vpmovqb */, X86::VPMOVQBZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16093 /* vpmovqb */, X86::VPMOVQBZ256mrk, Convert__Mem325_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_Mem32, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16093 /* vpmovqb */, X86::VPMOVQBZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16093 /* vpmovqb */, X86::VPMOVQBZmrk, Convert__Mem645_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_Mem64, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16093 /* vpmovqb */, X86::VPMOVQBZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16093 /* vpmovqb */, X86::VPMOVQBZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16093 /* vpmovqb */, X86::VPMOVQBZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16101 /* vpmovqd */, X86::VPMOVQDZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 16101 /* vpmovqd */, X86::VPMOVQDZ128mr, Convert__Mem645_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_Mem64 }, },
{ 16101 /* vpmovqd */, X86::VPMOVQDZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X }, },
{ 16101 /* vpmovqd */, X86::VPMOVQDZ256mr, Convert__Mem1285_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_Mem128 }, },
{ 16101 /* vpmovqd */, X86::VPMOVQDZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR256X }, },
{ 16101 /* vpmovqd */, X86::VPMOVQDZmr, Convert__Mem2565_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_Mem256 }, },
{ 16101 /* vpmovqd */, X86::VPMOVQDZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16101 /* vpmovqd */, X86::VPMOVQDZ128mrk, Convert__Mem645_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_Mem64, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16101 /* vpmovqd */, X86::VPMOVQDZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16101 /* vpmovqd */, X86::VPMOVQDZ256mrk, Convert__Mem1285_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_Mem128, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16101 /* vpmovqd */, X86::VPMOVQDZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16101 /* vpmovqd */, X86::VPMOVQDZmrk, Convert__Mem2565_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_Mem256, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16101 /* vpmovqd */, X86::VPMOVQDZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16101 /* vpmovqd */, X86::VPMOVQDZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16101 /* vpmovqd */, X86::VPMOVQDZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16109 /* vpmovqw */, X86::VPMOVQWZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 16109 /* vpmovqw */, X86::VPMOVQWZ128mr, Convert__Mem325_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_Mem32 }, },
{ 16109 /* vpmovqw */, X86::VPMOVQWZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X }, },
{ 16109 /* vpmovqw */, X86::VPMOVQWZ256mr, Convert__Mem645_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_Mem64 }, },
{ 16109 /* vpmovqw */, X86::VPMOVQWZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_FR16X }, },
{ 16109 /* vpmovqw */, X86::VPMOVQWZmr, Convert__Mem1285_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_Mem128 }, },
{ 16109 /* vpmovqw */, X86::VPMOVQWZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16109 /* vpmovqw */, X86::VPMOVQWZ128mrk, Convert__Mem325_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_Mem32, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16109 /* vpmovqw */, X86::VPMOVQWZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16109 /* vpmovqw */, X86::VPMOVQWZ256mrk, Convert__Mem645_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_Mem64, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16109 /* vpmovqw */, X86::VPMOVQWZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16109 /* vpmovqw */, X86::VPMOVQWZmrk, Convert__Mem1285_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_Mem128, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16109 /* vpmovqw */, X86::VPMOVQWZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16109 /* vpmovqw */, X86::VPMOVQWZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16109 /* vpmovqw */, X86::VPMOVQWZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16117 /* vpmovsdb */, X86::VPMOVSDBZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 16117 /* vpmovsdb */, X86::VPMOVSDBZ128mr, Convert__Mem325_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_Mem32 }, },
{ 16117 /* vpmovsdb */, X86::VPMOVSDBZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X }, },
{ 16117 /* vpmovsdb */, X86::VPMOVSDBZ256mr, Convert__Mem645_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_Mem64 }, },
{ 16117 /* vpmovsdb */, X86::VPMOVSDBZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_FR16X }, },
{ 16117 /* vpmovsdb */, X86::VPMOVSDBZmr, Convert__Mem1285_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_Mem128 }, },
{ 16117 /* vpmovsdb */, X86::VPMOVSDBZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16117 /* vpmovsdb */, X86::VPMOVSDBZ128mrk, Convert__Mem325_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_Mem32, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16117 /* vpmovsdb */, X86::VPMOVSDBZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16117 /* vpmovsdb */, X86::VPMOVSDBZ256mrk, Convert__Mem645_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_Mem64, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16117 /* vpmovsdb */, X86::VPMOVSDBZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16117 /* vpmovsdb */, X86::VPMOVSDBZmrk, Convert__Mem1285_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_Mem128, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16117 /* vpmovsdb */, X86::VPMOVSDBZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16117 /* vpmovsdb */, X86::VPMOVSDBZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16117 /* vpmovsdb */, X86::VPMOVSDBZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16126 /* vpmovsdw */, X86::VPMOVSDWZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 16126 /* vpmovsdw */, X86::VPMOVSDWZ128mr, Convert__Mem645_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_Mem64 }, },
{ 16126 /* vpmovsdw */, X86::VPMOVSDWZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X }, },
{ 16126 /* vpmovsdw */, X86::VPMOVSDWZ256mr, Convert__Mem1285_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_Mem128 }, },
{ 16126 /* vpmovsdw */, X86::VPMOVSDWZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR256X }, },
{ 16126 /* vpmovsdw */, X86::VPMOVSDWZmr, Convert__Mem2565_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_Mem256 }, },
{ 16126 /* vpmovsdw */, X86::VPMOVSDWZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16126 /* vpmovsdw */, X86::VPMOVSDWZ128mrk, Convert__Mem645_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_Mem64, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16126 /* vpmovsdw */, X86::VPMOVSDWZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16126 /* vpmovsdw */, X86::VPMOVSDWZ256mrk, Convert__Mem1285_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_Mem128, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16126 /* vpmovsdw */, X86::VPMOVSDWZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16126 /* vpmovsdw */, X86::VPMOVSDWZmrk, Convert__Mem2565_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_Mem256, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16126 /* vpmovsdw */, X86::VPMOVSDWZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16126 /* vpmovsdw */, X86::VPMOVSDWZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16126 /* vpmovsdw */, X86::VPMOVSDWZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16135 /* vpmovsqb */, X86::VPMOVSQBZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 16135 /* vpmovsqb */, X86::VPMOVSQBZ128mr, Convert__Mem165_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_Mem16 }, },
{ 16135 /* vpmovsqb */, X86::VPMOVSQBZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X }, },
{ 16135 /* vpmovsqb */, X86::VPMOVSQBZ256mr, Convert__Mem325_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_Mem32 }, },
{ 16135 /* vpmovsqb */, X86::VPMOVSQBZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_FR16X }, },
{ 16135 /* vpmovsqb */, X86::VPMOVSQBZmr, Convert__Mem645_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_Mem64 }, },
{ 16135 /* vpmovsqb */, X86::VPMOVSQBZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16135 /* vpmovsqb */, X86::VPMOVSQBZ128mrk, Convert__Mem165_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_Mem16, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16135 /* vpmovsqb */, X86::VPMOVSQBZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16135 /* vpmovsqb */, X86::VPMOVSQBZ256mrk, Convert__Mem325_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_Mem32, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16135 /* vpmovsqb */, X86::VPMOVSQBZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16135 /* vpmovsqb */, X86::VPMOVSQBZmrk, Convert__Mem645_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_Mem64, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16135 /* vpmovsqb */, X86::VPMOVSQBZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16135 /* vpmovsqb */, X86::VPMOVSQBZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16135 /* vpmovsqb */, X86::VPMOVSQBZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16144 /* vpmovsqd */, X86::VPMOVSQDZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 16144 /* vpmovsqd */, X86::VPMOVSQDZ128mr, Convert__Mem645_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_Mem64 }, },
{ 16144 /* vpmovsqd */, X86::VPMOVSQDZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X }, },
{ 16144 /* vpmovsqd */, X86::VPMOVSQDZ256mr, Convert__Mem1285_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_Mem128 }, },
{ 16144 /* vpmovsqd */, X86::VPMOVSQDZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR256X }, },
{ 16144 /* vpmovsqd */, X86::VPMOVSQDZmr, Convert__Mem2565_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_Mem256 }, },
{ 16144 /* vpmovsqd */, X86::VPMOVSQDZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16144 /* vpmovsqd */, X86::VPMOVSQDZ128mrk, Convert__Mem645_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_Mem64, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16144 /* vpmovsqd */, X86::VPMOVSQDZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16144 /* vpmovsqd */, X86::VPMOVSQDZ256mrk, Convert__Mem1285_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_Mem128, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16144 /* vpmovsqd */, X86::VPMOVSQDZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16144 /* vpmovsqd */, X86::VPMOVSQDZmrk, Convert__Mem2565_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_Mem256, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16144 /* vpmovsqd */, X86::VPMOVSQDZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16144 /* vpmovsqd */, X86::VPMOVSQDZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16144 /* vpmovsqd */, X86::VPMOVSQDZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16153 /* vpmovsqw */, X86::VPMOVSQWZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 16153 /* vpmovsqw */, X86::VPMOVSQWZ128mr, Convert__Mem325_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_Mem32 }, },
{ 16153 /* vpmovsqw */, X86::VPMOVSQWZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X }, },
{ 16153 /* vpmovsqw */, X86::VPMOVSQWZ256mr, Convert__Mem645_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_Mem64 }, },
{ 16153 /* vpmovsqw */, X86::VPMOVSQWZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_FR16X }, },
{ 16153 /* vpmovsqw */, X86::VPMOVSQWZmr, Convert__Mem1285_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_Mem128 }, },
{ 16153 /* vpmovsqw */, X86::VPMOVSQWZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16153 /* vpmovsqw */, X86::VPMOVSQWZ128mrk, Convert__Mem325_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_Mem32, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16153 /* vpmovsqw */, X86::VPMOVSQWZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16153 /* vpmovsqw */, X86::VPMOVSQWZ256mrk, Convert__Mem645_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_Mem64, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16153 /* vpmovsqw */, X86::VPMOVSQWZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16153 /* vpmovsqw */, X86::VPMOVSQWZmrk, Convert__Mem1285_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_Mem128, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16153 /* vpmovsqw */, X86::VPMOVSQWZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16153 /* vpmovsqw */, X86::VPMOVSQWZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16153 /* vpmovsqw */, X86::VPMOVSQWZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16162 /* vpmovswb */, X86::VPMOVSWBZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 16162 /* vpmovswb */, X86::VPMOVSWBZ128mr, Convert__Mem645_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_Mem64 }, },
{ 16162 /* vpmovswb */, X86::VPMOVSWBZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X }, },
{ 16162 /* vpmovswb */, X86::VPMOVSWBZ256mr, Convert__Mem1285_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_Mem128 }, },
{ 16162 /* vpmovswb */, X86::VPMOVSWBZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR256X }, },
{ 16162 /* vpmovswb */, X86::VPMOVSWBZmr, Convert__Mem2565_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_Mem256 }, },
{ 16162 /* vpmovswb */, X86::VPMOVSWBZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16162 /* vpmovswb */, X86::VPMOVSWBZ128mrk, Convert__Mem645_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_Mem64, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16162 /* vpmovswb */, X86::VPMOVSWBZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16162 /* vpmovswb */, X86::VPMOVSWBZ256mrk, Convert__Mem1285_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_Mem128, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16162 /* vpmovswb */, X86::VPMOVSWBZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16162 /* vpmovswb */, X86::VPMOVSWBZmrk, Convert__Mem2565_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_Mem256, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16162 /* vpmovswb */, X86::VPMOVSWBZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16162 /* vpmovswb */, X86::VPMOVSWBZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16162 /* vpmovswb */, X86::VPMOVSWBZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16171 /* vpmovsxbd */, X86::VPMOVSXBDrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 16171 /* vpmovsxbd */, X86::VPMOVSXBDYrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_VR256 }, },
{ 16171 /* vpmovsxbd */, X86::VPMOVSXBDZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 16171 /* vpmovsxbd */, X86::VPMOVSXBDZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X }, },
{ 16171 /* vpmovsxbd */, X86::VPMOVSXBDZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR512 }, },
{ 16171 /* vpmovsxbd */, X86::VPMOVSXBDZrm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR512 }, },
{ 16171 /* vpmovsxbd */, X86::VPMOVSXBDrm, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16 }, },
{ 16171 /* vpmovsxbd */, X86::VPMOVSXBDZ128rm, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X }, },
{ 16171 /* vpmovsxbd */, X86::VPMOVSXBDYrm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR256 }, },
{ 16171 /* vpmovsxbd */, X86::VPMOVSXBDZ256rm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR256X }, },
{ 16171 /* vpmovsxbd */, X86::VPMOVSXBDZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16171 /* vpmovsxbd */, X86::VPMOVSXBDZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16171 /* vpmovsxbd */, X86::VPMOVSXBDZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16171 /* vpmovsxbd */, X86::VPMOVSXBDZrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16171 /* vpmovsxbd */, X86::VPMOVSXBDZ128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16171 /* vpmovsxbd */, X86::VPMOVSXBDZ256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16171 /* vpmovsxbd */, X86::VPMOVSXBDZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16171 /* vpmovsxbd */, X86::VPMOVSXBDZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16171 /* vpmovsxbd */, X86::VPMOVSXBDZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16171 /* vpmovsxbd */, X86::VPMOVSXBDZrmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16171 /* vpmovsxbd */, X86::VPMOVSXBDZ128rmkz, Convert__Reg1_1__Reg1_3__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16171 /* vpmovsxbd */, X86::VPMOVSXBDZ256rmkz, Convert__Reg1_1__Reg1_3__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16181 /* vpmovsxbq */, X86::VPMOVSXBQrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 16181 /* vpmovsxbq */, X86::VPMOVSXBQYrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_VR256 }, },
{ 16181 /* vpmovsxbq */, X86::VPMOVSXBQZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 16181 /* vpmovsxbq */, X86::VPMOVSXBQZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X }, },
{ 16181 /* vpmovsxbq */, X86::VPMOVSXBQZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR512 }, },
{ 16181 /* vpmovsxbq */, X86::VPMOVSXBQrm, Convert__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16 }, },
{ 16181 /* vpmovsxbq */, X86::VPMOVSXBQZ128rm, Convert__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16X }, },
{ 16181 /* vpmovsxbq */, X86::VPMOVSXBQYrm, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_VR256 }, },
{ 16181 /* vpmovsxbq */, X86::VPMOVSXBQZ256rm, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_VR256X }, },
{ 16181 /* vpmovsxbq */, X86::VPMOVSXBQZrm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR512 }, },
{ 16181 /* vpmovsxbq */, X86::VPMOVSXBQZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16181 /* vpmovsxbq */, X86::VPMOVSXBQZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16181 /* vpmovsxbq */, X86::VPMOVSXBQZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16181 /* vpmovsxbq */, X86::VPMOVSXBQZ128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16181 /* vpmovsxbq */, X86::VPMOVSXBQZ256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16181 /* vpmovsxbq */, X86::VPMOVSXBQZrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16181 /* vpmovsxbq */, X86::VPMOVSXBQZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16181 /* vpmovsxbq */, X86::VPMOVSXBQZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16181 /* vpmovsxbq */, X86::VPMOVSXBQZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16181 /* vpmovsxbq */, X86::VPMOVSXBQZ128rmkz, Convert__Reg1_1__Reg1_3__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16181 /* vpmovsxbq */, X86::VPMOVSXBQZ256rmkz, Convert__Reg1_1__Reg1_3__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16181 /* vpmovsxbq */, X86::VPMOVSXBQZrmkz, Convert__Reg1_1__Reg1_3__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16191 /* vpmovsxbw */, X86::VPMOVSXBWrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 16191 /* vpmovsxbw */, X86::VPMOVSXBWYrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_VR256 }, },
{ 16191 /* vpmovsxbw */, X86::VPMOVSXBWZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 16191 /* vpmovsxbw */, X86::VPMOVSXBWZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X }, },
{ 16191 /* vpmovsxbw */, X86::VPMOVSXBWZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR512 }, },
{ 16191 /* vpmovsxbw */, X86::VPMOVSXBWYrm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256 }, },
{ 16191 /* vpmovsxbw */, X86::VPMOVSXBWZ256rm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256X }, },
{ 16191 /* vpmovsxbw */, X86::VPMOVSXBWZrm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR512 }, },
{ 16191 /* vpmovsxbw */, X86::VPMOVSXBWrm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16 }, },
{ 16191 /* vpmovsxbw */, X86::VPMOVSXBWZ128rm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X }, },
{ 16191 /* vpmovsxbw */, X86::VPMOVSXBWZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16191 /* vpmovsxbw */, X86::VPMOVSXBWZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16191 /* vpmovsxbw */, X86::VPMOVSXBWZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16191 /* vpmovsxbw */, X86::VPMOVSXBWZ256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16191 /* vpmovsxbw */, X86::VPMOVSXBWZrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16191 /* vpmovsxbw */, X86::VPMOVSXBWZ128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16191 /* vpmovsxbw */, X86::VPMOVSXBWZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16191 /* vpmovsxbw */, X86::VPMOVSXBWZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16191 /* vpmovsxbw */, X86::VPMOVSXBWZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16191 /* vpmovsxbw */, X86::VPMOVSXBWZ256rmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16191 /* vpmovsxbw */, X86::VPMOVSXBWZrmkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16191 /* vpmovsxbw */, X86::VPMOVSXBWZ128rmkz, Convert__Reg1_1__Reg1_3__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16201 /* vpmovsxdq */, X86::VPMOVSXDQrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 16201 /* vpmovsxdq */, X86::VPMOVSXDQYrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_VR256 }, },
{ 16201 /* vpmovsxdq */, X86::VPMOVSXDQZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 16201 /* vpmovsxdq */, X86::VPMOVSXDQZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X }, },
{ 16201 /* vpmovsxdq */, X86::VPMOVSXDQZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR512 }, },
{ 16201 /* vpmovsxdq */, X86::VPMOVSXDQYrm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256 }, },
{ 16201 /* vpmovsxdq */, X86::VPMOVSXDQZ256rm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256X }, },
{ 16201 /* vpmovsxdq */, X86::VPMOVSXDQZrm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR512 }, },
{ 16201 /* vpmovsxdq */, X86::VPMOVSXDQrm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16 }, },
{ 16201 /* vpmovsxdq */, X86::VPMOVSXDQZ128rm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X }, },
{ 16201 /* vpmovsxdq */, X86::VPMOVSXDQZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16201 /* vpmovsxdq */, X86::VPMOVSXDQZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16201 /* vpmovsxdq */, X86::VPMOVSXDQZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16201 /* vpmovsxdq */, X86::VPMOVSXDQZ256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16201 /* vpmovsxdq */, X86::VPMOVSXDQZrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16201 /* vpmovsxdq */, X86::VPMOVSXDQZ128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16201 /* vpmovsxdq */, X86::VPMOVSXDQZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16201 /* vpmovsxdq */, X86::VPMOVSXDQZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16201 /* vpmovsxdq */, X86::VPMOVSXDQZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16201 /* vpmovsxdq */, X86::VPMOVSXDQZ256rmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16201 /* vpmovsxdq */, X86::VPMOVSXDQZrmkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16201 /* vpmovsxdq */, X86::VPMOVSXDQZ128rmkz, Convert__Reg1_1__Reg1_3__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16211 /* vpmovsxwd */, X86::VPMOVSXWDrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 16211 /* vpmovsxwd */, X86::VPMOVSXWDYrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_VR256 }, },
{ 16211 /* vpmovsxwd */, X86::VPMOVSXWDZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 16211 /* vpmovsxwd */, X86::VPMOVSXWDZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X }, },
{ 16211 /* vpmovsxwd */, X86::VPMOVSXWDZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR512 }, },
{ 16211 /* vpmovsxwd */, X86::VPMOVSXWDYrm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256 }, },
{ 16211 /* vpmovsxwd */, X86::VPMOVSXWDZ256rm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256X }, },
{ 16211 /* vpmovsxwd */, X86::VPMOVSXWDZrm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR512 }, },
{ 16211 /* vpmovsxwd */, X86::VPMOVSXWDrm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16 }, },
{ 16211 /* vpmovsxwd */, X86::VPMOVSXWDZ128rm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X }, },
{ 16211 /* vpmovsxwd */, X86::VPMOVSXWDZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16211 /* vpmovsxwd */, X86::VPMOVSXWDZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16211 /* vpmovsxwd */, X86::VPMOVSXWDZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16211 /* vpmovsxwd */, X86::VPMOVSXWDZ256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16211 /* vpmovsxwd */, X86::VPMOVSXWDZrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16211 /* vpmovsxwd */, X86::VPMOVSXWDZ128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16211 /* vpmovsxwd */, X86::VPMOVSXWDZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16211 /* vpmovsxwd */, X86::VPMOVSXWDZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16211 /* vpmovsxwd */, X86::VPMOVSXWDZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16211 /* vpmovsxwd */, X86::VPMOVSXWDZ256rmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16211 /* vpmovsxwd */, X86::VPMOVSXWDZrmkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16211 /* vpmovsxwd */, X86::VPMOVSXWDZ128rmkz, Convert__Reg1_1__Reg1_3__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16221 /* vpmovsxwq */, X86::VPMOVSXWQrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 16221 /* vpmovsxwq */, X86::VPMOVSXWQYrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_VR256 }, },
{ 16221 /* vpmovsxwq */, X86::VPMOVSXWQZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 16221 /* vpmovsxwq */, X86::VPMOVSXWQZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X }, },
{ 16221 /* vpmovsxwq */, X86::VPMOVSXWQZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR512 }, },
{ 16221 /* vpmovsxwq */, X86::VPMOVSXWQZrm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR512 }, },
{ 16221 /* vpmovsxwq */, X86::VPMOVSXWQrm, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16 }, },
{ 16221 /* vpmovsxwq */, X86::VPMOVSXWQZ128rm, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X }, },
{ 16221 /* vpmovsxwq */, X86::VPMOVSXWQYrm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR256 }, },
{ 16221 /* vpmovsxwq */, X86::VPMOVSXWQZ256rm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR256X }, },
{ 16221 /* vpmovsxwq */, X86::VPMOVSXWQZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16221 /* vpmovsxwq */, X86::VPMOVSXWQZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16221 /* vpmovsxwq */, X86::VPMOVSXWQZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16221 /* vpmovsxwq */, X86::VPMOVSXWQZrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16221 /* vpmovsxwq */, X86::VPMOVSXWQZ128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16221 /* vpmovsxwq */, X86::VPMOVSXWQZ256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16221 /* vpmovsxwq */, X86::VPMOVSXWQZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16221 /* vpmovsxwq */, X86::VPMOVSXWQZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16221 /* vpmovsxwq */, X86::VPMOVSXWQZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16221 /* vpmovsxwq */, X86::VPMOVSXWQZrmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16221 /* vpmovsxwq */, X86::VPMOVSXWQZ128rmkz, Convert__Reg1_1__Reg1_3__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16221 /* vpmovsxwq */, X86::VPMOVSXWQZ256rmkz, Convert__Reg1_1__Reg1_3__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16231 /* vpmovusdb */, X86::VPMOVUSDBZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 16231 /* vpmovusdb */, X86::VPMOVUSDBZ128mr, Convert__Mem325_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_Mem32 }, },
{ 16231 /* vpmovusdb */, X86::VPMOVUSDBZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X }, },
{ 16231 /* vpmovusdb */, X86::VPMOVUSDBZ256mr, Convert__Mem645_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_Mem64 }, },
{ 16231 /* vpmovusdb */, X86::VPMOVUSDBZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_FR16X }, },
{ 16231 /* vpmovusdb */, X86::VPMOVUSDBZmr, Convert__Mem1285_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_Mem128 }, },
{ 16231 /* vpmovusdb */, X86::VPMOVUSDBZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16231 /* vpmovusdb */, X86::VPMOVUSDBZ128mrk, Convert__Mem325_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_Mem32, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16231 /* vpmovusdb */, X86::VPMOVUSDBZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16231 /* vpmovusdb */, X86::VPMOVUSDBZ256mrk, Convert__Mem645_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_Mem64, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16231 /* vpmovusdb */, X86::VPMOVUSDBZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16231 /* vpmovusdb */, X86::VPMOVUSDBZmrk, Convert__Mem1285_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_Mem128, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16231 /* vpmovusdb */, X86::VPMOVUSDBZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16231 /* vpmovusdb */, X86::VPMOVUSDBZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16231 /* vpmovusdb */, X86::VPMOVUSDBZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16241 /* vpmovusdw */, X86::VPMOVUSDWZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 16241 /* vpmovusdw */, X86::VPMOVUSDWZ128mr, Convert__Mem645_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_Mem64 }, },
{ 16241 /* vpmovusdw */, X86::VPMOVUSDWZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X }, },
{ 16241 /* vpmovusdw */, X86::VPMOVUSDWZ256mr, Convert__Mem1285_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_Mem128 }, },
{ 16241 /* vpmovusdw */, X86::VPMOVUSDWZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR256X }, },
{ 16241 /* vpmovusdw */, X86::VPMOVUSDWZmr, Convert__Mem2565_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_Mem256 }, },
{ 16241 /* vpmovusdw */, X86::VPMOVUSDWZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16241 /* vpmovusdw */, X86::VPMOVUSDWZ128mrk, Convert__Mem645_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_Mem64, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16241 /* vpmovusdw */, X86::VPMOVUSDWZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16241 /* vpmovusdw */, X86::VPMOVUSDWZ256mrk, Convert__Mem1285_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_Mem128, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16241 /* vpmovusdw */, X86::VPMOVUSDWZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16241 /* vpmovusdw */, X86::VPMOVUSDWZmrk, Convert__Mem2565_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_Mem256, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16241 /* vpmovusdw */, X86::VPMOVUSDWZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16241 /* vpmovusdw */, X86::VPMOVUSDWZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16241 /* vpmovusdw */, X86::VPMOVUSDWZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16251 /* vpmovusqb */, X86::VPMOVUSQBZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 16251 /* vpmovusqb */, X86::VPMOVUSQBZ128mr, Convert__Mem165_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_Mem16 }, },
{ 16251 /* vpmovusqb */, X86::VPMOVUSQBZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X }, },
{ 16251 /* vpmovusqb */, X86::VPMOVUSQBZ256mr, Convert__Mem325_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_Mem32 }, },
{ 16251 /* vpmovusqb */, X86::VPMOVUSQBZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_FR16X }, },
{ 16251 /* vpmovusqb */, X86::VPMOVUSQBZmr, Convert__Mem645_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_Mem64 }, },
{ 16251 /* vpmovusqb */, X86::VPMOVUSQBZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16251 /* vpmovusqb */, X86::VPMOVUSQBZ128mrk, Convert__Mem165_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_Mem16, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16251 /* vpmovusqb */, X86::VPMOVUSQBZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16251 /* vpmovusqb */, X86::VPMOVUSQBZ256mrk, Convert__Mem325_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_Mem32, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16251 /* vpmovusqb */, X86::VPMOVUSQBZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16251 /* vpmovusqb */, X86::VPMOVUSQBZmrk, Convert__Mem645_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_Mem64, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16251 /* vpmovusqb */, X86::VPMOVUSQBZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16251 /* vpmovusqb */, X86::VPMOVUSQBZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16251 /* vpmovusqb */, X86::VPMOVUSQBZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16261 /* vpmovusqd */, X86::VPMOVUSQDZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 16261 /* vpmovusqd */, X86::VPMOVUSQDZ128mr, Convert__Mem645_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_Mem64 }, },
{ 16261 /* vpmovusqd */, X86::VPMOVUSQDZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X }, },
{ 16261 /* vpmovusqd */, X86::VPMOVUSQDZ256mr, Convert__Mem1285_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_Mem128 }, },
{ 16261 /* vpmovusqd */, X86::VPMOVUSQDZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR256X }, },
{ 16261 /* vpmovusqd */, X86::VPMOVUSQDZmr, Convert__Mem2565_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_Mem256 }, },
{ 16261 /* vpmovusqd */, X86::VPMOVUSQDZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16261 /* vpmovusqd */, X86::VPMOVUSQDZ128mrk, Convert__Mem645_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_Mem64, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16261 /* vpmovusqd */, X86::VPMOVUSQDZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16261 /* vpmovusqd */, X86::VPMOVUSQDZ256mrk, Convert__Mem1285_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_Mem128, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16261 /* vpmovusqd */, X86::VPMOVUSQDZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16261 /* vpmovusqd */, X86::VPMOVUSQDZmrk, Convert__Mem2565_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_Mem256, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16261 /* vpmovusqd */, X86::VPMOVUSQDZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16261 /* vpmovusqd */, X86::VPMOVUSQDZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16261 /* vpmovusqd */, X86::VPMOVUSQDZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16271 /* vpmovusqw */, X86::VPMOVUSQWZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 16271 /* vpmovusqw */, X86::VPMOVUSQWZ128mr, Convert__Mem325_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_Mem32 }, },
{ 16271 /* vpmovusqw */, X86::VPMOVUSQWZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X }, },
{ 16271 /* vpmovusqw */, X86::VPMOVUSQWZ256mr, Convert__Mem645_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_Mem64 }, },
{ 16271 /* vpmovusqw */, X86::VPMOVUSQWZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_FR16X }, },
{ 16271 /* vpmovusqw */, X86::VPMOVUSQWZmr, Convert__Mem1285_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_Mem128 }, },
{ 16271 /* vpmovusqw */, X86::VPMOVUSQWZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16271 /* vpmovusqw */, X86::VPMOVUSQWZ128mrk, Convert__Mem325_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_Mem32, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16271 /* vpmovusqw */, X86::VPMOVUSQWZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16271 /* vpmovusqw */, X86::VPMOVUSQWZ256mrk, Convert__Mem645_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_Mem64, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16271 /* vpmovusqw */, X86::VPMOVUSQWZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16271 /* vpmovusqw */, X86::VPMOVUSQWZmrk, Convert__Mem1285_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_Mem128, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16271 /* vpmovusqw */, X86::VPMOVUSQWZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16271 /* vpmovusqw */, X86::VPMOVUSQWZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16271 /* vpmovusqw */, X86::VPMOVUSQWZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16281 /* vpmovuswb */, X86::VPMOVUSWBZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 16281 /* vpmovuswb */, X86::VPMOVUSWBZ128mr, Convert__Mem645_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_Mem64 }, },
{ 16281 /* vpmovuswb */, X86::VPMOVUSWBZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X }, },
{ 16281 /* vpmovuswb */, X86::VPMOVUSWBZ256mr, Convert__Mem1285_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_Mem128 }, },
{ 16281 /* vpmovuswb */, X86::VPMOVUSWBZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR256X }, },
{ 16281 /* vpmovuswb */, X86::VPMOVUSWBZmr, Convert__Mem2565_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_Mem256 }, },
{ 16281 /* vpmovuswb */, X86::VPMOVUSWBZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16281 /* vpmovuswb */, X86::VPMOVUSWBZ128mrk, Convert__Mem645_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_Mem64, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16281 /* vpmovuswb */, X86::VPMOVUSWBZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16281 /* vpmovuswb */, X86::VPMOVUSWBZ256mrk, Convert__Mem1285_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_Mem128, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16281 /* vpmovuswb */, X86::VPMOVUSWBZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16281 /* vpmovuswb */, X86::VPMOVUSWBZmrk, Convert__Mem2565_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_Mem256, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16281 /* vpmovuswb */, X86::VPMOVUSWBZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16281 /* vpmovuswb */, X86::VPMOVUSWBZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16281 /* vpmovuswb */, X86::VPMOVUSWBZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16291 /* vpmovw2m */, X86::VPMOVW2MZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VK1 }, },
{ 16291 /* vpmovw2m */, X86::VPMOVW2MZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VK1 }, },
{ 16291 /* vpmovw2m */, X86::VPMOVW2MZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VK1 }, },
{ 16300 /* vpmovwb */, X86::VPMOVWBZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 16300 /* vpmovwb */, X86::VPMOVWBZ128mr, Convert__Mem645_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_Mem64 }, },
{ 16300 /* vpmovwb */, X86::VPMOVWBZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X }, },
{ 16300 /* vpmovwb */, X86::VPMOVWBZ256mr, Convert__Mem1285_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_Mem128 }, },
{ 16300 /* vpmovwb */, X86::VPMOVWBZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR256X }, },
{ 16300 /* vpmovwb */, X86::VPMOVWBZmr, Convert__Mem2565_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_Mem256 }, },
{ 16300 /* vpmovwb */, X86::VPMOVWBZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16300 /* vpmovwb */, X86::VPMOVWBZ128mrk, Convert__Mem645_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_Mem64, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16300 /* vpmovwb */, X86::VPMOVWBZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16300 /* vpmovwb */, X86::VPMOVWBZ256mrk, Convert__Mem1285_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_Mem128, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16300 /* vpmovwb */, X86::VPMOVWBZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16300 /* vpmovwb */, X86::VPMOVWBZmrk, Convert__Mem2565_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_Mem256, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16300 /* vpmovwb */, X86::VPMOVWBZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16300 /* vpmovwb */, X86::VPMOVWBZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16300 /* vpmovwb */, X86::VPMOVWBZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16308 /* vpmovzxbd */, X86::VPMOVZXBDrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 16308 /* vpmovzxbd */, X86::VPMOVZXBDYrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_VR256 }, },
{ 16308 /* vpmovzxbd */, X86::VPMOVZXBDZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 16308 /* vpmovzxbd */, X86::VPMOVZXBDZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X }, },
{ 16308 /* vpmovzxbd */, X86::VPMOVZXBDZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR512 }, },
{ 16308 /* vpmovzxbd */, X86::VPMOVZXBDZrm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR512 }, },
{ 16308 /* vpmovzxbd */, X86::VPMOVZXBDrm, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16 }, },
{ 16308 /* vpmovzxbd */, X86::VPMOVZXBDZ128rm, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X }, },
{ 16308 /* vpmovzxbd */, X86::VPMOVZXBDYrm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR256 }, },
{ 16308 /* vpmovzxbd */, X86::VPMOVZXBDZ256rm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR256X }, },
{ 16308 /* vpmovzxbd */, X86::VPMOVZXBDZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16308 /* vpmovzxbd */, X86::VPMOVZXBDZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16308 /* vpmovzxbd */, X86::VPMOVZXBDZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16308 /* vpmovzxbd */, X86::VPMOVZXBDZrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16308 /* vpmovzxbd */, X86::VPMOVZXBDZ128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16308 /* vpmovzxbd */, X86::VPMOVZXBDZ256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16308 /* vpmovzxbd */, X86::VPMOVZXBDZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16308 /* vpmovzxbd */, X86::VPMOVZXBDZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16308 /* vpmovzxbd */, X86::VPMOVZXBDZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16308 /* vpmovzxbd */, X86::VPMOVZXBDZrmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16308 /* vpmovzxbd */, X86::VPMOVZXBDZ128rmkz, Convert__Reg1_1__Reg1_3__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16308 /* vpmovzxbd */, X86::VPMOVZXBDZ256rmkz, Convert__Reg1_1__Reg1_3__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16318 /* vpmovzxbq */, X86::VPMOVZXBQrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 16318 /* vpmovzxbq */, X86::VPMOVZXBQYrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_VR256 }, },
{ 16318 /* vpmovzxbq */, X86::VPMOVZXBQZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 16318 /* vpmovzxbq */, X86::VPMOVZXBQZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X }, },
{ 16318 /* vpmovzxbq */, X86::VPMOVZXBQZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR512 }, },
{ 16318 /* vpmovzxbq */, X86::VPMOVZXBQrm, Convert__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16 }, },
{ 16318 /* vpmovzxbq */, X86::VPMOVZXBQZ128rm, Convert__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16X }, },
{ 16318 /* vpmovzxbq */, X86::VPMOVZXBQYrm, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_VR256 }, },
{ 16318 /* vpmovzxbq */, X86::VPMOVZXBQZ256rm, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_VR256X }, },
{ 16318 /* vpmovzxbq */, X86::VPMOVZXBQZrm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR512 }, },
{ 16318 /* vpmovzxbq */, X86::VPMOVZXBQZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16318 /* vpmovzxbq */, X86::VPMOVZXBQZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16318 /* vpmovzxbq */, X86::VPMOVZXBQZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16318 /* vpmovzxbq */, X86::VPMOVZXBQZ128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16318 /* vpmovzxbq */, X86::VPMOVZXBQZ256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16318 /* vpmovzxbq */, X86::VPMOVZXBQZrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16318 /* vpmovzxbq */, X86::VPMOVZXBQZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16318 /* vpmovzxbq */, X86::VPMOVZXBQZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16318 /* vpmovzxbq */, X86::VPMOVZXBQZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16318 /* vpmovzxbq */, X86::VPMOVZXBQZ128rmkz, Convert__Reg1_1__Reg1_3__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16318 /* vpmovzxbq */, X86::VPMOVZXBQZ256rmkz, Convert__Reg1_1__Reg1_3__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16318 /* vpmovzxbq */, X86::VPMOVZXBQZrmkz, Convert__Reg1_1__Reg1_3__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16328 /* vpmovzxbw */, X86::VPMOVZXBWrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 16328 /* vpmovzxbw */, X86::VPMOVZXBWYrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_VR256 }, },
{ 16328 /* vpmovzxbw */, X86::VPMOVZXBWZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 16328 /* vpmovzxbw */, X86::VPMOVZXBWZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X }, },
{ 16328 /* vpmovzxbw */, X86::VPMOVZXBWZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR512 }, },
{ 16328 /* vpmovzxbw */, X86::VPMOVZXBWYrm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256 }, },
{ 16328 /* vpmovzxbw */, X86::VPMOVZXBWZ256rm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256X }, },
{ 16328 /* vpmovzxbw */, X86::VPMOVZXBWZrm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR512 }, },
{ 16328 /* vpmovzxbw */, X86::VPMOVZXBWrm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16 }, },
{ 16328 /* vpmovzxbw */, X86::VPMOVZXBWZ128rm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X }, },
{ 16328 /* vpmovzxbw */, X86::VPMOVZXBWZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16328 /* vpmovzxbw */, X86::VPMOVZXBWZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16328 /* vpmovzxbw */, X86::VPMOVZXBWZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16328 /* vpmovzxbw */, X86::VPMOVZXBWZ256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16328 /* vpmovzxbw */, X86::VPMOVZXBWZrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16328 /* vpmovzxbw */, X86::VPMOVZXBWZ128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16328 /* vpmovzxbw */, X86::VPMOVZXBWZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16328 /* vpmovzxbw */, X86::VPMOVZXBWZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16328 /* vpmovzxbw */, X86::VPMOVZXBWZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16328 /* vpmovzxbw */, X86::VPMOVZXBWZ256rmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16328 /* vpmovzxbw */, X86::VPMOVZXBWZrmkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16328 /* vpmovzxbw */, X86::VPMOVZXBWZ128rmkz, Convert__Reg1_1__Reg1_3__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16338 /* vpmovzxdq */, X86::VPMOVZXDQrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 16338 /* vpmovzxdq */, X86::VPMOVZXDQYrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_VR256 }, },
{ 16338 /* vpmovzxdq */, X86::VPMOVZXDQZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 16338 /* vpmovzxdq */, X86::VPMOVZXDQZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X }, },
{ 16338 /* vpmovzxdq */, X86::VPMOVZXDQZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR512 }, },
{ 16338 /* vpmovzxdq */, X86::VPMOVZXDQYrm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256 }, },
{ 16338 /* vpmovzxdq */, X86::VPMOVZXDQZ256rm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256X }, },
{ 16338 /* vpmovzxdq */, X86::VPMOVZXDQZrm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR512 }, },
{ 16338 /* vpmovzxdq */, X86::VPMOVZXDQrm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16 }, },
{ 16338 /* vpmovzxdq */, X86::VPMOVZXDQZ128rm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X }, },
{ 16338 /* vpmovzxdq */, X86::VPMOVZXDQZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16338 /* vpmovzxdq */, X86::VPMOVZXDQZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16338 /* vpmovzxdq */, X86::VPMOVZXDQZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16338 /* vpmovzxdq */, X86::VPMOVZXDQZ256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16338 /* vpmovzxdq */, X86::VPMOVZXDQZrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16338 /* vpmovzxdq */, X86::VPMOVZXDQZ128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16338 /* vpmovzxdq */, X86::VPMOVZXDQZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16338 /* vpmovzxdq */, X86::VPMOVZXDQZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16338 /* vpmovzxdq */, X86::VPMOVZXDQZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16338 /* vpmovzxdq */, X86::VPMOVZXDQZ256rmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16338 /* vpmovzxdq */, X86::VPMOVZXDQZrmkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16338 /* vpmovzxdq */, X86::VPMOVZXDQZ128rmkz, Convert__Reg1_1__Reg1_3__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16348 /* vpmovzxwd */, X86::VPMOVZXWDrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 16348 /* vpmovzxwd */, X86::VPMOVZXWDYrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_VR256 }, },
{ 16348 /* vpmovzxwd */, X86::VPMOVZXWDZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 16348 /* vpmovzxwd */, X86::VPMOVZXWDZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X }, },
{ 16348 /* vpmovzxwd */, X86::VPMOVZXWDZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR512 }, },
{ 16348 /* vpmovzxwd */, X86::VPMOVZXWDYrm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256 }, },
{ 16348 /* vpmovzxwd */, X86::VPMOVZXWDZ256rm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256X }, },
{ 16348 /* vpmovzxwd */, X86::VPMOVZXWDZrm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR512 }, },
{ 16348 /* vpmovzxwd */, X86::VPMOVZXWDrm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16 }, },
{ 16348 /* vpmovzxwd */, X86::VPMOVZXWDZ128rm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X }, },
{ 16348 /* vpmovzxwd */, X86::VPMOVZXWDZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16348 /* vpmovzxwd */, X86::VPMOVZXWDZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16348 /* vpmovzxwd */, X86::VPMOVZXWDZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16348 /* vpmovzxwd */, X86::VPMOVZXWDZ256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16348 /* vpmovzxwd */, X86::VPMOVZXWDZrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16348 /* vpmovzxwd */, X86::VPMOVZXWDZ128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16348 /* vpmovzxwd */, X86::VPMOVZXWDZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16348 /* vpmovzxwd */, X86::VPMOVZXWDZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16348 /* vpmovzxwd */, X86::VPMOVZXWDZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16348 /* vpmovzxwd */, X86::VPMOVZXWDZ256rmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16348 /* vpmovzxwd */, X86::VPMOVZXWDZrmkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16348 /* vpmovzxwd */, X86::VPMOVZXWDZ128rmkz, Convert__Reg1_1__Reg1_3__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16358 /* vpmovzxwq */, X86::VPMOVZXWQrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 16358 /* vpmovzxwq */, X86::VPMOVZXWQYrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_VR256 }, },
{ 16358 /* vpmovzxwq */, X86::VPMOVZXWQZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 16358 /* vpmovzxwq */, X86::VPMOVZXWQZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X }, },
{ 16358 /* vpmovzxwq */, X86::VPMOVZXWQZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR512 }, },
{ 16358 /* vpmovzxwq */, X86::VPMOVZXWQZrm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR512 }, },
{ 16358 /* vpmovzxwq */, X86::VPMOVZXWQrm, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16 }, },
{ 16358 /* vpmovzxwq */, X86::VPMOVZXWQZ128rm, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X }, },
{ 16358 /* vpmovzxwq */, X86::VPMOVZXWQYrm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR256 }, },
{ 16358 /* vpmovzxwq */, X86::VPMOVZXWQZ256rm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR256X }, },
{ 16358 /* vpmovzxwq */, X86::VPMOVZXWQZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16358 /* vpmovzxwq */, X86::VPMOVZXWQZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16358 /* vpmovzxwq */, X86::VPMOVZXWQZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16358 /* vpmovzxwq */, X86::VPMOVZXWQZrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16358 /* vpmovzxwq */, X86::VPMOVZXWQZ128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16358 /* vpmovzxwq */, X86::VPMOVZXWQZ256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16358 /* vpmovzxwq */, X86::VPMOVZXWQZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16358 /* vpmovzxwq */, X86::VPMOVZXWQZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16358 /* vpmovzxwq */, X86::VPMOVZXWQZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16358 /* vpmovzxwq */, X86::VPMOVZXWQZrmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16358 /* vpmovzxwq */, X86::VPMOVZXWQZ128rmkz, Convert__Reg1_1__Reg1_3__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16358 /* vpmovzxwq */, X86::VPMOVZXWQZ256rmkz, Convert__Reg1_1__Reg1_3__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16368 /* vpmuldq */, X86::VPMULDQrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 16368 /* vpmuldq */, X86::VPMULDQYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 16368 /* vpmuldq */, X86::VPMULDQZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 16368 /* vpmuldq */, X86::VPMULDQZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 16368 /* vpmuldq */, X86::VPMULDQZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 16368 /* vpmuldq */, X86::VPMULDQrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 16368 /* vpmuldq */, X86::VPMULDQZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 16368 /* vpmuldq */, X86::VPMULDQYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 16368 /* vpmuldq */, X86::VPMULDQZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 16368 /* vpmuldq */, X86::VPMULDQZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 16368 /* vpmuldq */, X86::VPMULDQZ128rmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X }, },
{ 16368 /* vpmuldq */, X86::VPMULDQZ256rmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X }, },
{ 16368 /* vpmuldq */, X86::VPMULDQZrmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512 }, },
{ 16368 /* vpmuldq */, X86::VPMULDQZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16368 /* vpmuldq */, X86::VPMULDQZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16368 /* vpmuldq */, X86::VPMULDQZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16368 /* vpmuldq */, X86::VPMULDQZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16368 /* vpmuldq */, X86::VPMULDQZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16368 /* vpmuldq */, X86::VPMULDQZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16368 /* vpmuldq */, X86::VPMULDQZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16368 /* vpmuldq */, X86::VPMULDQZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16368 /* vpmuldq */, X86::VPMULDQZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16368 /* vpmuldq */, X86::VPMULDQZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16368 /* vpmuldq */, X86::VPMULDQZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16368 /* vpmuldq */, X86::VPMULDQZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16368 /* vpmuldq */, X86::VPMULDQZ128rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16368 /* vpmuldq */, X86::VPMULDQZ256rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16368 /* vpmuldq */, X86::VPMULDQZrmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16368 /* vpmuldq */, X86::VPMULDQZ128rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16368 /* vpmuldq */, X86::VPMULDQZ256rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16368 /* vpmuldq */, X86::VPMULDQZrmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16376 /* vpmulhrsw */, X86::VPMULHRSWrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 16376 /* vpmulhrsw */, X86::VPMULHRSWYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 16376 /* vpmulhrsw */, X86::VPMULHRSWZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 16376 /* vpmulhrsw */, X86::VPMULHRSWZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 16376 /* vpmulhrsw */, X86::VPMULHRSWZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 16376 /* vpmulhrsw */, X86::VPMULHRSWrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 16376 /* vpmulhrsw */, X86::VPMULHRSWZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 16376 /* vpmulhrsw */, X86::VPMULHRSWYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 16376 /* vpmulhrsw */, X86::VPMULHRSWZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 16376 /* vpmulhrsw */, X86::VPMULHRSWZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 16376 /* vpmulhrsw */, X86::VPMULHRSWZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16376 /* vpmulhrsw */, X86::VPMULHRSWZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16376 /* vpmulhrsw */, X86::VPMULHRSWZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16376 /* vpmulhrsw */, X86::VPMULHRSWZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16376 /* vpmulhrsw */, X86::VPMULHRSWZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16376 /* vpmulhrsw */, X86::VPMULHRSWZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16376 /* vpmulhrsw */, X86::VPMULHRSWZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16376 /* vpmulhrsw */, X86::VPMULHRSWZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16376 /* vpmulhrsw */, X86::VPMULHRSWZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16376 /* vpmulhrsw */, X86::VPMULHRSWZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16376 /* vpmulhrsw */, X86::VPMULHRSWZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16376 /* vpmulhrsw */, X86::VPMULHRSWZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16386 /* vpmulhuw */, X86::VPMULHUWrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 16386 /* vpmulhuw */, X86::VPMULHUWYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 16386 /* vpmulhuw */, X86::VPMULHUWZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 16386 /* vpmulhuw */, X86::VPMULHUWZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 16386 /* vpmulhuw */, X86::VPMULHUWZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 16386 /* vpmulhuw */, X86::VPMULHUWrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 16386 /* vpmulhuw */, X86::VPMULHUWZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 16386 /* vpmulhuw */, X86::VPMULHUWYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 16386 /* vpmulhuw */, X86::VPMULHUWZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 16386 /* vpmulhuw */, X86::VPMULHUWZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 16386 /* vpmulhuw */, X86::VPMULHUWZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16386 /* vpmulhuw */, X86::VPMULHUWZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16386 /* vpmulhuw */, X86::VPMULHUWZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16386 /* vpmulhuw */, X86::VPMULHUWZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16386 /* vpmulhuw */, X86::VPMULHUWZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16386 /* vpmulhuw */, X86::VPMULHUWZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16386 /* vpmulhuw */, X86::VPMULHUWZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16386 /* vpmulhuw */, X86::VPMULHUWZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16386 /* vpmulhuw */, X86::VPMULHUWZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16386 /* vpmulhuw */, X86::VPMULHUWZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16386 /* vpmulhuw */, X86::VPMULHUWZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16386 /* vpmulhuw */, X86::VPMULHUWZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16395 /* vpmulhw */, X86::VPMULHWrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 16395 /* vpmulhw */, X86::VPMULHWYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 16395 /* vpmulhw */, X86::VPMULHWZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 16395 /* vpmulhw */, X86::VPMULHWZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 16395 /* vpmulhw */, X86::VPMULHWZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 16395 /* vpmulhw */, X86::VPMULHWrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 16395 /* vpmulhw */, X86::VPMULHWZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 16395 /* vpmulhw */, X86::VPMULHWYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 16395 /* vpmulhw */, X86::VPMULHWZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 16395 /* vpmulhw */, X86::VPMULHWZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 16395 /* vpmulhw */, X86::VPMULHWZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16395 /* vpmulhw */, X86::VPMULHWZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16395 /* vpmulhw */, X86::VPMULHWZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16395 /* vpmulhw */, X86::VPMULHWZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16395 /* vpmulhw */, X86::VPMULHWZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16395 /* vpmulhw */, X86::VPMULHWZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16395 /* vpmulhw */, X86::VPMULHWZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16395 /* vpmulhw */, X86::VPMULHWZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16395 /* vpmulhw */, X86::VPMULHWZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16395 /* vpmulhw */, X86::VPMULHWZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16395 /* vpmulhw */, X86::VPMULHWZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16395 /* vpmulhw */, X86::VPMULHWZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16403 /* vpmulld */, X86::VPMULLDrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 16403 /* vpmulld */, X86::VPMULLDYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 16403 /* vpmulld */, X86::VPMULLDZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 16403 /* vpmulld */, X86::VPMULLDZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 16403 /* vpmulld */, X86::VPMULLDZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 16403 /* vpmulld */, X86::VPMULLDrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 16403 /* vpmulld */, X86::VPMULLDZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 16403 /* vpmulld */, X86::VPMULLDYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 16403 /* vpmulld */, X86::VPMULLDZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 16403 /* vpmulld */, X86::VPMULLDZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 16403 /* vpmulld */, X86::VPMULLDZrmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512 }, },
{ 16403 /* vpmulld */, X86::VPMULLDZ128rmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X }, },
{ 16403 /* vpmulld */, X86::VPMULLDZ256rmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X }, },
{ 16403 /* vpmulld */, X86::VPMULLDZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16403 /* vpmulld */, X86::VPMULLDZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16403 /* vpmulld */, X86::VPMULLDZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16403 /* vpmulld */, X86::VPMULLDZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16403 /* vpmulld */, X86::VPMULLDZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16403 /* vpmulld */, X86::VPMULLDZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16403 /* vpmulld */, X86::VPMULLDZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16403 /* vpmulld */, X86::VPMULLDZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16403 /* vpmulld */, X86::VPMULLDZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16403 /* vpmulld */, X86::VPMULLDZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16403 /* vpmulld */, X86::VPMULLDZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16403 /* vpmulld */, X86::VPMULLDZrmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16403 /* vpmulld */, X86::VPMULLDZ128rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16403 /* vpmulld */, X86::VPMULLDZ256rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16403 /* vpmulld */, X86::VPMULLDZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16403 /* vpmulld */, X86::VPMULLDZrmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16403 /* vpmulld */, X86::VPMULLDZ128rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16403 /* vpmulld */, X86::VPMULLDZ256rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16411 /* vpmullq */, X86::VPMULLQZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 16411 /* vpmullq */, X86::VPMULLQZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 16411 /* vpmullq */, X86::VPMULLQZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 16411 /* vpmullq */, X86::VPMULLQZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 16411 /* vpmullq */, X86::VPMULLQZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 16411 /* vpmullq */, X86::VPMULLQZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 16411 /* vpmullq */, X86::VPMULLQZ128rmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X }, },
{ 16411 /* vpmullq */, X86::VPMULLQZ256rmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X }, },
{ 16411 /* vpmullq */, X86::VPMULLQZrmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512 }, },
{ 16411 /* vpmullq */, X86::VPMULLQZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16411 /* vpmullq */, X86::VPMULLQZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16411 /* vpmullq */, X86::VPMULLQZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16411 /* vpmullq */, X86::VPMULLQZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16411 /* vpmullq */, X86::VPMULLQZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16411 /* vpmullq */, X86::VPMULLQZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16411 /* vpmullq */, X86::VPMULLQZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16411 /* vpmullq */, X86::VPMULLQZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16411 /* vpmullq */, X86::VPMULLQZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16411 /* vpmullq */, X86::VPMULLQZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16411 /* vpmullq */, X86::VPMULLQZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16411 /* vpmullq */, X86::VPMULLQZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16411 /* vpmullq */, X86::VPMULLQZ128rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16411 /* vpmullq */, X86::VPMULLQZ256rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16411 /* vpmullq */, X86::VPMULLQZrmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16411 /* vpmullq */, X86::VPMULLQZ128rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16411 /* vpmullq */, X86::VPMULLQZ256rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16411 /* vpmullq */, X86::VPMULLQZrmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16419 /* vpmullw */, X86::VPMULLWrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 16419 /* vpmullw */, X86::VPMULLWYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 16419 /* vpmullw */, X86::VPMULLWZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 16419 /* vpmullw */, X86::VPMULLWZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 16419 /* vpmullw */, X86::VPMULLWZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 16419 /* vpmullw */, X86::VPMULLWrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 16419 /* vpmullw */, X86::VPMULLWZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 16419 /* vpmullw */, X86::VPMULLWYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 16419 /* vpmullw */, X86::VPMULLWZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 16419 /* vpmullw */, X86::VPMULLWZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 16419 /* vpmullw */, X86::VPMULLWZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16419 /* vpmullw */, X86::VPMULLWZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16419 /* vpmullw */, X86::VPMULLWZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16419 /* vpmullw */, X86::VPMULLWZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16419 /* vpmullw */, X86::VPMULLWZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16419 /* vpmullw */, X86::VPMULLWZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16419 /* vpmullw */, X86::VPMULLWZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16419 /* vpmullw */, X86::VPMULLWZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16419 /* vpmullw */, X86::VPMULLWZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16419 /* vpmullw */, X86::VPMULLWZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16419 /* vpmullw */, X86::VPMULLWZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16419 /* vpmullw */, X86::VPMULLWZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16427 /* vpmultishiftqb */, X86::VPMULTISHIFTQBZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 16427 /* vpmultishiftqb */, X86::VPMULTISHIFTQBZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 16427 /* vpmultishiftqb */, X86::VPMULTISHIFTQBZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 16427 /* vpmultishiftqb */, X86::VPMULTISHIFTQBZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 16427 /* vpmultishiftqb */, X86::VPMULTISHIFTQBZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 16427 /* vpmultishiftqb */, X86::VPMULTISHIFTQBZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 16427 /* vpmultishiftqb */, X86::VPMULTISHIFTQBZ128rmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X }, },
{ 16427 /* vpmultishiftqb */, X86::VPMULTISHIFTQBZ256rmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X }, },
{ 16427 /* vpmultishiftqb */, X86::VPMULTISHIFTQBZrmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512 }, },
{ 16427 /* vpmultishiftqb */, X86::VPMULTISHIFTQBZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16427 /* vpmultishiftqb */, X86::VPMULTISHIFTQBZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16427 /* vpmultishiftqb */, X86::VPMULTISHIFTQBZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16427 /* vpmultishiftqb */, X86::VPMULTISHIFTQBZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16427 /* vpmultishiftqb */, X86::VPMULTISHIFTQBZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16427 /* vpmultishiftqb */, X86::VPMULTISHIFTQBZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16427 /* vpmultishiftqb */, X86::VPMULTISHIFTQBZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16427 /* vpmultishiftqb */, X86::VPMULTISHIFTQBZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16427 /* vpmultishiftqb */, X86::VPMULTISHIFTQBZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16427 /* vpmultishiftqb */, X86::VPMULTISHIFTQBZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16427 /* vpmultishiftqb */, X86::VPMULTISHIFTQBZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16427 /* vpmultishiftqb */, X86::VPMULTISHIFTQBZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16427 /* vpmultishiftqb */, X86::VPMULTISHIFTQBZ128rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16427 /* vpmultishiftqb */, X86::VPMULTISHIFTQBZ256rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16427 /* vpmultishiftqb */, X86::VPMULTISHIFTQBZrmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16427 /* vpmultishiftqb */, X86::VPMULTISHIFTQBZ128rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16427 /* vpmultishiftqb */, X86::VPMULTISHIFTQBZ256rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16427 /* vpmultishiftqb */, X86::VPMULTISHIFTQBZrmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16442 /* vpmuludq */, X86::VPMULUDQrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 16442 /* vpmuludq */, X86::VPMULUDQYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 16442 /* vpmuludq */, X86::VPMULUDQZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 16442 /* vpmuludq */, X86::VPMULUDQZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 16442 /* vpmuludq */, X86::VPMULUDQZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 16442 /* vpmuludq */, X86::VPMULUDQrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 16442 /* vpmuludq */, X86::VPMULUDQZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 16442 /* vpmuludq */, X86::VPMULUDQYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 16442 /* vpmuludq */, X86::VPMULUDQZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 16442 /* vpmuludq */, X86::VPMULUDQZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 16442 /* vpmuludq */, X86::VPMULUDQZ128rmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X }, },
{ 16442 /* vpmuludq */, X86::VPMULUDQZ256rmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X }, },
{ 16442 /* vpmuludq */, X86::VPMULUDQZrmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512 }, },
{ 16442 /* vpmuludq */, X86::VPMULUDQZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16442 /* vpmuludq */, X86::VPMULUDQZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16442 /* vpmuludq */, X86::VPMULUDQZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16442 /* vpmuludq */, X86::VPMULUDQZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16442 /* vpmuludq */, X86::VPMULUDQZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16442 /* vpmuludq */, X86::VPMULUDQZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16442 /* vpmuludq */, X86::VPMULUDQZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16442 /* vpmuludq */, X86::VPMULUDQZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16442 /* vpmuludq */, X86::VPMULUDQZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16442 /* vpmuludq */, X86::VPMULUDQZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16442 /* vpmuludq */, X86::VPMULUDQZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16442 /* vpmuludq */, X86::VPMULUDQZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16442 /* vpmuludq */, X86::VPMULUDQZ128rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16442 /* vpmuludq */, X86::VPMULUDQZ256rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16442 /* vpmuludq */, X86::VPMULUDQZrmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16442 /* vpmuludq */, X86::VPMULUDQZ128rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16442 /* vpmuludq */, X86::VPMULUDQZ256rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16442 /* vpmuludq */, X86::VPMULUDQZrmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16451 /* vpopcntb */, X86::VPOPCNTBZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 16451 /* vpopcntb */, X86::VPOPCNTBZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 16451 /* vpopcntb */, X86::VPOPCNTBZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 16451 /* vpopcntb */, X86::VPOPCNTBZ128rm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X }, },
{ 16451 /* vpopcntb */, X86::VPOPCNTBZ256rm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X }, },
{ 16451 /* vpopcntb */, X86::VPOPCNTBZrm, Convert__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512 }, },
{ 16451 /* vpopcntb */, X86::VPOPCNTBZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16451 /* vpopcntb */, X86::VPOPCNTBZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16451 /* vpopcntb */, X86::VPOPCNTBZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16451 /* vpopcntb */, X86::VPOPCNTBZ128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16451 /* vpopcntb */, X86::VPOPCNTBZ256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16451 /* vpopcntb */, X86::VPOPCNTBZrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16451 /* vpopcntb */, X86::VPOPCNTBZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16451 /* vpopcntb */, X86::VPOPCNTBZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16451 /* vpopcntb */, X86::VPOPCNTBZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16451 /* vpopcntb */, X86::VPOPCNTBZ128rmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16451 /* vpopcntb */, X86::VPOPCNTBZ256rmkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16451 /* vpopcntb */, X86::VPOPCNTBZrmkz, Convert__Reg1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16460 /* vpopcntd */, X86::VPOPCNTDZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 16460 /* vpopcntd */, X86::VPOPCNTDZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 16460 /* vpopcntd */, X86::VPOPCNTDZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 16460 /* vpopcntd */, X86::VPOPCNTDZ128rm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X }, },
{ 16460 /* vpopcntd */, X86::VPOPCNTDZ256rm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X }, },
{ 16460 /* vpopcntd */, X86::VPOPCNTDZrm, Convert__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512 }, },
{ 16460 /* vpopcntd */, X86::VPOPCNTDZrmb, Convert__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512 }, },
{ 16460 /* vpopcntd */, X86::VPOPCNTDZ128rmb, Convert__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X }, },
{ 16460 /* vpopcntd */, X86::VPOPCNTDZ256rmb, Convert__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X }, },
{ 16460 /* vpopcntd */, X86::VPOPCNTDZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16460 /* vpopcntd */, X86::VPOPCNTDZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16460 /* vpopcntd */, X86::VPOPCNTDZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16460 /* vpopcntd */, X86::VPOPCNTDZ128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16460 /* vpopcntd */, X86::VPOPCNTDZ256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16460 /* vpopcntd */, X86::VPOPCNTDZrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16460 /* vpopcntd */, X86::VPOPCNTDZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16460 /* vpopcntd */, X86::VPOPCNTDZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16460 /* vpopcntd */, X86::VPOPCNTDZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16460 /* vpopcntd */, X86::VPOPCNTDZ128rmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16460 /* vpopcntd */, X86::VPOPCNTDZ256rmkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16460 /* vpopcntd */, X86::VPOPCNTDZrmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16460 /* vpopcntd */, X86::VPOPCNTDZ128rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16460 /* vpopcntd */, X86::VPOPCNTDZ256rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16460 /* vpopcntd */, X86::VPOPCNTDZrmkz, Convert__Reg1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16460 /* vpopcntd */, X86::VPOPCNTDZrmbkz, Convert__Reg1_2__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16460 /* vpopcntd */, X86::VPOPCNTDZ128rmbkz, Convert__Reg1_2__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16460 /* vpopcntd */, X86::VPOPCNTDZ256rmbkz, Convert__Reg1_2__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16469 /* vpopcntq */, X86::VPOPCNTQZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 16469 /* vpopcntq */, X86::VPOPCNTQZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 16469 /* vpopcntq */, X86::VPOPCNTQZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 16469 /* vpopcntq */, X86::VPOPCNTQZ128rm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X }, },
{ 16469 /* vpopcntq */, X86::VPOPCNTQZ256rm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X }, },
{ 16469 /* vpopcntq */, X86::VPOPCNTQZrm, Convert__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512 }, },
{ 16469 /* vpopcntq */, X86::VPOPCNTQZ128rmb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X }, },
{ 16469 /* vpopcntq */, X86::VPOPCNTQZ256rmb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X }, },
{ 16469 /* vpopcntq */, X86::VPOPCNTQZrmb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512 }, },
{ 16469 /* vpopcntq */, X86::VPOPCNTQZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16469 /* vpopcntq */, X86::VPOPCNTQZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16469 /* vpopcntq */, X86::VPOPCNTQZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16469 /* vpopcntq */, X86::VPOPCNTQZ128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16469 /* vpopcntq */, X86::VPOPCNTQZ256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16469 /* vpopcntq */, X86::VPOPCNTQZrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16469 /* vpopcntq */, X86::VPOPCNTQZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16469 /* vpopcntq */, X86::VPOPCNTQZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16469 /* vpopcntq */, X86::VPOPCNTQZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16469 /* vpopcntq */, X86::VPOPCNTQZ128rmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16469 /* vpopcntq */, X86::VPOPCNTQZ256rmkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16469 /* vpopcntq */, X86::VPOPCNTQZrmkz, Convert__Reg1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16469 /* vpopcntq */, X86::VPOPCNTQZ128rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16469 /* vpopcntq */, X86::VPOPCNTQZ256rmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16469 /* vpopcntq */, X86::VPOPCNTQZrmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16469 /* vpopcntq */, X86::VPOPCNTQZ128rmbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16469 /* vpopcntq */, X86::VPOPCNTQZ256rmbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16469 /* vpopcntq */, X86::VPOPCNTQZrmbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16478 /* vpopcntw */, X86::VPOPCNTWZ128rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 16478 /* vpopcntw */, X86::VPOPCNTWZ256rr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 16478 /* vpopcntw */, X86::VPOPCNTWZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 16478 /* vpopcntw */, X86::VPOPCNTWZ128rm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X }, },
{ 16478 /* vpopcntw */, X86::VPOPCNTWZ256rm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X }, },
{ 16478 /* vpopcntw */, X86::VPOPCNTWZrm, Convert__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512 }, },
{ 16478 /* vpopcntw */, X86::VPOPCNTWZ128rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16478 /* vpopcntw */, X86::VPOPCNTWZ256rrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16478 /* vpopcntw */, X86::VPOPCNTWZrrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16478 /* vpopcntw */, X86::VPOPCNTWZ128rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16478 /* vpopcntw */, X86::VPOPCNTWZ256rmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16478 /* vpopcntw */, X86::VPOPCNTWZrmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16478 /* vpopcntw */, X86::VPOPCNTWZ128rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16478 /* vpopcntw */, X86::VPOPCNTWZ256rrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16478 /* vpopcntw */, X86::VPOPCNTWZrrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16478 /* vpopcntw */, X86::VPOPCNTWZ128rmkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16478 /* vpopcntw */, X86::VPOPCNTWZ256rmkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16478 /* vpopcntw */, X86::VPOPCNTWZrmkz, Convert__Reg1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16487 /* vpor */, X86::VPORrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 16487 /* vpor */, X86::VPORYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 16487 /* vpor */, X86::VPORrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 16487 /* vpor */, X86::VPORYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 16492 /* vpord */, X86::VPORDZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 16492 /* vpord */, X86::VPORDZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 16492 /* vpord */, X86::VPORDZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 16492 /* vpord */, X86::VPORDZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 16492 /* vpord */, X86::VPORDZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 16492 /* vpord */, X86::VPORDZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 16492 /* vpord */, X86::VPORDZrmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512 }, },
{ 16492 /* vpord */, X86::VPORDZ128rmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X }, },
{ 16492 /* vpord */, X86::VPORDZ256rmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X }, },
{ 16492 /* vpord */, X86::VPORDZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16492 /* vpord */, X86::VPORDZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16492 /* vpord */, X86::VPORDZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16492 /* vpord */, X86::VPORDZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16492 /* vpord */, X86::VPORDZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16492 /* vpord */, X86::VPORDZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16492 /* vpord */, X86::VPORDZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16492 /* vpord */, X86::VPORDZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16492 /* vpord */, X86::VPORDZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16492 /* vpord */, X86::VPORDZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16492 /* vpord */, X86::VPORDZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16492 /* vpord */, X86::VPORDZrmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16492 /* vpord */, X86::VPORDZ128rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16492 /* vpord */, X86::VPORDZ256rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16492 /* vpord */, X86::VPORDZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16492 /* vpord */, X86::VPORDZrmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16492 /* vpord */, X86::VPORDZ128rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16492 /* vpord */, X86::VPORDZ256rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16498 /* vporq */, X86::VPORQZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 16498 /* vporq */, X86::VPORQZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 16498 /* vporq */, X86::VPORQZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 16498 /* vporq */, X86::VPORQZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 16498 /* vporq */, X86::VPORQZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 16498 /* vporq */, X86::VPORQZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 16498 /* vporq */, X86::VPORQZ128rmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X }, },
{ 16498 /* vporq */, X86::VPORQZ256rmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X }, },
{ 16498 /* vporq */, X86::VPORQZrmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512 }, },
{ 16498 /* vporq */, X86::VPORQZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16498 /* vporq */, X86::VPORQZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16498 /* vporq */, X86::VPORQZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16498 /* vporq */, X86::VPORQZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16498 /* vporq */, X86::VPORQZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16498 /* vporq */, X86::VPORQZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16498 /* vporq */, X86::VPORQZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16498 /* vporq */, X86::VPORQZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16498 /* vporq */, X86::VPORQZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16498 /* vporq */, X86::VPORQZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16498 /* vporq */, X86::VPORQZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16498 /* vporq */, X86::VPORQZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16498 /* vporq */, X86::VPORQZ128rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16498 /* vporq */, X86::VPORQZ256rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16498 /* vporq */, X86::VPORQZrmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16498 /* vporq */, X86::VPORQZ128rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16498 /* vporq */, X86::VPORQZ256rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16498 /* vporq */, X86::VPORQZrmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16504 /* vpperm */, X86::VPPERMrrr, Convert__Reg1_3__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 16504 /* vpperm */, X86::VPPERMrmr, Convert__Reg1_3__Reg1_2__Mem1285_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 16504 /* vpperm */, X86::VPPERMrrm, Convert__Reg1_3__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 16511 /* vprold */, X86::VPROLDZ128ri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X }, },
{ 16511 /* vprold */, X86::VPROLDZ256ri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X }, },
{ 16511 /* vprold */, X86::VPROLDZri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512 }, },
{ 16511 /* vprold */, X86::VPROLDZ128mi, Convert__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X }, },
{ 16511 /* vprold */, X86::VPROLDZ256mi, Convert__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X }, },
{ 16511 /* vprold */, X86::VPROLDZmi, Convert__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512 }, },
{ 16511 /* vprold */, X86::VPROLDZmbi, Convert__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to16_125_, MCK_VR512 }, },
{ 16511 /* vprold */, X86::VPROLDZ128mbi, Convert__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X }, },
{ 16511 /* vprold */, X86::VPROLDZ256mbi, Convert__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X }, },
{ 16511 /* vprold */, X86::VPROLDZ128rik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16511 /* vprold */, X86::VPROLDZ256rik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16511 /* vprold */, X86::VPROLDZrik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16511 /* vprold */, X86::VPROLDZ128mik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16511 /* vprold */, X86::VPROLDZ256mik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16511 /* vprold */, X86::VPROLDZmik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16511 /* vprold */, X86::VPROLDZ128rikz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16511 /* vprold */, X86::VPROLDZ256rikz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16511 /* vprold */, X86::VPROLDZrikz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16511 /* vprold */, X86::VPROLDZ128mikz, Convert__Reg1_2__Reg1_4__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16511 /* vprold */, X86::VPROLDZ256mikz, Convert__Reg1_2__Reg1_4__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16511 /* vprold */, X86::VPROLDZmbik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16511 /* vprold */, X86::VPROLDZ128mbik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16511 /* vprold */, X86::VPROLDZ256mbik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16511 /* vprold */, X86::VPROLDZmikz, Convert__Reg1_2__Reg1_4__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16511 /* vprold */, X86::VPROLDZmbikz, Convert__Reg1_3__Reg1_5__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16511 /* vprold */, X86::VPROLDZ128mbikz, Convert__Reg1_3__Reg1_5__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16511 /* vprold */, X86::VPROLDZ256mbikz, Convert__Reg1_3__Reg1_5__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16518 /* vprolq */, X86::VPROLQZ128ri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X }, },
{ 16518 /* vprolq */, X86::VPROLQZ256ri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X }, },
{ 16518 /* vprolq */, X86::VPROLQZri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512 }, },
{ 16518 /* vprolq */, X86::VPROLQZ128mi, Convert__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X }, },
{ 16518 /* vprolq */, X86::VPROLQZ256mi, Convert__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X }, },
{ 16518 /* vprolq */, X86::VPROLQZmi, Convert__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512 }, },
{ 16518 /* vprolq */, X86::VPROLQZ128mbi, Convert__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X }, },
{ 16518 /* vprolq */, X86::VPROLQZ256mbi, Convert__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X }, },
{ 16518 /* vprolq */, X86::VPROLQZmbi, Convert__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to8_125_, MCK_VR512 }, },
{ 16518 /* vprolq */, X86::VPROLQZ128rik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16518 /* vprolq */, X86::VPROLQZ256rik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16518 /* vprolq */, X86::VPROLQZrik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16518 /* vprolq */, X86::VPROLQZ128mik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16518 /* vprolq */, X86::VPROLQZ256mik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16518 /* vprolq */, X86::VPROLQZmik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16518 /* vprolq */, X86::VPROLQZ128rikz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16518 /* vprolq */, X86::VPROLQZ256rikz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16518 /* vprolq */, X86::VPROLQZrikz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16518 /* vprolq */, X86::VPROLQZ128mikz, Convert__Reg1_2__Reg1_4__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16518 /* vprolq */, X86::VPROLQZ256mikz, Convert__Reg1_2__Reg1_4__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16518 /* vprolq */, X86::VPROLQZmikz, Convert__Reg1_2__Reg1_4__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16518 /* vprolq */, X86::VPROLQZ128mbik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16518 /* vprolq */, X86::VPROLQZ256mbik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16518 /* vprolq */, X86::VPROLQZmbik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16518 /* vprolq */, X86::VPROLQZ128mbikz, Convert__Reg1_3__Reg1_5__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16518 /* vprolq */, X86::VPROLQZ256mbikz, Convert__Reg1_3__Reg1_5__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16518 /* vprolq */, X86::VPROLQZmbikz, Convert__Reg1_3__Reg1_5__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16525 /* vprolvd */, X86::VPROLVDZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 16525 /* vprolvd */, X86::VPROLVDZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 16525 /* vprolvd */, X86::VPROLVDZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 16525 /* vprolvd */, X86::VPROLVDZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 16525 /* vprolvd */, X86::VPROLVDZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 16525 /* vprolvd */, X86::VPROLVDZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 16525 /* vprolvd */, X86::VPROLVDZrmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512 }, },
{ 16525 /* vprolvd */, X86::VPROLVDZ128rmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X }, },
{ 16525 /* vprolvd */, X86::VPROLVDZ256rmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X }, },
{ 16525 /* vprolvd */, X86::VPROLVDZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16525 /* vprolvd */, X86::VPROLVDZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16525 /* vprolvd */, X86::VPROLVDZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16525 /* vprolvd */, X86::VPROLVDZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16525 /* vprolvd */, X86::VPROLVDZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16525 /* vprolvd */, X86::VPROLVDZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16525 /* vprolvd */, X86::VPROLVDZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16525 /* vprolvd */, X86::VPROLVDZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16525 /* vprolvd */, X86::VPROLVDZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16525 /* vprolvd */, X86::VPROLVDZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16525 /* vprolvd */, X86::VPROLVDZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16525 /* vprolvd */, X86::VPROLVDZrmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16525 /* vprolvd */, X86::VPROLVDZ128rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16525 /* vprolvd */, X86::VPROLVDZ256rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16525 /* vprolvd */, X86::VPROLVDZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16525 /* vprolvd */, X86::VPROLVDZrmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16525 /* vprolvd */, X86::VPROLVDZ128rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16525 /* vprolvd */, X86::VPROLVDZ256rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16533 /* vprolvq */, X86::VPROLVQZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 16533 /* vprolvq */, X86::VPROLVQZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 16533 /* vprolvq */, X86::VPROLVQZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 16533 /* vprolvq */, X86::VPROLVQZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 16533 /* vprolvq */, X86::VPROLVQZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 16533 /* vprolvq */, X86::VPROLVQZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 16533 /* vprolvq */, X86::VPROLVQZ128rmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X }, },
{ 16533 /* vprolvq */, X86::VPROLVQZ256rmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X }, },
{ 16533 /* vprolvq */, X86::VPROLVQZrmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512 }, },
{ 16533 /* vprolvq */, X86::VPROLVQZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16533 /* vprolvq */, X86::VPROLVQZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16533 /* vprolvq */, X86::VPROLVQZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16533 /* vprolvq */, X86::VPROLVQZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16533 /* vprolvq */, X86::VPROLVQZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16533 /* vprolvq */, X86::VPROLVQZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16533 /* vprolvq */, X86::VPROLVQZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16533 /* vprolvq */, X86::VPROLVQZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16533 /* vprolvq */, X86::VPROLVQZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16533 /* vprolvq */, X86::VPROLVQZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16533 /* vprolvq */, X86::VPROLVQZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16533 /* vprolvq */, X86::VPROLVQZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16533 /* vprolvq */, X86::VPROLVQZ128rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16533 /* vprolvq */, X86::VPROLVQZ256rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16533 /* vprolvq */, X86::VPROLVQZrmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16533 /* vprolvq */, X86::VPROLVQZ128rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16533 /* vprolvq */, X86::VPROLVQZ256rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16533 /* vprolvq */, X86::VPROLVQZrmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16541 /* vprord */, X86::VPRORDZ128ri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X }, },
{ 16541 /* vprord */, X86::VPRORDZ256ri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X }, },
{ 16541 /* vprord */, X86::VPRORDZri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512 }, },
{ 16541 /* vprord */, X86::VPRORDZ128mi, Convert__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X }, },
{ 16541 /* vprord */, X86::VPRORDZ256mi, Convert__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X }, },
{ 16541 /* vprord */, X86::VPRORDZmi, Convert__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512 }, },
{ 16541 /* vprord */, X86::VPRORDZmbi, Convert__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to16_125_, MCK_VR512 }, },
{ 16541 /* vprord */, X86::VPRORDZ128mbi, Convert__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X }, },
{ 16541 /* vprord */, X86::VPRORDZ256mbi, Convert__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X }, },
{ 16541 /* vprord */, X86::VPRORDZ128rik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16541 /* vprord */, X86::VPRORDZ256rik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16541 /* vprord */, X86::VPRORDZrik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16541 /* vprord */, X86::VPRORDZ128mik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16541 /* vprord */, X86::VPRORDZ256mik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16541 /* vprord */, X86::VPRORDZmik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16541 /* vprord */, X86::VPRORDZ128rikz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16541 /* vprord */, X86::VPRORDZ256rikz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16541 /* vprord */, X86::VPRORDZrikz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16541 /* vprord */, X86::VPRORDZ128mikz, Convert__Reg1_2__Reg1_4__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16541 /* vprord */, X86::VPRORDZ256mikz, Convert__Reg1_2__Reg1_4__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16541 /* vprord */, X86::VPRORDZmbik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16541 /* vprord */, X86::VPRORDZ128mbik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16541 /* vprord */, X86::VPRORDZ256mbik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16541 /* vprord */, X86::VPRORDZmikz, Convert__Reg1_2__Reg1_4__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16541 /* vprord */, X86::VPRORDZmbikz, Convert__Reg1_3__Reg1_5__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16541 /* vprord */, X86::VPRORDZ128mbikz, Convert__Reg1_3__Reg1_5__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16541 /* vprord */, X86::VPRORDZ256mbikz, Convert__Reg1_3__Reg1_5__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16548 /* vprorq */, X86::VPRORQZ128ri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X }, },
{ 16548 /* vprorq */, X86::VPRORQZ256ri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X }, },
{ 16548 /* vprorq */, X86::VPRORQZri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512 }, },
{ 16548 /* vprorq */, X86::VPRORQZ128mi, Convert__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X }, },
{ 16548 /* vprorq */, X86::VPRORQZ256mi, Convert__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X }, },
{ 16548 /* vprorq */, X86::VPRORQZmi, Convert__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512 }, },
{ 16548 /* vprorq */, X86::VPRORQZ128mbi, Convert__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X }, },
{ 16548 /* vprorq */, X86::VPRORQZ256mbi, Convert__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X }, },
{ 16548 /* vprorq */, X86::VPRORQZmbi, Convert__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to8_125_, MCK_VR512 }, },
{ 16548 /* vprorq */, X86::VPRORQZ128rik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16548 /* vprorq */, X86::VPRORQZ256rik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16548 /* vprorq */, X86::VPRORQZrik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16548 /* vprorq */, X86::VPRORQZ128mik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16548 /* vprorq */, X86::VPRORQZ256mik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16548 /* vprorq */, X86::VPRORQZmik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16548 /* vprorq */, X86::VPRORQZ128rikz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16548 /* vprorq */, X86::VPRORQZ256rikz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16548 /* vprorq */, X86::VPRORQZrikz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16548 /* vprorq */, X86::VPRORQZ128mikz, Convert__Reg1_2__Reg1_4__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16548 /* vprorq */, X86::VPRORQZ256mikz, Convert__Reg1_2__Reg1_4__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16548 /* vprorq */, X86::VPRORQZmikz, Convert__Reg1_2__Reg1_4__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16548 /* vprorq */, X86::VPRORQZ128mbik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16548 /* vprorq */, X86::VPRORQZ256mbik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16548 /* vprorq */, X86::VPRORQZmbik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16548 /* vprorq */, X86::VPRORQZ128mbikz, Convert__Reg1_3__Reg1_5__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16548 /* vprorq */, X86::VPRORQZ256mbikz, Convert__Reg1_3__Reg1_5__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16548 /* vprorq */, X86::VPRORQZmbikz, Convert__Reg1_3__Reg1_5__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16555 /* vprorvd */, X86::VPRORVDZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 16555 /* vprorvd */, X86::VPRORVDZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 16555 /* vprorvd */, X86::VPRORVDZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 16555 /* vprorvd */, X86::VPRORVDZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 16555 /* vprorvd */, X86::VPRORVDZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 16555 /* vprorvd */, X86::VPRORVDZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 16555 /* vprorvd */, X86::VPRORVDZrmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512 }, },
{ 16555 /* vprorvd */, X86::VPRORVDZ128rmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X }, },
{ 16555 /* vprorvd */, X86::VPRORVDZ256rmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X }, },
{ 16555 /* vprorvd */, X86::VPRORVDZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16555 /* vprorvd */, X86::VPRORVDZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16555 /* vprorvd */, X86::VPRORVDZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16555 /* vprorvd */, X86::VPRORVDZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16555 /* vprorvd */, X86::VPRORVDZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16555 /* vprorvd */, X86::VPRORVDZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16555 /* vprorvd */, X86::VPRORVDZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16555 /* vprorvd */, X86::VPRORVDZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16555 /* vprorvd */, X86::VPRORVDZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16555 /* vprorvd */, X86::VPRORVDZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16555 /* vprorvd */, X86::VPRORVDZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16555 /* vprorvd */, X86::VPRORVDZrmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16555 /* vprorvd */, X86::VPRORVDZ128rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16555 /* vprorvd */, X86::VPRORVDZ256rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16555 /* vprorvd */, X86::VPRORVDZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16555 /* vprorvd */, X86::VPRORVDZrmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16555 /* vprorvd */, X86::VPRORVDZ128rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16555 /* vprorvd */, X86::VPRORVDZ256rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16563 /* vprorvq */, X86::VPRORVQZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 16563 /* vprorvq */, X86::VPRORVQZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 16563 /* vprorvq */, X86::VPRORVQZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 16563 /* vprorvq */, X86::VPRORVQZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 16563 /* vprorvq */, X86::VPRORVQZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 16563 /* vprorvq */, X86::VPRORVQZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 16563 /* vprorvq */, X86::VPRORVQZ128rmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X }, },
{ 16563 /* vprorvq */, X86::VPRORVQZ256rmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X }, },
{ 16563 /* vprorvq */, X86::VPRORVQZrmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512 }, },
{ 16563 /* vprorvq */, X86::VPRORVQZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16563 /* vprorvq */, X86::VPRORVQZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16563 /* vprorvq */, X86::VPRORVQZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16563 /* vprorvq */, X86::VPRORVQZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16563 /* vprorvq */, X86::VPRORVQZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16563 /* vprorvq */, X86::VPRORVQZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16563 /* vprorvq */, X86::VPRORVQZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16563 /* vprorvq */, X86::VPRORVQZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16563 /* vprorvq */, X86::VPRORVQZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16563 /* vprorvq */, X86::VPRORVQZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16563 /* vprorvq */, X86::VPRORVQZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16563 /* vprorvq */, X86::VPRORVQZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16563 /* vprorvq */, X86::VPRORVQZ128rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16563 /* vprorvq */, X86::VPRORVQZ256rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16563 /* vprorvq */, X86::VPRORVQZrmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16563 /* vprorvq */, X86::VPRORVQZ128rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16563 /* vprorvq */, X86::VPRORVQZ256rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16563 /* vprorvq */, X86::VPRORVQZrmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16571 /* vprotb */, X86::VPROTBrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 16571 /* vprotb */, X86::VPROTBmr, Convert__Reg1_2__Mem1285_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_FR16 }, },
{ 16571 /* vprotb */, X86::VPROTBri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_FR16 }, },
{ 16571 /* vprotb */, X86::VPROTBmi, Convert__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16 }, },
{ 16571 /* vprotb */, X86::VPROTBrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 16578 /* vprotd */, X86::VPROTDrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 16578 /* vprotd */, X86::VPROTDmr, Convert__Reg1_2__Mem1285_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_FR16 }, },
{ 16578 /* vprotd */, X86::VPROTDri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_FR16 }, },
{ 16578 /* vprotd */, X86::VPROTDmi, Convert__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16 }, },
{ 16578 /* vprotd */, X86::VPROTDrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 16585 /* vprotq */, X86::VPROTQrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 16585 /* vprotq */, X86::VPROTQmr, Convert__Reg1_2__Mem1285_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_FR16 }, },
{ 16585 /* vprotq */, X86::VPROTQri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_FR16 }, },
{ 16585 /* vprotq */, X86::VPROTQmi, Convert__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16 }, },
{ 16585 /* vprotq */, X86::VPROTQrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 16592 /* vprotw */, X86::VPROTWrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 16592 /* vprotw */, X86::VPROTWmr, Convert__Reg1_2__Mem1285_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_FR16 }, },
{ 16592 /* vprotw */, X86::VPROTWri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_FR16 }, },
{ 16592 /* vprotw */, X86::VPROTWmi, Convert__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16 }, },
{ 16592 /* vprotw */, X86::VPROTWrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 16599 /* vpsadbw */, X86::VPSADBWrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 16599 /* vpsadbw */, X86::VPSADBWYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 16599 /* vpsadbw */, X86::VPSADBWZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 16599 /* vpsadbw */, X86::VPSADBWZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 16599 /* vpsadbw */, X86::VPSADBWZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 16599 /* vpsadbw */, X86::VPSADBWrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 16599 /* vpsadbw */, X86::VPSADBWZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 16599 /* vpsadbw */, X86::VPSADBWYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 16599 /* vpsadbw */, X86::VPSADBWZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 16599 /* vpsadbw */, X86::VPSADBWZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 16607 /* vpscatterdd */, X86::VPSCATTERDDZ128mr, Convert__Reg1_3__Mem128_RC128X5_1__Tie0_4_4__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_Mem128_RC128X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16607 /* vpscatterdd */, X86::VPSCATTERDDZ256mr, Convert__Reg1_3__Mem256_RC256X5_1__Tie0_4_4__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_Mem256_RC256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16607 /* vpscatterdd */, X86::VPSCATTERDDZmr, Convert__Reg1_3__Mem512_RC5125_1__Tie0_4_4__Reg1_0, AMFBS_None, { MCK_VR512, MCK_Mem512_RC512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16619 /* vpscatterdq */, X86::VPSCATTERDQZ128mr, Convert__Reg1_3__Mem128_RC128X5_1__Tie0_4_4__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_Mem128_RC128X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16619 /* vpscatterdq */, X86::VPSCATTERDQZ256mr, Convert__Reg1_3__Mem256_RC128X5_1__Tie0_4_4__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_Mem256_RC128X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16619 /* vpscatterdq */, X86::VPSCATTERDQZmr, Convert__Reg1_3__Mem512_RC256X5_1__Tie0_4_4__Reg1_0, AMFBS_None, { MCK_VR512, MCK_Mem512_RC256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16631 /* vpscatterqd */, X86::VPSCATTERQDZ256mr, Convert__Reg1_3__Mem128_RC256X5_1__Tie0_4_4__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_Mem128_RC256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16631 /* vpscatterqd */, X86::VPSCATTERQDZ128mr, Convert__Reg1_3__Mem64_RC128X5_1__Tie0_4_4__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_Mem64_RC128X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16631 /* vpscatterqd */, X86::VPSCATTERQDZmr, Convert__Reg1_3__Mem256_RC5125_1__Tie0_4_4__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_Mem256_RC512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16643 /* vpscatterqq */, X86::VPSCATTERQQZ128mr, Convert__Reg1_3__Mem128_RC128X5_1__Tie0_4_4__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_Mem128_RC128X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16643 /* vpscatterqq */, X86::VPSCATTERQQZ256mr, Convert__Reg1_3__Mem256_RC256X5_1__Tie0_4_4__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_Mem256_RC256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16643 /* vpscatterqq */, X86::VPSCATTERQQZmr, Convert__Reg1_3__Mem512_RC5125_1__Tie0_4_4__Reg1_0, AMFBS_None, { MCK_VR512, MCK_Mem512_RC512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16655 /* vpshab */, X86::VPSHABrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 16655 /* vpshab */, X86::VPSHABmr, Convert__Reg1_2__Mem1285_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_FR16 }, },
{ 16655 /* vpshab */, X86::VPSHABrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 16662 /* vpshad */, X86::VPSHADrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 16662 /* vpshad */, X86::VPSHADmr, Convert__Reg1_2__Mem1285_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_FR16 }, },
{ 16662 /* vpshad */, X86::VPSHADrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 16669 /* vpshaq */, X86::VPSHAQrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 16669 /* vpshaq */, X86::VPSHAQmr, Convert__Reg1_2__Mem1285_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_FR16 }, },
{ 16669 /* vpshaq */, X86::VPSHAQrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 16676 /* vpshaw */, X86::VPSHAWrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 16676 /* vpshaw */, X86::VPSHAWmr, Convert__Reg1_2__Mem1285_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_FR16 }, },
{ 16676 /* vpshaw */, X86::VPSHAWrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 16683 /* vpshlb */, X86::VPSHLBrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 16683 /* vpshlb */, X86::VPSHLBmr, Convert__Reg1_2__Mem1285_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_FR16 }, },
{ 16683 /* vpshlb */, X86::VPSHLBrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 16690 /* vpshld */, X86::VPSHLDrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 16690 /* vpshld */, X86::VPSHLDmr, Convert__Reg1_2__Mem1285_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_FR16 }, },
{ 16690 /* vpshld */, X86::VPSHLDrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 16697 /* vpshldd */, X86::VPSHLDDZ128rri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 16697 /* vpshldd */, X86::VPSHLDDZ256rri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 16697 /* vpshldd */, X86::VPSHLDDZrri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 16697 /* vpshldd */, X86::VPSHLDDZ128rmi, Convert__Reg1_3__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 16697 /* vpshldd */, X86::VPSHLDDZ256rmi, Convert__Reg1_3__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 16697 /* vpshldd */, X86::VPSHLDDZrmi, Convert__Reg1_3__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 16697 /* vpshldd */, X86::VPSHLDDZrmbi, Convert__Reg1_4__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512 }, },
{ 16697 /* vpshldd */, X86::VPSHLDDZ128rmbi, Convert__Reg1_4__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X }, },
{ 16697 /* vpshldd */, X86::VPSHLDDZ256rmbi, Convert__Reg1_4__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X }, },
{ 16697 /* vpshldd */, X86::VPSHLDDZ128rrik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16697 /* vpshldd */, X86::VPSHLDDZ256rrik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16697 /* vpshldd */, X86::VPSHLDDZrrik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16697 /* vpshldd */, X86::VPSHLDDZ128rmik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16697 /* vpshldd */, X86::VPSHLDDZ256rmik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16697 /* vpshldd */, X86::VPSHLDDZrmik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16697 /* vpshldd */, X86::VPSHLDDZ128rrikz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16697 /* vpshldd */, X86::VPSHLDDZ256rrikz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16697 /* vpshldd */, X86::VPSHLDDZrrikz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16697 /* vpshldd */, X86::VPSHLDDZ128rmikz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16697 /* vpshldd */, X86::VPSHLDDZ256rmikz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16697 /* vpshldd */, X86::VPSHLDDZrmbik, Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16697 /* vpshldd */, X86::VPSHLDDZ128rmbik, Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16697 /* vpshldd */, X86::VPSHLDDZ256rmbik, Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16697 /* vpshldd */, X86::VPSHLDDZrmikz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16697 /* vpshldd */, X86::VPSHLDDZrmbikz, Convert__Reg1_4__Reg1_6__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16697 /* vpshldd */, X86::VPSHLDDZ128rmbikz, Convert__Reg1_4__Reg1_6__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16697 /* vpshldd */, X86::VPSHLDDZ256rmbikz, Convert__Reg1_4__Reg1_6__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16705 /* vpshldq */, X86::VPSHLDQZ128rri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 16705 /* vpshldq */, X86::VPSHLDQZ256rri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 16705 /* vpshldq */, X86::VPSHLDQZrri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 16705 /* vpshldq */, X86::VPSHLDQZ128rmi, Convert__Reg1_3__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 16705 /* vpshldq */, X86::VPSHLDQZ256rmi, Convert__Reg1_3__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 16705 /* vpshldq */, X86::VPSHLDQZrmi, Convert__Reg1_3__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 16705 /* vpshldq */, X86::VPSHLDQZ128rmbi, Convert__Reg1_4__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X }, },
{ 16705 /* vpshldq */, X86::VPSHLDQZ256rmbi, Convert__Reg1_4__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X }, },
{ 16705 /* vpshldq */, X86::VPSHLDQZrmbi, Convert__Reg1_4__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512 }, },
{ 16705 /* vpshldq */, X86::VPSHLDQZ128rrik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16705 /* vpshldq */, X86::VPSHLDQZ256rrik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16705 /* vpshldq */, X86::VPSHLDQZrrik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16705 /* vpshldq */, X86::VPSHLDQZ128rmik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16705 /* vpshldq */, X86::VPSHLDQZ256rmik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16705 /* vpshldq */, X86::VPSHLDQZrmik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16705 /* vpshldq */, X86::VPSHLDQZ128rrikz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16705 /* vpshldq */, X86::VPSHLDQZ256rrikz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16705 /* vpshldq */, X86::VPSHLDQZrrikz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16705 /* vpshldq */, X86::VPSHLDQZ128rmikz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16705 /* vpshldq */, X86::VPSHLDQZ256rmikz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16705 /* vpshldq */, X86::VPSHLDQZrmikz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16705 /* vpshldq */, X86::VPSHLDQZ128rmbik, Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16705 /* vpshldq */, X86::VPSHLDQZ256rmbik, Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16705 /* vpshldq */, X86::VPSHLDQZrmbik, Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16705 /* vpshldq */, X86::VPSHLDQZ128rmbikz, Convert__Reg1_4__Reg1_6__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16705 /* vpshldq */, X86::VPSHLDQZ256rmbikz, Convert__Reg1_4__Reg1_6__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16705 /* vpshldq */, X86::VPSHLDQZrmbikz, Convert__Reg1_4__Reg1_6__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16713 /* vpshldvd */, X86::VPSHLDVDZ128r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 16713 /* vpshldvd */, X86::VPSHLDVDZ256r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 16713 /* vpshldvd */, X86::VPSHLDVDZr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 16713 /* vpshldvd */, X86::VPSHLDVDZ128m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 16713 /* vpshldvd */, X86::VPSHLDVDZ256m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 16713 /* vpshldvd */, X86::VPSHLDVDZm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 16713 /* vpshldvd */, X86::VPSHLDVDZmb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512 }, },
{ 16713 /* vpshldvd */, X86::VPSHLDVDZ128mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X }, },
{ 16713 /* vpshldvd */, X86::VPSHLDVDZ256mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X }, },
{ 16713 /* vpshldvd */, X86::VPSHLDVDZ128rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16713 /* vpshldvd */, X86::VPSHLDVDZ256rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16713 /* vpshldvd */, X86::VPSHLDVDZrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16713 /* vpshldvd */, X86::VPSHLDVDZ128mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16713 /* vpshldvd */, X86::VPSHLDVDZ256mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16713 /* vpshldvd */, X86::VPSHLDVDZmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16713 /* vpshldvd */, X86::VPSHLDVDZ128rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16713 /* vpshldvd */, X86::VPSHLDVDZ256rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16713 /* vpshldvd */, X86::VPSHLDVDZrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16713 /* vpshldvd */, X86::VPSHLDVDZ128mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16713 /* vpshldvd */, X86::VPSHLDVDZ256mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16713 /* vpshldvd */, X86::VPSHLDVDZmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16713 /* vpshldvd */, X86::VPSHLDVDZ128mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16713 /* vpshldvd */, X86::VPSHLDVDZ256mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16713 /* vpshldvd */, X86::VPSHLDVDZmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16713 /* vpshldvd */, X86::VPSHLDVDZmbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16713 /* vpshldvd */, X86::VPSHLDVDZ128mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16713 /* vpshldvd */, X86::VPSHLDVDZ256mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16722 /* vpshldvq */, X86::VPSHLDVQZ128r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 16722 /* vpshldvq */, X86::VPSHLDVQZ256r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 16722 /* vpshldvq */, X86::VPSHLDVQZr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 16722 /* vpshldvq */, X86::VPSHLDVQZ128m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 16722 /* vpshldvq */, X86::VPSHLDVQZ256m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 16722 /* vpshldvq */, X86::VPSHLDVQZm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 16722 /* vpshldvq */, X86::VPSHLDVQZ128mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X }, },
{ 16722 /* vpshldvq */, X86::VPSHLDVQZ256mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X }, },
{ 16722 /* vpshldvq */, X86::VPSHLDVQZmb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512 }, },
{ 16722 /* vpshldvq */, X86::VPSHLDVQZ128rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16722 /* vpshldvq */, X86::VPSHLDVQZ256rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16722 /* vpshldvq */, X86::VPSHLDVQZrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16722 /* vpshldvq */, X86::VPSHLDVQZ128mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16722 /* vpshldvq */, X86::VPSHLDVQZ256mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16722 /* vpshldvq */, X86::VPSHLDVQZmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16722 /* vpshldvq */, X86::VPSHLDVQZ128rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16722 /* vpshldvq */, X86::VPSHLDVQZ256rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16722 /* vpshldvq */, X86::VPSHLDVQZrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16722 /* vpshldvq */, X86::VPSHLDVQZ128mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16722 /* vpshldvq */, X86::VPSHLDVQZ256mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16722 /* vpshldvq */, X86::VPSHLDVQZmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16722 /* vpshldvq */, X86::VPSHLDVQZ128mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16722 /* vpshldvq */, X86::VPSHLDVQZ256mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16722 /* vpshldvq */, X86::VPSHLDVQZmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16722 /* vpshldvq */, X86::VPSHLDVQZ128mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16722 /* vpshldvq */, X86::VPSHLDVQZ256mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16722 /* vpshldvq */, X86::VPSHLDVQZmbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16731 /* vpshldvw */, X86::VPSHLDVWZ128r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 16731 /* vpshldvw */, X86::VPSHLDVWZ256r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 16731 /* vpshldvw */, X86::VPSHLDVWZr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 16731 /* vpshldvw */, X86::VPSHLDVWZ128m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 16731 /* vpshldvw */, X86::VPSHLDVWZ256m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 16731 /* vpshldvw */, X86::VPSHLDVWZm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 16731 /* vpshldvw */, X86::VPSHLDVWZ128rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16731 /* vpshldvw */, X86::VPSHLDVWZ256rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16731 /* vpshldvw */, X86::VPSHLDVWZrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16731 /* vpshldvw */, X86::VPSHLDVWZ128mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16731 /* vpshldvw */, X86::VPSHLDVWZ256mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16731 /* vpshldvw */, X86::VPSHLDVWZmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16731 /* vpshldvw */, X86::VPSHLDVWZ128rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16731 /* vpshldvw */, X86::VPSHLDVWZ256rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16731 /* vpshldvw */, X86::VPSHLDVWZrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16731 /* vpshldvw */, X86::VPSHLDVWZ128mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16731 /* vpshldvw */, X86::VPSHLDVWZ256mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16731 /* vpshldvw */, X86::VPSHLDVWZmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16740 /* vpshldw */, X86::VPSHLDWZ128rri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 16740 /* vpshldw */, X86::VPSHLDWZ256rri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 16740 /* vpshldw */, X86::VPSHLDWZrri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 16740 /* vpshldw */, X86::VPSHLDWZ128rmi, Convert__Reg1_3__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 16740 /* vpshldw */, X86::VPSHLDWZ256rmi, Convert__Reg1_3__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 16740 /* vpshldw */, X86::VPSHLDWZrmi, Convert__Reg1_3__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 16740 /* vpshldw */, X86::VPSHLDWZ128rrik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16740 /* vpshldw */, X86::VPSHLDWZ256rrik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16740 /* vpshldw */, X86::VPSHLDWZrrik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16740 /* vpshldw */, X86::VPSHLDWZ128rmik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16740 /* vpshldw */, X86::VPSHLDWZ256rmik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16740 /* vpshldw */, X86::VPSHLDWZrmik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16740 /* vpshldw */, X86::VPSHLDWZ128rrikz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16740 /* vpshldw */, X86::VPSHLDWZ256rrikz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16740 /* vpshldw */, X86::VPSHLDWZrrikz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16740 /* vpshldw */, X86::VPSHLDWZ128rmikz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16740 /* vpshldw */, X86::VPSHLDWZ256rmikz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16740 /* vpshldw */, X86::VPSHLDWZrmikz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16748 /* vpshlq */, X86::VPSHLQrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 16748 /* vpshlq */, X86::VPSHLQmr, Convert__Reg1_2__Mem1285_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_FR16 }, },
{ 16748 /* vpshlq */, X86::VPSHLQrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 16755 /* vpshlw */, X86::VPSHLWrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 16755 /* vpshlw */, X86::VPSHLWmr, Convert__Reg1_2__Mem1285_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_FR16 }, },
{ 16755 /* vpshlw */, X86::VPSHLWrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 16762 /* vpshrdd */, X86::VPSHRDDZ128rri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 16762 /* vpshrdd */, X86::VPSHRDDZ256rri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 16762 /* vpshrdd */, X86::VPSHRDDZrri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 16762 /* vpshrdd */, X86::VPSHRDDZ128rmi, Convert__Reg1_3__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 16762 /* vpshrdd */, X86::VPSHRDDZ256rmi, Convert__Reg1_3__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 16762 /* vpshrdd */, X86::VPSHRDDZrmi, Convert__Reg1_3__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 16762 /* vpshrdd */, X86::VPSHRDDZrmbi, Convert__Reg1_4__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512 }, },
{ 16762 /* vpshrdd */, X86::VPSHRDDZ128rmbi, Convert__Reg1_4__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X }, },
{ 16762 /* vpshrdd */, X86::VPSHRDDZ256rmbi, Convert__Reg1_4__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X }, },
{ 16762 /* vpshrdd */, X86::VPSHRDDZ128rrik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16762 /* vpshrdd */, X86::VPSHRDDZ256rrik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16762 /* vpshrdd */, X86::VPSHRDDZrrik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16762 /* vpshrdd */, X86::VPSHRDDZ128rmik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16762 /* vpshrdd */, X86::VPSHRDDZ256rmik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16762 /* vpshrdd */, X86::VPSHRDDZrmik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16762 /* vpshrdd */, X86::VPSHRDDZ128rrikz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16762 /* vpshrdd */, X86::VPSHRDDZ256rrikz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16762 /* vpshrdd */, X86::VPSHRDDZrrikz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16762 /* vpshrdd */, X86::VPSHRDDZ128rmikz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16762 /* vpshrdd */, X86::VPSHRDDZ256rmikz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16762 /* vpshrdd */, X86::VPSHRDDZrmbik, Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16762 /* vpshrdd */, X86::VPSHRDDZ128rmbik, Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16762 /* vpshrdd */, X86::VPSHRDDZ256rmbik, Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16762 /* vpshrdd */, X86::VPSHRDDZrmikz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16762 /* vpshrdd */, X86::VPSHRDDZrmbikz, Convert__Reg1_4__Reg1_6__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16762 /* vpshrdd */, X86::VPSHRDDZ128rmbikz, Convert__Reg1_4__Reg1_6__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16762 /* vpshrdd */, X86::VPSHRDDZ256rmbikz, Convert__Reg1_4__Reg1_6__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16770 /* vpshrdq */, X86::VPSHRDQZ128rri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 16770 /* vpshrdq */, X86::VPSHRDQZ256rri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 16770 /* vpshrdq */, X86::VPSHRDQZrri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 16770 /* vpshrdq */, X86::VPSHRDQZ128rmi, Convert__Reg1_3__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 16770 /* vpshrdq */, X86::VPSHRDQZ256rmi, Convert__Reg1_3__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 16770 /* vpshrdq */, X86::VPSHRDQZrmi, Convert__Reg1_3__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 16770 /* vpshrdq */, X86::VPSHRDQZ128rmbi, Convert__Reg1_4__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X }, },
{ 16770 /* vpshrdq */, X86::VPSHRDQZ256rmbi, Convert__Reg1_4__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X }, },
{ 16770 /* vpshrdq */, X86::VPSHRDQZrmbi, Convert__Reg1_4__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512 }, },
{ 16770 /* vpshrdq */, X86::VPSHRDQZ128rrik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16770 /* vpshrdq */, X86::VPSHRDQZ256rrik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16770 /* vpshrdq */, X86::VPSHRDQZrrik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16770 /* vpshrdq */, X86::VPSHRDQZ128rmik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16770 /* vpshrdq */, X86::VPSHRDQZ256rmik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16770 /* vpshrdq */, X86::VPSHRDQZrmik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16770 /* vpshrdq */, X86::VPSHRDQZ128rrikz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16770 /* vpshrdq */, X86::VPSHRDQZ256rrikz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16770 /* vpshrdq */, X86::VPSHRDQZrrikz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16770 /* vpshrdq */, X86::VPSHRDQZ128rmikz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16770 /* vpshrdq */, X86::VPSHRDQZ256rmikz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16770 /* vpshrdq */, X86::VPSHRDQZrmikz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16770 /* vpshrdq */, X86::VPSHRDQZ128rmbik, Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16770 /* vpshrdq */, X86::VPSHRDQZ256rmbik, Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16770 /* vpshrdq */, X86::VPSHRDQZrmbik, Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16770 /* vpshrdq */, X86::VPSHRDQZ128rmbikz, Convert__Reg1_4__Reg1_6__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16770 /* vpshrdq */, X86::VPSHRDQZ256rmbikz, Convert__Reg1_4__Reg1_6__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16770 /* vpshrdq */, X86::VPSHRDQZrmbikz, Convert__Reg1_4__Reg1_6__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16778 /* vpshrdvd */, X86::VPSHRDVDZ128r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 16778 /* vpshrdvd */, X86::VPSHRDVDZ256r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 16778 /* vpshrdvd */, X86::VPSHRDVDZr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 16778 /* vpshrdvd */, X86::VPSHRDVDZ128m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 16778 /* vpshrdvd */, X86::VPSHRDVDZ256m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 16778 /* vpshrdvd */, X86::VPSHRDVDZm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 16778 /* vpshrdvd */, X86::VPSHRDVDZmb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512 }, },
{ 16778 /* vpshrdvd */, X86::VPSHRDVDZ128mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X }, },
{ 16778 /* vpshrdvd */, X86::VPSHRDVDZ256mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X }, },
{ 16778 /* vpshrdvd */, X86::VPSHRDVDZ128rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16778 /* vpshrdvd */, X86::VPSHRDVDZ256rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16778 /* vpshrdvd */, X86::VPSHRDVDZrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16778 /* vpshrdvd */, X86::VPSHRDVDZ128mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16778 /* vpshrdvd */, X86::VPSHRDVDZ256mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16778 /* vpshrdvd */, X86::VPSHRDVDZmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16778 /* vpshrdvd */, X86::VPSHRDVDZ128rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16778 /* vpshrdvd */, X86::VPSHRDVDZ256rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16778 /* vpshrdvd */, X86::VPSHRDVDZrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16778 /* vpshrdvd */, X86::VPSHRDVDZ128mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16778 /* vpshrdvd */, X86::VPSHRDVDZ256mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16778 /* vpshrdvd */, X86::VPSHRDVDZmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16778 /* vpshrdvd */, X86::VPSHRDVDZ128mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16778 /* vpshrdvd */, X86::VPSHRDVDZ256mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16778 /* vpshrdvd */, X86::VPSHRDVDZmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16778 /* vpshrdvd */, X86::VPSHRDVDZmbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16778 /* vpshrdvd */, X86::VPSHRDVDZ128mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16778 /* vpshrdvd */, X86::VPSHRDVDZ256mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16787 /* vpshrdvq */, X86::VPSHRDVQZ128r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 16787 /* vpshrdvq */, X86::VPSHRDVQZ256r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 16787 /* vpshrdvq */, X86::VPSHRDVQZr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 16787 /* vpshrdvq */, X86::VPSHRDVQZ128m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 16787 /* vpshrdvq */, X86::VPSHRDVQZ256m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 16787 /* vpshrdvq */, X86::VPSHRDVQZm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 16787 /* vpshrdvq */, X86::VPSHRDVQZ128mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X }, },
{ 16787 /* vpshrdvq */, X86::VPSHRDVQZ256mb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X }, },
{ 16787 /* vpshrdvq */, X86::VPSHRDVQZmb, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512 }, },
{ 16787 /* vpshrdvq */, X86::VPSHRDVQZ128rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16787 /* vpshrdvq */, X86::VPSHRDVQZ256rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16787 /* vpshrdvq */, X86::VPSHRDVQZrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16787 /* vpshrdvq */, X86::VPSHRDVQZ128mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16787 /* vpshrdvq */, X86::VPSHRDVQZ256mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16787 /* vpshrdvq */, X86::VPSHRDVQZmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16787 /* vpshrdvq */, X86::VPSHRDVQZ128rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16787 /* vpshrdvq */, X86::VPSHRDVQZ256rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16787 /* vpshrdvq */, X86::VPSHRDVQZrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16787 /* vpshrdvq */, X86::VPSHRDVQZ128mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16787 /* vpshrdvq */, X86::VPSHRDVQZ256mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16787 /* vpshrdvq */, X86::VPSHRDVQZmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16787 /* vpshrdvq */, X86::VPSHRDVQZ128mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16787 /* vpshrdvq */, X86::VPSHRDVQZ256mbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16787 /* vpshrdvq */, X86::VPSHRDVQZmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16787 /* vpshrdvq */, X86::VPSHRDVQZ128mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16787 /* vpshrdvq */, X86::VPSHRDVQZ256mbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16787 /* vpshrdvq */, X86::VPSHRDVQZmbkz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16796 /* vpshrdvw */, X86::VPSHRDVWZ128r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 16796 /* vpshrdvw */, X86::VPSHRDVWZ256r, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 16796 /* vpshrdvw */, X86::VPSHRDVWZr, Convert__Reg1_2__Tie0_1_1__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 16796 /* vpshrdvw */, X86::VPSHRDVWZ128m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 16796 /* vpshrdvw */, X86::VPSHRDVWZ256m, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 16796 /* vpshrdvw */, X86::VPSHRDVWZm, Convert__Reg1_2__Tie0_1_1__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 16796 /* vpshrdvw */, X86::VPSHRDVWZ128rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16796 /* vpshrdvw */, X86::VPSHRDVWZ256rk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16796 /* vpshrdvw */, X86::VPSHRDVWZrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16796 /* vpshrdvw */, X86::VPSHRDVWZ128mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16796 /* vpshrdvw */, X86::VPSHRDVWZ256mk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16796 /* vpshrdvw */, X86::VPSHRDVWZmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16796 /* vpshrdvw */, X86::VPSHRDVWZ128rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16796 /* vpshrdvw */, X86::VPSHRDVWZ256rkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16796 /* vpshrdvw */, X86::VPSHRDVWZrkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16796 /* vpshrdvw */, X86::VPSHRDVWZ128mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16796 /* vpshrdvw */, X86::VPSHRDVWZ256mkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16796 /* vpshrdvw */, X86::VPSHRDVWZmkz, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16805 /* vpshrdw */, X86::VPSHRDWZ128rri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 16805 /* vpshrdw */, X86::VPSHRDWZ256rri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 16805 /* vpshrdw */, X86::VPSHRDWZrri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 16805 /* vpshrdw */, X86::VPSHRDWZ128rmi, Convert__Reg1_3__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 16805 /* vpshrdw */, X86::VPSHRDWZ256rmi, Convert__Reg1_3__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 16805 /* vpshrdw */, X86::VPSHRDWZrmi, Convert__Reg1_3__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 16805 /* vpshrdw */, X86::VPSHRDWZ128rrik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16805 /* vpshrdw */, X86::VPSHRDWZ256rrik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16805 /* vpshrdw */, X86::VPSHRDWZrrik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16805 /* vpshrdw */, X86::VPSHRDWZ128rmik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16805 /* vpshrdw */, X86::VPSHRDWZ256rmik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16805 /* vpshrdw */, X86::VPSHRDWZrmik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16805 /* vpshrdw */, X86::VPSHRDWZ128rrikz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16805 /* vpshrdw */, X86::VPSHRDWZ256rrikz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16805 /* vpshrdw */, X86::VPSHRDWZrrikz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16805 /* vpshrdw */, X86::VPSHRDWZ128rmikz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16805 /* vpshrdw */, X86::VPSHRDWZ256rmikz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16805 /* vpshrdw */, X86::VPSHRDWZrmikz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16813 /* vpshufb */, X86::VPSHUFBrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 16813 /* vpshufb */, X86::VPSHUFBYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 16813 /* vpshufb */, X86::VPSHUFBZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 16813 /* vpshufb */, X86::VPSHUFBZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 16813 /* vpshufb */, X86::VPSHUFBZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 16813 /* vpshufb */, X86::VPSHUFBrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 16813 /* vpshufb */, X86::VPSHUFBZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 16813 /* vpshufb */, X86::VPSHUFBYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 16813 /* vpshufb */, X86::VPSHUFBZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 16813 /* vpshufb */, X86::VPSHUFBZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 16813 /* vpshufb */, X86::VPSHUFBZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16813 /* vpshufb */, X86::VPSHUFBZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16813 /* vpshufb */, X86::VPSHUFBZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16813 /* vpshufb */, X86::VPSHUFBZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16813 /* vpshufb */, X86::VPSHUFBZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16813 /* vpshufb */, X86::VPSHUFBZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16813 /* vpshufb */, X86::VPSHUFBZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16813 /* vpshufb */, X86::VPSHUFBZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16813 /* vpshufb */, X86::VPSHUFBZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16813 /* vpshufb */, X86::VPSHUFBZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16813 /* vpshufb */, X86::VPSHUFBZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16813 /* vpshufb */, X86::VPSHUFBZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16821 /* vpshufbitqmb */, X86::VPSHUFBITQMBZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_VK1 }, },
{ 16821 /* vpshufbitqmb */, X86::VPSHUFBITQMBZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VK1 }, },
{ 16821 /* vpshufbitqmb */, X86::VPSHUFBITQMBZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VK1 }, },
{ 16821 /* vpshufbitqmb */, X86::VPSHUFBITQMBZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_VK1 }, },
{ 16821 /* vpshufbitqmb */, X86::VPSHUFBITQMBZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VK1 }, },
{ 16821 /* vpshufbitqmb */, X86::VPSHUFBITQMBZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VK1 }, },
{ 16821 /* vpshufbitqmb */, X86::VPSHUFBITQMBZ128rrk, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16821 /* vpshufbitqmb */, X86::VPSHUFBITQMBZ256rrk, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16821 /* vpshufbitqmb */, X86::VPSHUFBITQMBZrrk, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16821 /* vpshufbitqmb */, X86::VPSHUFBITQMBZ128rmk, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16821 /* vpshufbitqmb */, X86::VPSHUFBITQMBZ256rmk, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16821 /* vpshufbitqmb */, X86::VPSHUFBITQMBZrmk, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16834 /* vpshufd */, X86::VPSHUFDri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_FR16 }, },
{ 16834 /* vpshufd */, X86::VPSHUFDYri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256, MCK_VR256 }, },
{ 16834 /* vpshufd */, X86::VPSHUFDZ128ri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X }, },
{ 16834 /* vpshufd */, X86::VPSHUFDZ256ri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X }, },
{ 16834 /* vpshufd */, X86::VPSHUFDZri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512 }, },
{ 16834 /* vpshufd */, X86::VPSHUFDmi, Convert__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16 }, },
{ 16834 /* vpshufd */, X86::VPSHUFDZ128mi, Convert__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X }, },
{ 16834 /* vpshufd */, X86::VPSHUFDYmi, Convert__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256 }, },
{ 16834 /* vpshufd */, X86::VPSHUFDZ256mi, Convert__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X }, },
{ 16834 /* vpshufd */, X86::VPSHUFDZmi, Convert__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512 }, },
{ 16834 /* vpshufd */, X86::VPSHUFDZmbi, Convert__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to16_125_, MCK_VR512 }, },
{ 16834 /* vpshufd */, X86::VPSHUFDZ128mbi, Convert__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X }, },
{ 16834 /* vpshufd */, X86::VPSHUFDZ256mbi, Convert__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X }, },
{ 16834 /* vpshufd */, X86::VPSHUFDZ128rik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16834 /* vpshufd */, X86::VPSHUFDZ256rik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16834 /* vpshufd */, X86::VPSHUFDZrik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16834 /* vpshufd */, X86::VPSHUFDZ128mik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16834 /* vpshufd */, X86::VPSHUFDZ256mik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16834 /* vpshufd */, X86::VPSHUFDZmik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16834 /* vpshufd */, X86::VPSHUFDZ128rikz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16834 /* vpshufd */, X86::VPSHUFDZ256rikz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16834 /* vpshufd */, X86::VPSHUFDZrikz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16834 /* vpshufd */, X86::VPSHUFDZ128mikz, Convert__Reg1_2__Reg1_4__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16834 /* vpshufd */, X86::VPSHUFDZ256mikz, Convert__Reg1_2__Reg1_4__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16834 /* vpshufd */, X86::VPSHUFDZmbik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16834 /* vpshufd */, X86::VPSHUFDZ128mbik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16834 /* vpshufd */, X86::VPSHUFDZ256mbik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16834 /* vpshufd */, X86::VPSHUFDZmikz, Convert__Reg1_2__Reg1_4__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16834 /* vpshufd */, X86::VPSHUFDZmbikz, Convert__Reg1_3__Reg1_5__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16834 /* vpshufd */, X86::VPSHUFDZ128mbikz, Convert__Reg1_3__Reg1_5__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16834 /* vpshufd */, X86::VPSHUFDZ256mbikz, Convert__Reg1_3__Reg1_5__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16842 /* vpshufhw */, X86::VPSHUFHWri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_FR16 }, },
{ 16842 /* vpshufhw */, X86::VPSHUFHWYri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256, MCK_VR256 }, },
{ 16842 /* vpshufhw */, X86::VPSHUFHWZ128ri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X }, },
{ 16842 /* vpshufhw */, X86::VPSHUFHWZ256ri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X }, },
{ 16842 /* vpshufhw */, X86::VPSHUFHWZri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512 }, },
{ 16842 /* vpshufhw */, X86::VPSHUFHWmi, Convert__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16 }, },
{ 16842 /* vpshufhw */, X86::VPSHUFHWZ128mi, Convert__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X }, },
{ 16842 /* vpshufhw */, X86::VPSHUFHWYmi, Convert__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256 }, },
{ 16842 /* vpshufhw */, X86::VPSHUFHWZ256mi, Convert__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X }, },
{ 16842 /* vpshufhw */, X86::VPSHUFHWZmi, Convert__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512 }, },
{ 16842 /* vpshufhw */, X86::VPSHUFHWZ128rik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16842 /* vpshufhw */, X86::VPSHUFHWZ256rik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16842 /* vpshufhw */, X86::VPSHUFHWZrik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16842 /* vpshufhw */, X86::VPSHUFHWZ128mik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16842 /* vpshufhw */, X86::VPSHUFHWZ256mik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16842 /* vpshufhw */, X86::VPSHUFHWZmik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16842 /* vpshufhw */, X86::VPSHUFHWZ128rikz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16842 /* vpshufhw */, X86::VPSHUFHWZ256rikz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16842 /* vpshufhw */, X86::VPSHUFHWZrikz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16842 /* vpshufhw */, X86::VPSHUFHWZ128mikz, Convert__Reg1_2__Reg1_4__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16842 /* vpshufhw */, X86::VPSHUFHWZ256mikz, Convert__Reg1_2__Reg1_4__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16842 /* vpshufhw */, X86::VPSHUFHWZmikz, Convert__Reg1_2__Reg1_4__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16851 /* vpshuflw */, X86::VPSHUFLWri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_FR16 }, },
{ 16851 /* vpshuflw */, X86::VPSHUFLWYri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256, MCK_VR256 }, },
{ 16851 /* vpshuflw */, X86::VPSHUFLWZ128ri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X }, },
{ 16851 /* vpshuflw */, X86::VPSHUFLWZ256ri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X }, },
{ 16851 /* vpshuflw */, X86::VPSHUFLWZri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512 }, },
{ 16851 /* vpshuflw */, X86::VPSHUFLWmi, Convert__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16 }, },
{ 16851 /* vpshuflw */, X86::VPSHUFLWZ128mi, Convert__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X }, },
{ 16851 /* vpshuflw */, X86::VPSHUFLWYmi, Convert__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256 }, },
{ 16851 /* vpshuflw */, X86::VPSHUFLWZ256mi, Convert__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X }, },
{ 16851 /* vpshuflw */, X86::VPSHUFLWZmi, Convert__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512 }, },
{ 16851 /* vpshuflw */, X86::VPSHUFLWZ128rik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16851 /* vpshuflw */, X86::VPSHUFLWZ256rik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16851 /* vpshuflw */, X86::VPSHUFLWZrik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16851 /* vpshuflw */, X86::VPSHUFLWZ128mik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16851 /* vpshuflw */, X86::VPSHUFLWZ256mik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16851 /* vpshuflw */, X86::VPSHUFLWZmik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16851 /* vpshuflw */, X86::VPSHUFLWZ128rikz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16851 /* vpshuflw */, X86::VPSHUFLWZ256rikz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16851 /* vpshuflw */, X86::VPSHUFLWZrikz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16851 /* vpshuflw */, X86::VPSHUFLWZ128mikz, Convert__Reg1_2__Reg1_4__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16851 /* vpshuflw */, X86::VPSHUFLWZ256mikz, Convert__Reg1_2__Reg1_4__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16851 /* vpshuflw */, X86::VPSHUFLWZmikz, Convert__Reg1_2__Reg1_4__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16860 /* vpsignb */, X86::VPSIGNBrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 16860 /* vpsignb */, X86::VPSIGNBYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 16860 /* vpsignb */, X86::VPSIGNBrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 16860 /* vpsignb */, X86::VPSIGNBYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 16868 /* vpsignd */, X86::VPSIGNDrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 16868 /* vpsignd */, X86::VPSIGNDYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 16868 /* vpsignd */, X86::VPSIGNDrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 16868 /* vpsignd */, X86::VPSIGNDYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 16876 /* vpsignw */, X86::VPSIGNWrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 16876 /* vpsignw */, X86::VPSIGNWYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 16876 /* vpsignw */, X86::VPSIGNWrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 16876 /* vpsignw */, X86::VPSIGNWYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 16884 /* vpslld */, X86::VPSLLDrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 16884 /* vpslld */, X86::VPSLLDYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_VR256, MCK_VR256 }, },
{ 16884 /* vpslld */, X86::VPSLLDZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 16884 /* vpslld */, X86::VPSLLDZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK_VR256X }, },
{ 16884 /* vpslld */, X86::VPSLLDZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR512, MCK_VR512 }, },
{ 16884 /* vpslld */, X86::VPSLLDri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_FR16 }, },
{ 16884 /* vpslld */, X86::VPSLLDYri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256, MCK_VR256 }, },
{ 16884 /* vpslld */, X86::VPSLLDZ128ri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X }, },
{ 16884 /* vpslld */, X86::VPSLLDZ256ri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X }, },
{ 16884 /* vpslld */, X86::VPSLLDZri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512 }, },
{ 16884 /* vpslld */, X86::VPSLLDZ128mi, Convert__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X }, },
{ 16884 /* vpslld */, X86::VPSLLDZ256mi, Convert__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X }, },
{ 16884 /* vpslld */, X86::VPSLLDZmi, Convert__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512 }, },
{ 16884 /* vpslld */, X86::VPSLLDrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 16884 /* vpslld */, X86::VPSLLDYrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256, MCK_VR256 }, },
{ 16884 /* vpslld */, X86::VPSLLDZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 16884 /* vpslld */, X86::VPSLLDZ256rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256X, MCK_VR256X }, },
{ 16884 /* vpslld */, X86::VPSLLDZrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR512, MCK_VR512 }, },
{ 16884 /* vpslld */, X86::VPSLLDZmbi, Convert__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to16_125_, MCK_VR512 }, },
{ 16884 /* vpslld */, X86::VPSLLDZ128mbi, Convert__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X }, },
{ 16884 /* vpslld */, X86::VPSLLDZ256mbi, Convert__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X }, },
{ 16884 /* vpslld */, X86::VPSLLDZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16884 /* vpslld */, X86::VPSLLDZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16884 /* vpslld */, X86::VPSLLDZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16884 /* vpslld */, X86::VPSLLDZ128rik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16884 /* vpslld */, X86::VPSLLDZ256rik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16884 /* vpslld */, X86::VPSLLDZrik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16884 /* vpslld */, X86::VPSLLDZ128mik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16884 /* vpslld */, X86::VPSLLDZ256mik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16884 /* vpslld */, X86::VPSLLDZmik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16884 /* vpslld */, X86::VPSLLDZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16884 /* vpslld */, X86::VPSLLDZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16884 /* vpslld */, X86::VPSLLDZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16884 /* vpslld */, X86::VPSLLDZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16884 /* vpslld */, X86::VPSLLDZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16884 /* vpslld */, X86::VPSLLDZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16884 /* vpslld */, X86::VPSLLDZ128rikz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16884 /* vpslld */, X86::VPSLLDZ256rikz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16884 /* vpslld */, X86::VPSLLDZrikz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16884 /* vpslld */, X86::VPSLLDZ128mikz, Convert__Reg1_2__Reg1_4__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16884 /* vpslld */, X86::VPSLLDZ256mikz, Convert__Reg1_2__Reg1_4__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16884 /* vpslld */, X86::VPSLLDZmbik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16884 /* vpslld */, X86::VPSLLDZ128mbik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16884 /* vpslld */, X86::VPSLLDZ256mbik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16884 /* vpslld */, X86::VPSLLDZmikz, Convert__Reg1_2__Reg1_4__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16884 /* vpslld */, X86::VPSLLDZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16884 /* vpslld */, X86::VPSLLDZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16884 /* vpslld */, X86::VPSLLDZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16884 /* vpslld */, X86::VPSLLDZmbikz, Convert__Reg1_3__Reg1_5__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16884 /* vpslld */, X86::VPSLLDZ128mbikz, Convert__Reg1_3__Reg1_5__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16884 /* vpslld */, X86::VPSLLDZ256mbikz, Convert__Reg1_3__Reg1_5__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16891 /* vpslldq */, X86::VPSLLDQri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_FR16 }, },
{ 16891 /* vpslldq */, X86::VPSLLDQYri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256, MCK_VR256 }, },
{ 16891 /* vpslldq */, X86::VPSLLDQZ128ri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X }, },
{ 16891 /* vpslldq */, X86::VPSLLDQZ256ri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X }, },
{ 16891 /* vpslldq */, X86::VPSLLDQZri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512 }, },
{ 16891 /* vpslldq */, X86::VPSLLDQZ128mi, Convert__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X }, },
{ 16891 /* vpslldq */, X86::VPSLLDQZ256mi, Convert__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X }, },
{ 16891 /* vpslldq */, X86::VPSLLDQZmi, Convert__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512 }, },
{ 16899 /* vpsllq */, X86::VPSLLQrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 16899 /* vpsllq */, X86::VPSLLQYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_VR256, MCK_VR256 }, },
{ 16899 /* vpsllq */, X86::VPSLLQZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 16899 /* vpsllq */, X86::VPSLLQZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK_VR256X }, },
{ 16899 /* vpsllq */, X86::VPSLLQZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR512, MCK_VR512 }, },
{ 16899 /* vpsllq */, X86::VPSLLQri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_FR16 }, },
{ 16899 /* vpsllq */, X86::VPSLLQYri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256, MCK_VR256 }, },
{ 16899 /* vpsllq */, X86::VPSLLQZ128ri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X }, },
{ 16899 /* vpsllq */, X86::VPSLLQZ256ri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X }, },
{ 16899 /* vpsllq */, X86::VPSLLQZri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512 }, },
{ 16899 /* vpsllq */, X86::VPSLLQZ128mi, Convert__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X }, },
{ 16899 /* vpsllq */, X86::VPSLLQZ256mi, Convert__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X }, },
{ 16899 /* vpsllq */, X86::VPSLLQZmi, Convert__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512 }, },
{ 16899 /* vpsllq */, X86::VPSLLQrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 16899 /* vpsllq */, X86::VPSLLQYrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256, MCK_VR256 }, },
{ 16899 /* vpsllq */, X86::VPSLLQZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 16899 /* vpsllq */, X86::VPSLLQZ256rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256X, MCK_VR256X }, },
{ 16899 /* vpsllq */, X86::VPSLLQZrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR512, MCK_VR512 }, },
{ 16899 /* vpsllq */, X86::VPSLLQZ128mbi, Convert__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X }, },
{ 16899 /* vpsllq */, X86::VPSLLQZ256mbi, Convert__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X }, },
{ 16899 /* vpsllq */, X86::VPSLLQZmbi, Convert__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to8_125_, MCK_VR512 }, },
{ 16899 /* vpsllq */, X86::VPSLLQZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16899 /* vpsllq */, X86::VPSLLQZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16899 /* vpsllq */, X86::VPSLLQZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16899 /* vpsllq */, X86::VPSLLQZ128rik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16899 /* vpsllq */, X86::VPSLLQZ256rik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16899 /* vpsllq */, X86::VPSLLQZrik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16899 /* vpsllq */, X86::VPSLLQZ128mik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16899 /* vpsllq */, X86::VPSLLQZ256mik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16899 /* vpsllq */, X86::VPSLLQZmik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16899 /* vpsllq */, X86::VPSLLQZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16899 /* vpsllq */, X86::VPSLLQZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16899 /* vpsllq */, X86::VPSLLQZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16899 /* vpsllq */, X86::VPSLLQZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16899 /* vpsllq */, X86::VPSLLQZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16899 /* vpsllq */, X86::VPSLLQZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16899 /* vpsllq */, X86::VPSLLQZ128rikz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16899 /* vpsllq */, X86::VPSLLQZ256rikz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16899 /* vpsllq */, X86::VPSLLQZrikz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16899 /* vpsllq */, X86::VPSLLQZ128mikz, Convert__Reg1_2__Reg1_4__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16899 /* vpsllq */, X86::VPSLLQZ256mikz, Convert__Reg1_2__Reg1_4__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16899 /* vpsllq */, X86::VPSLLQZmikz, Convert__Reg1_2__Reg1_4__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16899 /* vpsllq */, X86::VPSLLQZ128mbik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16899 /* vpsllq */, X86::VPSLLQZ256mbik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16899 /* vpsllq */, X86::VPSLLQZmbik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16899 /* vpsllq */, X86::VPSLLQZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16899 /* vpsllq */, X86::VPSLLQZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16899 /* vpsllq */, X86::VPSLLQZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16899 /* vpsllq */, X86::VPSLLQZ128mbikz, Convert__Reg1_3__Reg1_5__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16899 /* vpsllq */, X86::VPSLLQZ256mbikz, Convert__Reg1_3__Reg1_5__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16899 /* vpsllq */, X86::VPSLLQZmbikz, Convert__Reg1_3__Reg1_5__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16906 /* vpsllvd */, X86::VPSLLVDrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 16906 /* vpsllvd */, X86::VPSLLVDYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 16906 /* vpsllvd */, X86::VPSLLVDZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 16906 /* vpsllvd */, X86::VPSLLVDZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 16906 /* vpsllvd */, X86::VPSLLVDZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 16906 /* vpsllvd */, X86::VPSLLVDrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 16906 /* vpsllvd */, X86::VPSLLVDZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 16906 /* vpsllvd */, X86::VPSLLVDYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 16906 /* vpsllvd */, X86::VPSLLVDZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 16906 /* vpsllvd */, X86::VPSLLVDZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 16906 /* vpsllvd */, X86::VPSLLVDZrmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512 }, },
{ 16906 /* vpsllvd */, X86::VPSLLVDZ128rmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X }, },
{ 16906 /* vpsllvd */, X86::VPSLLVDZ256rmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X }, },
{ 16906 /* vpsllvd */, X86::VPSLLVDZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16906 /* vpsllvd */, X86::VPSLLVDZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16906 /* vpsllvd */, X86::VPSLLVDZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16906 /* vpsllvd */, X86::VPSLLVDZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16906 /* vpsllvd */, X86::VPSLLVDZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16906 /* vpsllvd */, X86::VPSLLVDZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16906 /* vpsllvd */, X86::VPSLLVDZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16906 /* vpsllvd */, X86::VPSLLVDZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16906 /* vpsllvd */, X86::VPSLLVDZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16906 /* vpsllvd */, X86::VPSLLVDZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16906 /* vpsllvd */, X86::VPSLLVDZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16906 /* vpsllvd */, X86::VPSLLVDZrmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16906 /* vpsllvd */, X86::VPSLLVDZ128rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16906 /* vpsllvd */, X86::VPSLLVDZ256rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16906 /* vpsllvd */, X86::VPSLLVDZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16906 /* vpsllvd */, X86::VPSLLVDZrmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16906 /* vpsllvd */, X86::VPSLLVDZ128rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16906 /* vpsllvd */, X86::VPSLLVDZ256rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16914 /* vpsllvq */, X86::VPSLLVQrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 16914 /* vpsllvq */, X86::VPSLLVQYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 16914 /* vpsllvq */, X86::VPSLLVQZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 16914 /* vpsllvq */, X86::VPSLLVQZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 16914 /* vpsllvq */, X86::VPSLLVQZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 16914 /* vpsllvq */, X86::VPSLLVQrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 16914 /* vpsllvq */, X86::VPSLLVQZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 16914 /* vpsllvq */, X86::VPSLLVQYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 16914 /* vpsllvq */, X86::VPSLLVQZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 16914 /* vpsllvq */, X86::VPSLLVQZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 16914 /* vpsllvq */, X86::VPSLLVQZ128rmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X }, },
{ 16914 /* vpsllvq */, X86::VPSLLVQZ256rmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X }, },
{ 16914 /* vpsllvq */, X86::VPSLLVQZrmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512 }, },
{ 16914 /* vpsllvq */, X86::VPSLLVQZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16914 /* vpsllvq */, X86::VPSLLVQZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16914 /* vpsllvq */, X86::VPSLLVQZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16914 /* vpsllvq */, X86::VPSLLVQZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16914 /* vpsllvq */, X86::VPSLLVQZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16914 /* vpsllvq */, X86::VPSLLVQZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16914 /* vpsllvq */, X86::VPSLLVQZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16914 /* vpsllvq */, X86::VPSLLVQZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16914 /* vpsllvq */, X86::VPSLLVQZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16914 /* vpsllvq */, X86::VPSLLVQZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16914 /* vpsllvq */, X86::VPSLLVQZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16914 /* vpsllvq */, X86::VPSLLVQZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16914 /* vpsllvq */, X86::VPSLLVQZ128rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16914 /* vpsllvq */, X86::VPSLLVQZ256rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16914 /* vpsllvq */, X86::VPSLLVQZrmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16914 /* vpsllvq */, X86::VPSLLVQZ128rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16914 /* vpsllvq */, X86::VPSLLVQZ256rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16914 /* vpsllvq */, X86::VPSLLVQZrmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16922 /* vpsllvw */, X86::VPSLLVWZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 16922 /* vpsllvw */, X86::VPSLLVWZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 16922 /* vpsllvw */, X86::VPSLLVWZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 16922 /* vpsllvw */, X86::VPSLLVWZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 16922 /* vpsllvw */, X86::VPSLLVWZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 16922 /* vpsllvw */, X86::VPSLLVWZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 16922 /* vpsllvw */, X86::VPSLLVWZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16922 /* vpsllvw */, X86::VPSLLVWZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16922 /* vpsllvw */, X86::VPSLLVWZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16922 /* vpsllvw */, X86::VPSLLVWZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16922 /* vpsllvw */, X86::VPSLLVWZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16922 /* vpsllvw */, X86::VPSLLVWZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16922 /* vpsllvw */, X86::VPSLLVWZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16922 /* vpsllvw */, X86::VPSLLVWZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16922 /* vpsllvw */, X86::VPSLLVWZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16922 /* vpsllvw */, X86::VPSLLVWZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16922 /* vpsllvw */, X86::VPSLLVWZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16922 /* vpsllvw */, X86::VPSLLVWZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16930 /* vpsllw */, X86::VPSLLWrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 16930 /* vpsllw */, X86::VPSLLWYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_VR256, MCK_VR256 }, },
{ 16930 /* vpsllw */, X86::VPSLLWZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 16930 /* vpsllw */, X86::VPSLLWZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK_VR256X }, },
{ 16930 /* vpsllw */, X86::VPSLLWZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR512, MCK_VR512 }, },
{ 16930 /* vpsllw */, X86::VPSLLWri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_FR16 }, },
{ 16930 /* vpsllw */, X86::VPSLLWYri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256, MCK_VR256 }, },
{ 16930 /* vpsllw */, X86::VPSLLWZ128ri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X }, },
{ 16930 /* vpsllw */, X86::VPSLLWZ256ri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X }, },
{ 16930 /* vpsllw */, X86::VPSLLWZri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512 }, },
{ 16930 /* vpsllw */, X86::VPSLLWZ128mi, Convert__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X }, },
{ 16930 /* vpsllw */, X86::VPSLLWZ256mi, Convert__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X }, },
{ 16930 /* vpsllw */, X86::VPSLLWZmi, Convert__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512 }, },
{ 16930 /* vpsllw */, X86::VPSLLWrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 16930 /* vpsllw */, X86::VPSLLWYrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256, MCK_VR256 }, },
{ 16930 /* vpsllw */, X86::VPSLLWZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 16930 /* vpsllw */, X86::VPSLLWZ256rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256X, MCK_VR256X }, },
{ 16930 /* vpsllw */, X86::VPSLLWZrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR512, MCK_VR512 }, },
{ 16930 /* vpsllw */, X86::VPSLLWZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16930 /* vpsllw */, X86::VPSLLWZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16930 /* vpsllw */, X86::VPSLLWZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16930 /* vpsllw */, X86::VPSLLWZ128rik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16930 /* vpsllw */, X86::VPSLLWZ256rik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16930 /* vpsllw */, X86::VPSLLWZrik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16930 /* vpsllw */, X86::VPSLLWZ128mik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16930 /* vpsllw */, X86::VPSLLWZ256mik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16930 /* vpsllw */, X86::VPSLLWZmik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16930 /* vpsllw */, X86::VPSLLWZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16930 /* vpsllw */, X86::VPSLLWZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16930 /* vpsllw */, X86::VPSLLWZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16930 /* vpsllw */, X86::VPSLLWZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16930 /* vpsllw */, X86::VPSLLWZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16930 /* vpsllw */, X86::VPSLLWZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16930 /* vpsllw */, X86::VPSLLWZ128rikz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16930 /* vpsllw */, X86::VPSLLWZ256rikz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16930 /* vpsllw */, X86::VPSLLWZrikz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16930 /* vpsllw */, X86::VPSLLWZ128mikz, Convert__Reg1_2__Reg1_4__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16930 /* vpsllw */, X86::VPSLLWZ256mikz, Convert__Reg1_2__Reg1_4__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16930 /* vpsllw */, X86::VPSLLWZmikz, Convert__Reg1_2__Reg1_4__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16930 /* vpsllw */, X86::VPSLLWZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16930 /* vpsllw */, X86::VPSLLWZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16930 /* vpsllw */, X86::VPSLLWZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16937 /* vpsrad */, X86::VPSRADrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 16937 /* vpsrad */, X86::VPSRADYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_VR256, MCK_VR256 }, },
{ 16937 /* vpsrad */, X86::VPSRADZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 16937 /* vpsrad */, X86::VPSRADZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK_VR256X }, },
{ 16937 /* vpsrad */, X86::VPSRADZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR512, MCK_VR512 }, },
{ 16937 /* vpsrad */, X86::VPSRADri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_FR16 }, },
{ 16937 /* vpsrad */, X86::VPSRADYri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256, MCK_VR256 }, },
{ 16937 /* vpsrad */, X86::VPSRADZ128ri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X }, },
{ 16937 /* vpsrad */, X86::VPSRADZ256ri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X }, },
{ 16937 /* vpsrad */, X86::VPSRADZri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512 }, },
{ 16937 /* vpsrad */, X86::VPSRADZ128mi, Convert__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X }, },
{ 16937 /* vpsrad */, X86::VPSRADZ256mi, Convert__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X }, },
{ 16937 /* vpsrad */, X86::VPSRADZmi, Convert__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512 }, },
{ 16937 /* vpsrad */, X86::VPSRADrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 16937 /* vpsrad */, X86::VPSRADYrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256, MCK_VR256 }, },
{ 16937 /* vpsrad */, X86::VPSRADZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 16937 /* vpsrad */, X86::VPSRADZ256rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256X, MCK_VR256X }, },
{ 16937 /* vpsrad */, X86::VPSRADZrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR512, MCK_VR512 }, },
{ 16937 /* vpsrad */, X86::VPSRADZmbi, Convert__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to16_125_, MCK_VR512 }, },
{ 16937 /* vpsrad */, X86::VPSRADZ128mbi, Convert__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X }, },
{ 16937 /* vpsrad */, X86::VPSRADZ256mbi, Convert__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X }, },
{ 16937 /* vpsrad */, X86::VPSRADZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16937 /* vpsrad */, X86::VPSRADZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16937 /* vpsrad */, X86::VPSRADZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16937 /* vpsrad */, X86::VPSRADZ128rik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16937 /* vpsrad */, X86::VPSRADZ256rik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16937 /* vpsrad */, X86::VPSRADZrik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16937 /* vpsrad */, X86::VPSRADZ128mik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16937 /* vpsrad */, X86::VPSRADZ256mik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16937 /* vpsrad */, X86::VPSRADZmik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16937 /* vpsrad */, X86::VPSRADZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16937 /* vpsrad */, X86::VPSRADZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16937 /* vpsrad */, X86::VPSRADZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16937 /* vpsrad */, X86::VPSRADZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16937 /* vpsrad */, X86::VPSRADZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16937 /* vpsrad */, X86::VPSRADZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16937 /* vpsrad */, X86::VPSRADZ128rikz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16937 /* vpsrad */, X86::VPSRADZ256rikz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16937 /* vpsrad */, X86::VPSRADZrikz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16937 /* vpsrad */, X86::VPSRADZ128mikz, Convert__Reg1_2__Reg1_4__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16937 /* vpsrad */, X86::VPSRADZ256mikz, Convert__Reg1_2__Reg1_4__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16937 /* vpsrad */, X86::VPSRADZmbik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16937 /* vpsrad */, X86::VPSRADZ128mbik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16937 /* vpsrad */, X86::VPSRADZ256mbik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16937 /* vpsrad */, X86::VPSRADZmikz, Convert__Reg1_2__Reg1_4__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16937 /* vpsrad */, X86::VPSRADZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16937 /* vpsrad */, X86::VPSRADZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16937 /* vpsrad */, X86::VPSRADZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16937 /* vpsrad */, X86::VPSRADZmbikz, Convert__Reg1_3__Reg1_5__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16937 /* vpsrad */, X86::VPSRADZ128mbikz, Convert__Reg1_3__Reg1_5__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16937 /* vpsrad */, X86::VPSRADZ256mbikz, Convert__Reg1_3__Reg1_5__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16944 /* vpsraq */, X86::VPSRAQZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 16944 /* vpsraq */, X86::VPSRAQZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK_VR256X }, },
{ 16944 /* vpsraq */, X86::VPSRAQZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR512, MCK_VR512 }, },
{ 16944 /* vpsraq */, X86::VPSRAQZ128ri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X }, },
{ 16944 /* vpsraq */, X86::VPSRAQZ256ri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X }, },
{ 16944 /* vpsraq */, X86::VPSRAQZri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512 }, },
{ 16944 /* vpsraq */, X86::VPSRAQZ128mi, Convert__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X }, },
{ 16944 /* vpsraq */, X86::VPSRAQZ256mi, Convert__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X }, },
{ 16944 /* vpsraq */, X86::VPSRAQZmi, Convert__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512 }, },
{ 16944 /* vpsraq */, X86::VPSRAQZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 16944 /* vpsraq */, X86::VPSRAQZ256rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256X, MCK_VR256X }, },
{ 16944 /* vpsraq */, X86::VPSRAQZrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR512, MCK_VR512 }, },
{ 16944 /* vpsraq */, X86::VPSRAQZ128mbi, Convert__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X }, },
{ 16944 /* vpsraq */, X86::VPSRAQZ256mbi, Convert__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X }, },
{ 16944 /* vpsraq */, X86::VPSRAQZmbi, Convert__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to8_125_, MCK_VR512 }, },
{ 16944 /* vpsraq */, X86::VPSRAQZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16944 /* vpsraq */, X86::VPSRAQZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16944 /* vpsraq */, X86::VPSRAQZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16944 /* vpsraq */, X86::VPSRAQZ128rik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16944 /* vpsraq */, X86::VPSRAQZ256rik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16944 /* vpsraq */, X86::VPSRAQZrik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16944 /* vpsraq */, X86::VPSRAQZ128mik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16944 /* vpsraq */, X86::VPSRAQZ256mik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16944 /* vpsraq */, X86::VPSRAQZmik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16944 /* vpsraq */, X86::VPSRAQZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16944 /* vpsraq */, X86::VPSRAQZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16944 /* vpsraq */, X86::VPSRAQZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16944 /* vpsraq */, X86::VPSRAQZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16944 /* vpsraq */, X86::VPSRAQZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16944 /* vpsraq */, X86::VPSRAQZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16944 /* vpsraq */, X86::VPSRAQZ128rikz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16944 /* vpsraq */, X86::VPSRAQZ256rikz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16944 /* vpsraq */, X86::VPSRAQZrikz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16944 /* vpsraq */, X86::VPSRAQZ128mikz, Convert__Reg1_2__Reg1_4__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16944 /* vpsraq */, X86::VPSRAQZ256mikz, Convert__Reg1_2__Reg1_4__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16944 /* vpsraq */, X86::VPSRAQZmikz, Convert__Reg1_2__Reg1_4__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16944 /* vpsraq */, X86::VPSRAQZ128mbik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16944 /* vpsraq */, X86::VPSRAQZ256mbik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16944 /* vpsraq */, X86::VPSRAQZmbik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16944 /* vpsraq */, X86::VPSRAQZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16944 /* vpsraq */, X86::VPSRAQZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16944 /* vpsraq */, X86::VPSRAQZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16944 /* vpsraq */, X86::VPSRAQZ128mbikz, Convert__Reg1_3__Reg1_5__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16944 /* vpsraq */, X86::VPSRAQZ256mbikz, Convert__Reg1_3__Reg1_5__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16944 /* vpsraq */, X86::VPSRAQZmbikz, Convert__Reg1_3__Reg1_5__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16951 /* vpsravd */, X86::VPSRAVDrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 16951 /* vpsravd */, X86::VPSRAVDYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 16951 /* vpsravd */, X86::VPSRAVDZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 16951 /* vpsravd */, X86::VPSRAVDZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 16951 /* vpsravd */, X86::VPSRAVDZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 16951 /* vpsravd */, X86::VPSRAVDrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 16951 /* vpsravd */, X86::VPSRAVDZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 16951 /* vpsravd */, X86::VPSRAVDYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 16951 /* vpsravd */, X86::VPSRAVDZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 16951 /* vpsravd */, X86::VPSRAVDZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 16951 /* vpsravd */, X86::VPSRAVDZrmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512 }, },
{ 16951 /* vpsravd */, X86::VPSRAVDZ128rmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X }, },
{ 16951 /* vpsravd */, X86::VPSRAVDZ256rmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X }, },
{ 16951 /* vpsravd */, X86::VPSRAVDZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16951 /* vpsravd */, X86::VPSRAVDZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16951 /* vpsravd */, X86::VPSRAVDZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16951 /* vpsravd */, X86::VPSRAVDZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16951 /* vpsravd */, X86::VPSRAVDZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16951 /* vpsravd */, X86::VPSRAVDZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16951 /* vpsravd */, X86::VPSRAVDZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16951 /* vpsravd */, X86::VPSRAVDZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16951 /* vpsravd */, X86::VPSRAVDZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16951 /* vpsravd */, X86::VPSRAVDZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16951 /* vpsravd */, X86::VPSRAVDZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16951 /* vpsravd */, X86::VPSRAVDZrmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16951 /* vpsravd */, X86::VPSRAVDZ128rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16951 /* vpsravd */, X86::VPSRAVDZ256rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16951 /* vpsravd */, X86::VPSRAVDZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16951 /* vpsravd */, X86::VPSRAVDZrmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16951 /* vpsravd */, X86::VPSRAVDZ128rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16951 /* vpsravd */, X86::VPSRAVDZ256rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16959 /* vpsravq */, X86::VPSRAVQZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 16959 /* vpsravq */, X86::VPSRAVQZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 16959 /* vpsravq */, X86::VPSRAVQZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 16959 /* vpsravq */, X86::VPSRAVQZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 16959 /* vpsravq */, X86::VPSRAVQZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 16959 /* vpsravq */, X86::VPSRAVQZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 16959 /* vpsravq */, X86::VPSRAVQZ128rmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X }, },
{ 16959 /* vpsravq */, X86::VPSRAVQZ256rmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X }, },
{ 16959 /* vpsravq */, X86::VPSRAVQZrmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512 }, },
{ 16959 /* vpsravq */, X86::VPSRAVQZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16959 /* vpsravq */, X86::VPSRAVQZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16959 /* vpsravq */, X86::VPSRAVQZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16959 /* vpsravq */, X86::VPSRAVQZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16959 /* vpsravq */, X86::VPSRAVQZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16959 /* vpsravq */, X86::VPSRAVQZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16959 /* vpsravq */, X86::VPSRAVQZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16959 /* vpsravq */, X86::VPSRAVQZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16959 /* vpsravq */, X86::VPSRAVQZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16959 /* vpsravq */, X86::VPSRAVQZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16959 /* vpsravq */, X86::VPSRAVQZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16959 /* vpsravq */, X86::VPSRAVQZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16959 /* vpsravq */, X86::VPSRAVQZ128rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16959 /* vpsravq */, X86::VPSRAVQZ256rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16959 /* vpsravq */, X86::VPSRAVQZrmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16959 /* vpsravq */, X86::VPSRAVQZ128rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16959 /* vpsravq */, X86::VPSRAVQZ256rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16959 /* vpsravq */, X86::VPSRAVQZrmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16967 /* vpsravw */, X86::VPSRAVWZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 16967 /* vpsravw */, X86::VPSRAVWZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 16967 /* vpsravw */, X86::VPSRAVWZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 16967 /* vpsravw */, X86::VPSRAVWZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 16967 /* vpsravw */, X86::VPSRAVWZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 16967 /* vpsravw */, X86::VPSRAVWZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 16967 /* vpsravw */, X86::VPSRAVWZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16967 /* vpsravw */, X86::VPSRAVWZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16967 /* vpsravw */, X86::VPSRAVWZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16967 /* vpsravw */, X86::VPSRAVWZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16967 /* vpsravw */, X86::VPSRAVWZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16967 /* vpsravw */, X86::VPSRAVWZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16967 /* vpsravw */, X86::VPSRAVWZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16967 /* vpsravw */, X86::VPSRAVWZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16967 /* vpsravw */, X86::VPSRAVWZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16967 /* vpsravw */, X86::VPSRAVWZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16967 /* vpsravw */, X86::VPSRAVWZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16967 /* vpsravw */, X86::VPSRAVWZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16975 /* vpsraw */, X86::VPSRAWrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 16975 /* vpsraw */, X86::VPSRAWYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_VR256, MCK_VR256 }, },
{ 16975 /* vpsraw */, X86::VPSRAWZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 16975 /* vpsraw */, X86::VPSRAWZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK_VR256X }, },
{ 16975 /* vpsraw */, X86::VPSRAWZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR512, MCK_VR512 }, },
{ 16975 /* vpsraw */, X86::VPSRAWri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_FR16 }, },
{ 16975 /* vpsraw */, X86::VPSRAWYri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256, MCK_VR256 }, },
{ 16975 /* vpsraw */, X86::VPSRAWZ128ri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X }, },
{ 16975 /* vpsraw */, X86::VPSRAWZ256ri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X }, },
{ 16975 /* vpsraw */, X86::VPSRAWZri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512 }, },
{ 16975 /* vpsraw */, X86::VPSRAWZ128mi, Convert__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X }, },
{ 16975 /* vpsraw */, X86::VPSRAWZ256mi, Convert__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X }, },
{ 16975 /* vpsraw */, X86::VPSRAWZmi, Convert__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512 }, },
{ 16975 /* vpsraw */, X86::VPSRAWrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 16975 /* vpsraw */, X86::VPSRAWYrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256, MCK_VR256 }, },
{ 16975 /* vpsraw */, X86::VPSRAWZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 16975 /* vpsraw */, X86::VPSRAWZ256rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256X, MCK_VR256X }, },
{ 16975 /* vpsraw */, X86::VPSRAWZrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR512, MCK_VR512 }, },
{ 16975 /* vpsraw */, X86::VPSRAWZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16975 /* vpsraw */, X86::VPSRAWZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16975 /* vpsraw */, X86::VPSRAWZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16975 /* vpsraw */, X86::VPSRAWZ128rik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16975 /* vpsraw */, X86::VPSRAWZ256rik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16975 /* vpsraw */, X86::VPSRAWZrik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16975 /* vpsraw */, X86::VPSRAWZ128mik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16975 /* vpsraw */, X86::VPSRAWZ256mik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16975 /* vpsraw */, X86::VPSRAWZmik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16975 /* vpsraw */, X86::VPSRAWZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16975 /* vpsraw */, X86::VPSRAWZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16975 /* vpsraw */, X86::VPSRAWZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16975 /* vpsraw */, X86::VPSRAWZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16975 /* vpsraw */, X86::VPSRAWZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16975 /* vpsraw */, X86::VPSRAWZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16975 /* vpsraw */, X86::VPSRAWZ128rikz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16975 /* vpsraw */, X86::VPSRAWZ256rikz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16975 /* vpsraw */, X86::VPSRAWZrikz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16975 /* vpsraw */, X86::VPSRAWZ128mikz, Convert__Reg1_2__Reg1_4__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16975 /* vpsraw */, X86::VPSRAWZ256mikz, Convert__Reg1_2__Reg1_4__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16975 /* vpsraw */, X86::VPSRAWZmikz, Convert__Reg1_2__Reg1_4__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16975 /* vpsraw */, X86::VPSRAWZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16975 /* vpsraw */, X86::VPSRAWZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16975 /* vpsraw */, X86::VPSRAWZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16982 /* vpsrld */, X86::VPSRLDrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 16982 /* vpsrld */, X86::VPSRLDYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_VR256, MCK_VR256 }, },
{ 16982 /* vpsrld */, X86::VPSRLDZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 16982 /* vpsrld */, X86::VPSRLDZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK_VR256X }, },
{ 16982 /* vpsrld */, X86::VPSRLDZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR512, MCK_VR512 }, },
{ 16982 /* vpsrld */, X86::VPSRLDri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_FR16 }, },
{ 16982 /* vpsrld */, X86::VPSRLDYri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256, MCK_VR256 }, },
{ 16982 /* vpsrld */, X86::VPSRLDZ128ri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X }, },
{ 16982 /* vpsrld */, X86::VPSRLDZ256ri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X }, },
{ 16982 /* vpsrld */, X86::VPSRLDZri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512 }, },
{ 16982 /* vpsrld */, X86::VPSRLDZ128mi, Convert__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X }, },
{ 16982 /* vpsrld */, X86::VPSRLDZ256mi, Convert__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X }, },
{ 16982 /* vpsrld */, X86::VPSRLDZmi, Convert__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512 }, },
{ 16982 /* vpsrld */, X86::VPSRLDrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 16982 /* vpsrld */, X86::VPSRLDYrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256, MCK_VR256 }, },
{ 16982 /* vpsrld */, X86::VPSRLDZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 16982 /* vpsrld */, X86::VPSRLDZ256rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256X, MCK_VR256X }, },
{ 16982 /* vpsrld */, X86::VPSRLDZrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR512, MCK_VR512 }, },
{ 16982 /* vpsrld */, X86::VPSRLDZmbi, Convert__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to16_125_, MCK_VR512 }, },
{ 16982 /* vpsrld */, X86::VPSRLDZ128mbi, Convert__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X }, },
{ 16982 /* vpsrld */, X86::VPSRLDZ256mbi, Convert__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X }, },
{ 16982 /* vpsrld */, X86::VPSRLDZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16982 /* vpsrld */, X86::VPSRLDZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16982 /* vpsrld */, X86::VPSRLDZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16982 /* vpsrld */, X86::VPSRLDZ128rik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16982 /* vpsrld */, X86::VPSRLDZ256rik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16982 /* vpsrld */, X86::VPSRLDZrik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16982 /* vpsrld */, X86::VPSRLDZ128mik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16982 /* vpsrld */, X86::VPSRLDZ256mik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16982 /* vpsrld */, X86::VPSRLDZmik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16982 /* vpsrld */, X86::VPSRLDZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16982 /* vpsrld */, X86::VPSRLDZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16982 /* vpsrld */, X86::VPSRLDZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16982 /* vpsrld */, X86::VPSRLDZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16982 /* vpsrld */, X86::VPSRLDZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16982 /* vpsrld */, X86::VPSRLDZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16982 /* vpsrld */, X86::VPSRLDZ128rikz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16982 /* vpsrld */, X86::VPSRLDZ256rikz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16982 /* vpsrld */, X86::VPSRLDZrikz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16982 /* vpsrld */, X86::VPSRLDZ128mikz, Convert__Reg1_2__Reg1_4__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16982 /* vpsrld */, X86::VPSRLDZ256mikz, Convert__Reg1_2__Reg1_4__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16982 /* vpsrld */, X86::VPSRLDZmbik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16982 /* vpsrld */, X86::VPSRLDZ128mbik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16982 /* vpsrld */, X86::VPSRLDZ256mbik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16982 /* vpsrld */, X86::VPSRLDZmikz, Convert__Reg1_2__Reg1_4__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16982 /* vpsrld */, X86::VPSRLDZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16982 /* vpsrld */, X86::VPSRLDZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16982 /* vpsrld */, X86::VPSRLDZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16982 /* vpsrld */, X86::VPSRLDZmbikz, Convert__Reg1_3__Reg1_5__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16982 /* vpsrld */, X86::VPSRLDZ128mbikz, Convert__Reg1_3__Reg1_5__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16982 /* vpsrld */, X86::VPSRLDZ256mbikz, Convert__Reg1_3__Reg1_5__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16989 /* vpsrldq */, X86::VPSRLDQri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_FR16 }, },
{ 16989 /* vpsrldq */, X86::VPSRLDQYri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256, MCK_VR256 }, },
{ 16989 /* vpsrldq */, X86::VPSRLDQZ128ri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X }, },
{ 16989 /* vpsrldq */, X86::VPSRLDQZ256ri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X }, },
{ 16989 /* vpsrldq */, X86::VPSRLDQZri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512 }, },
{ 16989 /* vpsrldq */, X86::VPSRLDQZ128mi, Convert__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X }, },
{ 16989 /* vpsrldq */, X86::VPSRLDQZ256mi, Convert__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X }, },
{ 16989 /* vpsrldq */, X86::VPSRLDQZmi, Convert__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512 }, },
{ 16997 /* vpsrlq */, X86::VPSRLQrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 16997 /* vpsrlq */, X86::VPSRLQYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_VR256, MCK_VR256 }, },
{ 16997 /* vpsrlq */, X86::VPSRLQZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 16997 /* vpsrlq */, X86::VPSRLQZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK_VR256X }, },
{ 16997 /* vpsrlq */, X86::VPSRLQZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR512, MCK_VR512 }, },
{ 16997 /* vpsrlq */, X86::VPSRLQri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_FR16 }, },
{ 16997 /* vpsrlq */, X86::VPSRLQYri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256, MCK_VR256 }, },
{ 16997 /* vpsrlq */, X86::VPSRLQZ128ri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X }, },
{ 16997 /* vpsrlq */, X86::VPSRLQZ256ri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X }, },
{ 16997 /* vpsrlq */, X86::VPSRLQZri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512 }, },
{ 16997 /* vpsrlq */, X86::VPSRLQZ128mi, Convert__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X }, },
{ 16997 /* vpsrlq */, X86::VPSRLQZ256mi, Convert__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X }, },
{ 16997 /* vpsrlq */, X86::VPSRLQZmi, Convert__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512 }, },
{ 16997 /* vpsrlq */, X86::VPSRLQrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 16997 /* vpsrlq */, X86::VPSRLQYrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256, MCK_VR256 }, },
{ 16997 /* vpsrlq */, X86::VPSRLQZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 16997 /* vpsrlq */, X86::VPSRLQZ256rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256X, MCK_VR256X }, },
{ 16997 /* vpsrlq */, X86::VPSRLQZrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR512, MCK_VR512 }, },
{ 16997 /* vpsrlq */, X86::VPSRLQZ128mbi, Convert__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X }, },
{ 16997 /* vpsrlq */, X86::VPSRLQZ256mbi, Convert__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X }, },
{ 16997 /* vpsrlq */, X86::VPSRLQZmbi, Convert__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to8_125_, MCK_VR512 }, },
{ 16997 /* vpsrlq */, X86::VPSRLQZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16997 /* vpsrlq */, X86::VPSRLQZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16997 /* vpsrlq */, X86::VPSRLQZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16997 /* vpsrlq */, X86::VPSRLQZ128rik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16997 /* vpsrlq */, X86::VPSRLQZ256rik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16997 /* vpsrlq */, X86::VPSRLQZrik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16997 /* vpsrlq */, X86::VPSRLQZ128mik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16997 /* vpsrlq */, X86::VPSRLQZ256mik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16997 /* vpsrlq */, X86::VPSRLQZmik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16997 /* vpsrlq */, X86::VPSRLQZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16997 /* vpsrlq */, X86::VPSRLQZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16997 /* vpsrlq */, X86::VPSRLQZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16997 /* vpsrlq */, X86::VPSRLQZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16997 /* vpsrlq */, X86::VPSRLQZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16997 /* vpsrlq */, X86::VPSRLQZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16997 /* vpsrlq */, X86::VPSRLQZ128rikz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16997 /* vpsrlq */, X86::VPSRLQZ256rikz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16997 /* vpsrlq */, X86::VPSRLQZrikz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16997 /* vpsrlq */, X86::VPSRLQZ128mikz, Convert__Reg1_2__Reg1_4__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16997 /* vpsrlq */, X86::VPSRLQZ256mikz, Convert__Reg1_2__Reg1_4__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16997 /* vpsrlq */, X86::VPSRLQZmikz, Convert__Reg1_2__Reg1_4__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16997 /* vpsrlq */, X86::VPSRLQZ128mbik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16997 /* vpsrlq */, X86::VPSRLQZ256mbik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16997 /* vpsrlq */, X86::VPSRLQZmbik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 16997 /* vpsrlq */, X86::VPSRLQZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16997 /* vpsrlq */, X86::VPSRLQZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16997 /* vpsrlq */, X86::VPSRLQZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16997 /* vpsrlq */, X86::VPSRLQZ128mbikz, Convert__Reg1_3__Reg1_5__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16997 /* vpsrlq */, X86::VPSRLQZ256mbikz, Convert__Reg1_3__Reg1_5__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 16997 /* vpsrlq */, X86::VPSRLQZmbikz, Convert__Reg1_3__Reg1_5__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17004 /* vpsrlvd */, X86::VPSRLVDrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 17004 /* vpsrlvd */, X86::VPSRLVDYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 17004 /* vpsrlvd */, X86::VPSRLVDZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17004 /* vpsrlvd */, X86::VPSRLVDZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 17004 /* vpsrlvd */, X86::VPSRLVDZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 17004 /* vpsrlvd */, X86::VPSRLVDrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 17004 /* vpsrlvd */, X86::VPSRLVDZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 17004 /* vpsrlvd */, X86::VPSRLVDYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 17004 /* vpsrlvd */, X86::VPSRLVDZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 17004 /* vpsrlvd */, X86::VPSRLVDZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 17004 /* vpsrlvd */, X86::VPSRLVDZrmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512 }, },
{ 17004 /* vpsrlvd */, X86::VPSRLVDZ128rmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X }, },
{ 17004 /* vpsrlvd */, X86::VPSRLVDZ256rmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X }, },
{ 17004 /* vpsrlvd */, X86::VPSRLVDZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17004 /* vpsrlvd */, X86::VPSRLVDZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17004 /* vpsrlvd */, X86::VPSRLVDZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17004 /* vpsrlvd */, X86::VPSRLVDZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17004 /* vpsrlvd */, X86::VPSRLVDZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17004 /* vpsrlvd */, X86::VPSRLVDZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17004 /* vpsrlvd */, X86::VPSRLVDZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17004 /* vpsrlvd */, X86::VPSRLVDZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17004 /* vpsrlvd */, X86::VPSRLVDZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17004 /* vpsrlvd */, X86::VPSRLVDZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17004 /* vpsrlvd */, X86::VPSRLVDZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17004 /* vpsrlvd */, X86::VPSRLVDZrmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17004 /* vpsrlvd */, X86::VPSRLVDZ128rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17004 /* vpsrlvd */, X86::VPSRLVDZ256rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17004 /* vpsrlvd */, X86::VPSRLVDZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17004 /* vpsrlvd */, X86::VPSRLVDZrmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17004 /* vpsrlvd */, X86::VPSRLVDZ128rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17004 /* vpsrlvd */, X86::VPSRLVDZ256rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17012 /* vpsrlvq */, X86::VPSRLVQrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 17012 /* vpsrlvq */, X86::VPSRLVQYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 17012 /* vpsrlvq */, X86::VPSRLVQZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17012 /* vpsrlvq */, X86::VPSRLVQZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 17012 /* vpsrlvq */, X86::VPSRLVQZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 17012 /* vpsrlvq */, X86::VPSRLVQrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 17012 /* vpsrlvq */, X86::VPSRLVQZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 17012 /* vpsrlvq */, X86::VPSRLVQYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 17012 /* vpsrlvq */, X86::VPSRLVQZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 17012 /* vpsrlvq */, X86::VPSRLVQZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 17012 /* vpsrlvq */, X86::VPSRLVQZ128rmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X }, },
{ 17012 /* vpsrlvq */, X86::VPSRLVQZ256rmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X }, },
{ 17012 /* vpsrlvq */, X86::VPSRLVQZrmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512 }, },
{ 17012 /* vpsrlvq */, X86::VPSRLVQZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17012 /* vpsrlvq */, X86::VPSRLVQZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17012 /* vpsrlvq */, X86::VPSRLVQZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17012 /* vpsrlvq */, X86::VPSRLVQZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17012 /* vpsrlvq */, X86::VPSRLVQZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17012 /* vpsrlvq */, X86::VPSRLVQZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17012 /* vpsrlvq */, X86::VPSRLVQZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17012 /* vpsrlvq */, X86::VPSRLVQZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17012 /* vpsrlvq */, X86::VPSRLVQZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17012 /* vpsrlvq */, X86::VPSRLVQZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17012 /* vpsrlvq */, X86::VPSRLVQZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17012 /* vpsrlvq */, X86::VPSRLVQZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17012 /* vpsrlvq */, X86::VPSRLVQZ128rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17012 /* vpsrlvq */, X86::VPSRLVQZ256rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17012 /* vpsrlvq */, X86::VPSRLVQZrmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17012 /* vpsrlvq */, X86::VPSRLVQZ128rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17012 /* vpsrlvq */, X86::VPSRLVQZ256rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17012 /* vpsrlvq */, X86::VPSRLVQZrmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17020 /* vpsrlvw */, X86::VPSRLVWZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17020 /* vpsrlvw */, X86::VPSRLVWZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 17020 /* vpsrlvw */, X86::VPSRLVWZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 17020 /* vpsrlvw */, X86::VPSRLVWZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 17020 /* vpsrlvw */, X86::VPSRLVWZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 17020 /* vpsrlvw */, X86::VPSRLVWZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 17020 /* vpsrlvw */, X86::VPSRLVWZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17020 /* vpsrlvw */, X86::VPSRLVWZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17020 /* vpsrlvw */, X86::VPSRLVWZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17020 /* vpsrlvw */, X86::VPSRLVWZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17020 /* vpsrlvw */, X86::VPSRLVWZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17020 /* vpsrlvw */, X86::VPSRLVWZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17020 /* vpsrlvw */, X86::VPSRLVWZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17020 /* vpsrlvw */, X86::VPSRLVWZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17020 /* vpsrlvw */, X86::VPSRLVWZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17020 /* vpsrlvw */, X86::VPSRLVWZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17020 /* vpsrlvw */, X86::VPSRLVWZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17020 /* vpsrlvw */, X86::VPSRLVWZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17028 /* vpsrlw */, X86::VPSRLWrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 17028 /* vpsrlw */, X86::VPSRLWYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_VR256, MCK_VR256 }, },
{ 17028 /* vpsrlw */, X86::VPSRLWZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17028 /* vpsrlw */, X86::VPSRLWZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK_VR256X }, },
{ 17028 /* vpsrlw */, X86::VPSRLWZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR512, MCK_VR512 }, },
{ 17028 /* vpsrlw */, X86::VPSRLWri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_FR16 }, },
{ 17028 /* vpsrlw */, X86::VPSRLWYri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256, MCK_VR256 }, },
{ 17028 /* vpsrlw */, X86::VPSRLWZ128ri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X }, },
{ 17028 /* vpsrlw */, X86::VPSRLWZ256ri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X }, },
{ 17028 /* vpsrlw */, X86::VPSRLWZri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512 }, },
{ 17028 /* vpsrlw */, X86::VPSRLWZ128mi, Convert__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X }, },
{ 17028 /* vpsrlw */, X86::VPSRLWZ256mi, Convert__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X }, },
{ 17028 /* vpsrlw */, X86::VPSRLWZmi, Convert__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512 }, },
{ 17028 /* vpsrlw */, X86::VPSRLWrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 17028 /* vpsrlw */, X86::VPSRLWYrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256, MCK_VR256 }, },
{ 17028 /* vpsrlw */, X86::VPSRLWZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 17028 /* vpsrlw */, X86::VPSRLWZ256rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256X, MCK_VR256X }, },
{ 17028 /* vpsrlw */, X86::VPSRLWZrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR512, MCK_VR512 }, },
{ 17028 /* vpsrlw */, X86::VPSRLWZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17028 /* vpsrlw */, X86::VPSRLWZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17028 /* vpsrlw */, X86::VPSRLWZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17028 /* vpsrlw */, X86::VPSRLWZ128rik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17028 /* vpsrlw */, X86::VPSRLWZ256rik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17028 /* vpsrlw */, X86::VPSRLWZrik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17028 /* vpsrlw */, X86::VPSRLWZ128mik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17028 /* vpsrlw */, X86::VPSRLWZ256mik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17028 /* vpsrlw */, X86::VPSRLWZmik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17028 /* vpsrlw */, X86::VPSRLWZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17028 /* vpsrlw */, X86::VPSRLWZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17028 /* vpsrlw */, X86::VPSRLWZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17028 /* vpsrlw */, X86::VPSRLWZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17028 /* vpsrlw */, X86::VPSRLWZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17028 /* vpsrlw */, X86::VPSRLWZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17028 /* vpsrlw */, X86::VPSRLWZ128rikz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17028 /* vpsrlw */, X86::VPSRLWZ256rikz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17028 /* vpsrlw */, X86::VPSRLWZrikz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17028 /* vpsrlw */, X86::VPSRLWZ128mikz, Convert__Reg1_2__Reg1_4__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17028 /* vpsrlw */, X86::VPSRLWZ256mikz, Convert__Reg1_2__Reg1_4__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17028 /* vpsrlw */, X86::VPSRLWZmikz, Convert__Reg1_2__Reg1_4__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17028 /* vpsrlw */, X86::VPSRLWZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17028 /* vpsrlw */, X86::VPSRLWZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17028 /* vpsrlw */, X86::VPSRLWZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17035 /* vpsubb */, X86::VPSUBBrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 17035 /* vpsubb */, X86::VPSUBBYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 17035 /* vpsubb */, X86::VPSUBBZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17035 /* vpsubb */, X86::VPSUBBZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 17035 /* vpsubb */, X86::VPSUBBZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 17035 /* vpsubb */, X86::VPSUBBrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 17035 /* vpsubb */, X86::VPSUBBZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 17035 /* vpsubb */, X86::VPSUBBYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 17035 /* vpsubb */, X86::VPSUBBZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 17035 /* vpsubb */, X86::VPSUBBZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 17035 /* vpsubb */, X86::VPSUBBZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17035 /* vpsubb */, X86::VPSUBBZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17035 /* vpsubb */, X86::VPSUBBZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17035 /* vpsubb */, X86::VPSUBBZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17035 /* vpsubb */, X86::VPSUBBZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17035 /* vpsubb */, X86::VPSUBBZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17035 /* vpsubb */, X86::VPSUBBZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17035 /* vpsubb */, X86::VPSUBBZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17035 /* vpsubb */, X86::VPSUBBZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17035 /* vpsubb */, X86::VPSUBBZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17035 /* vpsubb */, X86::VPSUBBZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17035 /* vpsubb */, X86::VPSUBBZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17042 /* vpsubd */, X86::VPSUBDrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 17042 /* vpsubd */, X86::VPSUBDYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 17042 /* vpsubd */, X86::VPSUBDZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17042 /* vpsubd */, X86::VPSUBDZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 17042 /* vpsubd */, X86::VPSUBDZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 17042 /* vpsubd */, X86::VPSUBDrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 17042 /* vpsubd */, X86::VPSUBDZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 17042 /* vpsubd */, X86::VPSUBDYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 17042 /* vpsubd */, X86::VPSUBDZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 17042 /* vpsubd */, X86::VPSUBDZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 17042 /* vpsubd */, X86::VPSUBDZrmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512 }, },
{ 17042 /* vpsubd */, X86::VPSUBDZ128rmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X }, },
{ 17042 /* vpsubd */, X86::VPSUBDZ256rmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X }, },
{ 17042 /* vpsubd */, X86::VPSUBDZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17042 /* vpsubd */, X86::VPSUBDZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17042 /* vpsubd */, X86::VPSUBDZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17042 /* vpsubd */, X86::VPSUBDZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17042 /* vpsubd */, X86::VPSUBDZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17042 /* vpsubd */, X86::VPSUBDZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17042 /* vpsubd */, X86::VPSUBDZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17042 /* vpsubd */, X86::VPSUBDZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17042 /* vpsubd */, X86::VPSUBDZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17042 /* vpsubd */, X86::VPSUBDZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17042 /* vpsubd */, X86::VPSUBDZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17042 /* vpsubd */, X86::VPSUBDZrmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17042 /* vpsubd */, X86::VPSUBDZ128rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17042 /* vpsubd */, X86::VPSUBDZ256rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17042 /* vpsubd */, X86::VPSUBDZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17042 /* vpsubd */, X86::VPSUBDZrmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17042 /* vpsubd */, X86::VPSUBDZ128rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17042 /* vpsubd */, X86::VPSUBDZ256rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17049 /* vpsubq */, X86::VPSUBQrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 17049 /* vpsubq */, X86::VPSUBQYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 17049 /* vpsubq */, X86::VPSUBQZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17049 /* vpsubq */, X86::VPSUBQZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 17049 /* vpsubq */, X86::VPSUBQZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 17049 /* vpsubq */, X86::VPSUBQrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 17049 /* vpsubq */, X86::VPSUBQZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 17049 /* vpsubq */, X86::VPSUBQYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 17049 /* vpsubq */, X86::VPSUBQZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 17049 /* vpsubq */, X86::VPSUBQZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 17049 /* vpsubq */, X86::VPSUBQZ128rmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X }, },
{ 17049 /* vpsubq */, X86::VPSUBQZ256rmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X }, },
{ 17049 /* vpsubq */, X86::VPSUBQZrmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512 }, },
{ 17049 /* vpsubq */, X86::VPSUBQZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17049 /* vpsubq */, X86::VPSUBQZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17049 /* vpsubq */, X86::VPSUBQZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17049 /* vpsubq */, X86::VPSUBQZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17049 /* vpsubq */, X86::VPSUBQZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17049 /* vpsubq */, X86::VPSUBQZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17049 /* vpsubq */, X86::VPSUBQZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17049 /* vpsubq */, X86::VPSUBQZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17049 /* vpsubq */, X86::VPSUBQZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17049 /* vpsubq */, X86::VPSUBQZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17049 /* vpsubq */, X86::VPSUBQZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17049 /* vpsubq */, X86::VPSUBQZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17049 /* vpsubq */, X86::VPSUBQZ128rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17049 /* vpsubq */, X86::VPSUBQZ256rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17049 /* vpsubq */, X86::VPSUBQZrmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17049 /* vpsubq */, X86::VPSUBQZ128rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17049 /* vpsubq */, X86::VPSUBQZ256rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17049 /* vpsubq */, X86::VPSUBQZrmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17056 /* vpsubsb */, X86::VPSUBSBrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 17056 /* vpsubsb */, X86::VPSUBSBYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 17056 /* vpsubsb */, X86::VPSUBSBZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17056 /* vpsubsb */, X86::VPSUBSBZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 17056 /* vpsubsb */, X86::VPSUBSBZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 17056 /* vpsubsb */, X86::VPSUBSBrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 17056 /* vpsubsb */, X86::VPSUBSBZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 17056 /* vpsubsb */, X86::VPSUBSBYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 17056 /* vpsubsb */, X86::VPSUBSBZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 17056 /* vpsubsb */, X86::VPSUBSBZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 17056 /* vpsubsb */, X86::VPSUBSBZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17056 /* vpsubsb */, X86::VPSUBSBZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17056 /* vpsubsb */, X86::VPSUBSBZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17056 /* vpsubsb */, X86::VPSUBSBZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17056 /* vpsubsb */, X86::VPSUBSBZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17056 /* vpsubsb */, X86::VPSUBSBZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17056 /* vpsubsb */, X86::VPSUBSBZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17056 /* vpsubsb */, X86::VPSUBSBZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17056 /* vpsubsb */, X86::VPSUBSBZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17056 /* vpsubsb */, X86::VPSUBSBZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17056 /* vpsubsb */, X86::VPSUBSBZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17056 /* vpsubsb */, X86::VPSUBSBZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17064 /* vpsubsw */, X86::VPSUBSWrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 17064 /* vpsubsw */, X86::VPSUBSWYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 17064 /* vpsubsw */, X86::VPSUBSWZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17064 /* vpsubsw */, X86::VPSUBSWZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 17064 /* vpsubsw */, X86::VPSUBSWZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 17064 /* vpsubsw */, X86::VPSUBSWrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 17064 /* vpsubsw */, X86::VPSUBSWZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 17064 /* vpsubsw */, X86::VPSUBSWYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 17064 /* vpsubsw */, X86::VPSUBSWZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 17064 /* vpsubsw */, X86::VPSUBSWZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 17064 /* vpsubsw */, X86::VPSUBSWZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17064 /* vpsubsw */, X86::VPSUBSWZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17064 /* vpsubsw */, X86::VPSUBSWZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17064 /* vpsubsw */, X86::VPSUBSWZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17064 /* vpsubsw */, X86::VPSUBSWZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17064 /* vpsubsw */, X86::VPSUBSWZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17064 /* vpsubsw */, X86::VPSUBSWZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17064 /* vpsubsw */, X86::VPSUBSWZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17064 /* vpsubsw */, X86::VPSUBSWZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17064 /* vpsubsw */, X86::VPSUBSWZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17064 /* vpsubsw */, X86::VPSUBSWZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17064 /* vpsubsw */, X86::VPSUBSWZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17072 /* vpsubusb */, X86::VPSUBUSBrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 17072 /* vpsubusb */, X86::VPSUBUSBYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 17072 /* vpsubusb */, X86::VPSUBUSBZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17072 /* vpsubusb */, X86::VPSUBUSBZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 17072 /* vpsubusb */, X86::VPSUBUSBZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 17072 /* vpsubusb */, X86::VPSUBUSBrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 17072 /* vpsubusb */, X86::VPSUBUSBZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 17072 /* vpsubusb */, X86::VPSUBUSBYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 17072 /* vpsubusb */, X86::VPSUBUSBZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 17072 /* vpsubusb */, X86::VPSUBUSBZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 17072 /* vpsubusb */, X86::VPSUBUSBZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17072 /* vpsubusb */, X86::VPSUBUSBZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17072 /* vpsubusb */, X86::VPSUBUSBZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17072 /* vpsubusb */, X86::VPSUBUSBZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17072 /* vpsubusb */, X86::VPSUBUSBZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17072 /* vpsubusb */, X86::VPSUBUSBZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17072 /* vpsubusb */, X86::VPSUBUSBZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17072 /* vpsubusb */, X86::VPSUBUSBZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17072 /* vpsubusb */, X86::VPSUBUSBZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17072 /* vpsubusb */, X86::VPSUBUSBZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17072 /* vpsubusb */, X86::VPSUBUSBZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17072 /* vpsubusb */, X86::VPSUBUSBZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17081 /* vpsubusw */, X86::VPSUBUSWrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 17081 /* vpsubusw */, X86::VPSUBUSWYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 17081 /* vpsubusw */, X86::VPSUBUSWZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17081 /* vpsubusw */, X86::VPSUBUSWZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 17081 /* vpsubusw */, X86::VPSUBUSWZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 17081 /* vpsubusw */, X86::VPSUBUSWrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 17081 /* vpsubusw */, X86::VPSUBUSWZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 17081 /* vpsubusw */, X86::VPSUBUSWYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 17081 /* vpsubusw */, X86::VPSUBUSWZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 17081 /* vpsubusw */, X86::VPSUBUSWZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 17081 /* vpsubusw */, X86::VPSUBUSWZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17081 /* vpsubusw */, X86::VPSUBUSWZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17081 /* vpsubusw */, X86::VPSUBUSWZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17081 /* vpsubusw */, X86::VPSUBUSWZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17081 /* vpsubusw */, X86::VPSUBUSWZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17081 /* vpsubusw */, X86::VPSUBUSWZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17081 /* vpsubusw */, X86::VPSUBUSWZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17081 /* vpsubusw */, X86::VPSUBUSWZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17081 /* vpsubusw */, X86::VPSUBUSWZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17081 /* vpsubusw */, X86::VPSUBUSWZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17081 /* vpsubusw */, X86::VPSUBUSWZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17081 /* vpsubusw */, X86::VPSUBUSWZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17090 /* vpsubw */, X86::VPSUBWrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 17090 /* vpsubw */, X86::VPSUBWYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 17090 /* vpsubw */, X86::VPSUBWZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17090 /* vpsubw */, X86::VPSUBWZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 17090 /* vpsubw */, X86::VPSUBWZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 17090 /* vpsubw */, X86::VPSUBWrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 17090 /* vpsubw */, X86::VPSUBWZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 17090 /* vpsubw */, X86::VPSUBWYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 17090 /* vpsubw */, X86::VPSUBWZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 17090 /* vpsubw */, X86::VPSUBWZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 17090 /* vpsubw */, X86::VPSUBWZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17090 /* vpsubw */, X86::VPSUBWZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17090 /* vpsubw */, X86::VPSUBWZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17090 /* vpsubw */, X86::VPSUBWZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17090 /* vpsubw */, X86::VPSUBWZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17090 /* vpsubw */, X86::VPSUBWZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17090 /* vpsubw */, X86::VPSUBWZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17090 /* vpsubw */, X86::VPSUBWZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17090 /* vpsubw */, X86::VPSUBWZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17090 /* vpsubw */, X86::VPSUBWZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17090 /* vpsubw */, X86::VPSUBWZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17090 /* vpsubw */, X86::VPSUBWZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17097 /* vpternlogd */, X86::VPTERNLOGDZ128rri, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17097 /* vpternlogd */, X86::VPTERNLOGDZ256rri, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 17097 /* vpternlogd */, X86::VPTERNLOGDZrri, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 17097 /* vpternlogd */, X86::VPTERNLOGDZ128rmi, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 17097 /* vpternlogd */, X86::VPTERNLOGDZ256rmi, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 17097 /* vpternlogd */, X86::VPTERNLOGDZrmi, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 17097 /* vpternlogd */, X86::VPTERNLOGDZrmbi, Convert__Reg1_4__Tie0_1_1__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512 }, },
{ 17097 /* vpternlogd */, X86::VPTERNLOGDZ128rmbi, Convert__Reg1_4__Tie0_1_1__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X }, },
{ 17097 /* vpternlogd */, X86::VPTERNLOGDZ256rmbi, Convert__Reg1_4__Tie0_1_1__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X }, },
{ 17097 /* vpternlogd */, X86::VPTERNLOGDZ128rrik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17097 /* vpternlogd */, X86::VPTERNLOGDZ256rrik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17097 /* vpternlogd */, X86::VPTERNLOGDZrrik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17097 /* vpternlogd */, X86::VPTERNLOGDZ128rmik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17097 /* vpternlogd */, X86::VPTERNLOGDZ256rmik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17097 /* vpternlogd */, X86::VPTERNLOGDZrmik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17097 /* vpternlogd */, X86::VPTERNLOGDZ128rrikz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17097 /* vpternlogd */, X86::VPTERNLOGDZ256rrikz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17097 /* vpternlogd */, X86::VPTERNLOGDZrrikz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17097 /* vpternlogd */, X86::VPTERNLOGDZ128rmikz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17097 /* vpternlogd */, X86::VPTERNLOGDZ256rmikz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17097 /* vpternlogd */, X86::VPTERNLOGDZrmbik, Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17097 /* vpternlogd */, X86::VPTERNLOGDZ128rmbik, Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17097 /* vpternlogd */, X86::VPTERNLOGDZ256rmbik, Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17097 /* vpternlogd */, X86::VPTERNLOGDZrmikz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17097 /* vpternlogd */, X86::VPTERNLOGDZrmbikz, Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17097 /* vpternlogd */, X86::VPTERNLOGDZ128rmbikz, Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17097 /* vpternlogd */, X86::VPTERNLOGDZ256rmbikz, Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17108 /* vpternlogq */, X86::VPTERNLOGQZ128rri, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17108 /* vpternlogq */, X86::VPTERNLOGQZ256rri, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 17108 /* vpternlogq */, X86::VPTERNLOGQZrri, Convert__Reg1_3__Tie0_1_1__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 17108 /* vpternlogq */, X86::VPTERNLOGQZ128rmi, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 17108 /* vpternlogq */, X86::VPTERNLOGQZ256rmi, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 17108 /* vpternlogq */, X86::VPTERNLOGQZrmi, Convert__Reg1_3__Tie0_1_1__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 17108 /* vpternlogq */, X86::VPTERNLOGQZ128rmbi, Convert__Reg1_4__Tie0_1_1__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X }, },
{ 17108 /* vpternlogq */, X86::VPTERNLOGQZ256rmbi, Convert__Reg1_4__Tie0_1_1__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X }, },
{ 17108 /* vpternlogq */, X86::VPTERNLOGQZrmbi, Convert__Reg1_4__Tie0_1_1__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512 }, },
{ 17108 /* vpternlogq */, X86::VPTERNLOGQZ128rrik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17108 /* vpternlogq */, X86::VPTERNLOGQZ256rrik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17108 /* vpternlogq */, X86::VPTERNLOGQZrrik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17108 /* vpternlogq */, X86::VPTERNLOGQZ128rmik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17108 /* vpternlogq */, X86::VPTERNLOGQZ256rmik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17108 /* vpternlogq */, X86::VPTERNLOGQZrmik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17108 /* vpternlogq */, X86::VPTERNLOGQZ128rrikz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17108 /* vpternlogq */, X86::VPTERNLOGQZ256rrikz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17108 /* vpternlogq */, X86::VPTERNLOGQZrrikz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17108 /* vpternlogq */, X86::VPTERNLOGQZ128rmikz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17108 /* vpternlogq */, X86::VPTERNLOGQZ256rmikz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17108 /* vpternlogq */, X86::VPTERNLOGQZrmikz, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17108 /* vpternlogq */, X86::VPTERNLOGQZ128rmbik, Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17108 /* vpternlogq */, X86::VPTERNLOGQZ256rmbik, Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17108 /* vpternlogq */, X86::VPTERNLOGQZrmbik, Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17108 /* vpternlogq */, X86::VPTERNLOGQZ128rmbikz, Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17108 /* vpternlogq */, X86::VPTERNLOGQZ256rmbikz, Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17108 /* vpternlogq */, X86::VPTERNLOGQZrmbikz, Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17119 /* vptest */, X86::VPTESTrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 17119 /* vptest */, X86::VPTESTYrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256 }, },
{ 17119 /* vptest */, X86::VPTESTrm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 17119 /* vptest */, X86::VPTESTYrm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256 }, },
{ 17126 /* vptestmb */, X86::VPTESTMBZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_VK1 }, },
{ 17126 /* vptestmb */, X86::VPTESTMBZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VK1 }, },
{ 17126 /* vptestmb */, X86::VPTESTMBZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VK1 }, },
{ 17126 /* vptestmb */, X86::VPTESTMBZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_VK1 }, },
{ 17126 /* vptestmb */, X86::VPTESTMBZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VK1 }, },
{ 17126 /* vptestmb */, X86::VPTESTMBZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VK1 }, },
{ 17126 /* vptestmb */, X86::VPTESTMBZ128rrk, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17126 /* vptestmb */, X86::VPTESTMBZ256rrk, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17126 /* vptestmb */, X86::VPTESTMBZrrk, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17126 /* vptestmb */, X86::VPTESTMBZ128rmk, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17126 /* vptestmb */, X86::VPTESTMBZ256rmk, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17126 /* vptestmb */, X86::VPTESTMBZrmk, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17135 /* vptestmd */, X86::VPTESTMDZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_VK1 }, },
{ 17135 /* vptestmd */, X86::VPTESTMDZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VK1 }, },
{ 17135 /* vptestmd */, X86::VPTESTMDZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VK1 }, },
{ 17135 /* vptestmd */, X86::VPTESTMDZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_VK1 }, },
{ 17135 /* vptestmd */, X86::VPTESTMDZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VK1 }, },
{ 17135 /* vptestmd */, X86::VPTESTMDZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VK1 }, },
{ 17135 /* vptestmd */, X86::VPTESTMDZrmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VK1 }, },
{ 17135 /* vptestmd */, X86::VPTESTMDZ128rmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_VK1 }, },
{ 17135 /* vptestmd */, X86::VPTESTMDZ256rmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VK1 }, },
{ 17135 /* vptestmd */, X86::VPTESTMDZ128rrk, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17135 /* vptestmd */, X86::VPTESTMDZ256rrk, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17135 /* vptestmd */, X86::VPTESTMDZrrk, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17135 /* vptestmd */, X86::VPTESTMDZ128rmk, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17135 /* vptestmd */, X86::VPTESTMDZ256rmk, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17135 /* vptestmd */, X86::VPTESTMDZrmk, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17135 /* vptestmd */, X86::VPTESTMDZrmbk, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17135 /* vptestmd */, X86::VPTESTMDZ128rmbk, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17135 /* vptestmd */, X86::VPTESTMDZ256rmbk, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17144 /* vptestmq */, X86::VPTESTMQZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_VK1 }, },
{ 17144 /* vptestmq */, X86::VPTESTMQZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VK1 }, },
{ 17144 /* vptestmq */, X86::VPTESTMQZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VK1 }, },
{ 17144 /* vptestmq */, X86::VPTESTMQZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_VK1 }, },
{ 17144 /* vptestmq */, X86::VPTESTMQZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VK1 }, },
{ 17144 /* vptestmq */, X86::VPTESTMQZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VK1 }, },
{ 17144 /* vptestmq */, X86::VPTESTMQZ128rmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_VK1 }, },
{ 17144 /* vptestmq */, X86::VPTESTMQZ256rmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VK1 }, },
{ 17144 /* vptestmq */, X86::VPTESTMQZrmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VK1 }, },
{ 17144 /* vptestmq */, X86::VPTESTMQZ128rrk, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17144 /* vptestmq */, X86::VPTESTMQZ256rrk, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17144 /* vptestmq */, X86::VPTESTMQZrrk, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17144 /* vptestmq */, X86::VPTESTMQZ128rmk, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17144 /* vptestmq */, X86::VPTESTMQZ256rmk, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17144 /* vptestmq */, X86::VPTESTMQZrmk, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17144 /* vptestmq */, X86::VPTESTMQZ128rmbk, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17144 /* vptestmq */, X86::VPTESTMQZ256rmbk, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17144 /* vptestmq */, X86::VPTESTMQZrmbk, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17153 /* vptestmw */, X86::VPTESTMWZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_VK1 }, },
{ 17153 /* vptestmw */, X86::VPTESTMWZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VK1 }, },
{ 17153 /* vptestmw */, X86::VPTESTMWZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VK1 }, },
{ 17153 /* vptestmw */, X86::VPTESTMWZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_VK1 }, },
{ 17153 /* vptestmw */, X86::VPTESTMWZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VK1 }, },
{ 17153 /* vptestmw */, X86::VPTESTMWZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VK1 }, },
{ 17153 /* vptestmw */, X86::VPTESTMWZ128rrk, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17153 /* vptestmw */, X86::VPTESTMWZ256rrk, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17153 /* vptestmw */, X86::VPTESTMWZrrk, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17153 /* vptestmw */, X86::VPTESTMWZ128rmk, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17153 /* vptestmw */, X86::VPTESTMWZ256rmk, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17153 /* vptestmw */, X86::VPTESTMWZrmk, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17162 /* vptestnmb */, X86::VPTESTNMBZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_VK1 }, },
{ 17162 /* vptestnmb */, X86::VPTESTNMBZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VK1 }, },
{ 17162 /* vptestnmb */, X86::VPTESTNMBZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VK1 }, },
{ 17162 /* vptestnmb */, X86::VPTESTNMBZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_VK1 }, },
{ 17162 /* vptestnmb */, X86::VPTESTNMBZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VK1 }, },
{ 17162 /* vptestnmb */, X86::VPTESTNMBZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VK1 }, },
{ 17162 /* vptestnmb */, X86::VPTESTNMBZ128rrk, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17162 /* vptestnmb */, X86::VPTESTNMBZ256rrk, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17162 /* vptestnmb */, X86::VPTESTNMBZrrk, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17162 /* vptestnmb */, X86::VPTESTNMBZ128rmk, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17162 /* vptestnmb */, X86::VPTESTNMBZ256rmk, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17162 /* vptestnmb */, X86::VPTESTNMBZrmk, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17172 /* vptestnmd */, X86::VPTESTNMDZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_VK1 }, },
{ 17172 /* vptestnmd */, X86::VPTESTNMDZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VK1 }, },
{ 17172 /* vptestnmd */, X86::VPTESTNMDZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VK1 }, },
{ 17172 /* vptestnmd */, X86::VPTESTNMDZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_VK1 }, },
{ 17172 /* vptestnmd */, X86::VPTESTNMDZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VK1 }, },
{ 17172 /* vptestnmd */, X86::VPTESTNMDZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VK1 }, },
{ 17172 /* vptestnmd */, X86::VPTESTNMDZrmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VK1 }, },
{ 17172 /* vptestnmd */, X86::VPTESTNMDZ128rmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_VK1 }, },
{ 17172 /* vptestnmd */, X86::VPTESTNMDZ256rmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VK1 }, },
{ 17172 /* vptestnmd */, X86::VPTESTNMDZ128rrk, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17172 /* vptestnmd */, X86::VPTESTNMDZ256rrk, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17172 /* vptestnmd */, X86::VPTESTNMDZrrk, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17172 /* vptestnmd */, X86::VPTESTNMDZ128rmk, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17172 /* vptestnmd */, X86::VPTESTNMDZ256rmk, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17172 /* vptestnmd */, X86::VPTESTNMDZrmk, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17172 /* vptestnmd */, X86::VPTESTNMDZrmbk, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17172 /* vptestnmd */, X86::VPTESTNMDZ128rmbk, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17172 /* vptestnmd */, X86::VPTESTNMDZ256rmbk, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17182 /* vptestnmq */, X86::VPTESTNMQZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_VK1 }, },
{ 17182 /* vptestnmq */, X86::VPTESTNMQZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VK1 }, },
{ 17182 /* vptestnmq */, X86::VPTESTNMQZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VK1 }, },
{ 17182 /* vptestnmq */, X86::VPTESTNMQZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_VK1 }, },
{ 17182 /* vptestnmq */, X86::VPTESTNMQZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VK1 }, },
{ 17182 /* vptestnmq */, X86::VPTESTNMQZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VK1 }, },
{ 17182 /* vptestnmq */, X86::VPTESTNMQZ128rmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_VK1 }, },
{ 17182 /* vptestnmq */, X86::VPTESTNMQZ256rmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VK1 }, },
{ 17182 /* vptestnmq */, X86::VPTESTNMQZrmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VK1 }, },
{ 17182 /* vptestnmq */, X86::VPTESTNMQZ128rrk, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17182 /* vptestnmq */, X86::VPTESTNMQZ256rrk, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17182 /* vptestnmq */, X86::VPTESTNMQZrrk, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17182 /* vptestnmq */, X86::VPTESTNMQZ128rmk, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17182 /* vptestnmq */, X86::VPTESTNMQZ256rmk, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17182 /* vptestnmq */, X86::VPTESTNMQZrmk, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17182 /* vptestnmq */, X86::VPTESTNMQZ128rmbk, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17182 /* vptestnmq */, X86::VPTESTNMQZ256rmbk, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17182 /* vptestnmq */, X86::VPTESTNMQZrmbk, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17192 /* vptestnmw */, X86::VPTESTNMWZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_VK1 }, },
{ 17192 /* vptestnmw */, X86::VPTESTNMWZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VK1 }, },
{ 17192 /* vptestnmw */, X86::VPTESTNMWZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VK1 }, },
{ 17192 /* vptestnmw */, X86::VPTESTNMWZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_VK1 }, },
{ 17192 /* vptestnmw */, X86::VPTESTNMWZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VK1 }, },
{ 17192 /* vptestnmw */, X86::VPTESTNMWZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VK1 }, },
{ 17192 /* vptestnmw */, X86::VPTESTNMWZ128rrk, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17192 /* vptestnmw */, X86::VPTESTNMWZ256rrk, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17192 /* vptestnmw */, X86::VPTESTNMWZrrk, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17192 /* vptestnmw */, X86::VPTESTNMWZ128rmk, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17192 /* vptestnmw */, X86::VPTESTNMWZ256rmk, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17192 /* vptestnmw */, X86::VPTESTNMWZrmk, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17202 /* vpunpckhbw */, X86::VPUNPCKHBWrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 17202 /* vpunpckhbw */, X86::VPUNPCKHBWYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 17202 /* vpunpckhbw */, X86::VPUNPCKHBWZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17202 /* vpunpckhbw */, X86::VPUNPCKHBWZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 17202 /* vpunpckhbw */, X86::VPUNPCKHBWZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 17202 /* vpunpckhbw */, X86::VPUNPCKHBWrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 17202 /* vpunpckhbw */, X86::VPUNPCKHBWZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 17202 /* vpunpckhbw */, X86::VPUNPCKHBWYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 17202 /* vpunpckhbw */, X86::VPUNPCKHBWZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 17202 /* vpunpckhbw */, X86::VPUNPCKHBWZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 17202 /* vpunpckhbw */, X86::VPUNPCKHBWZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17202 /* vpunpckhbw */, X86::VPUNPCKHBWZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17202 /* vpunpckhbw */, X86::VPUNPCKHBWZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17202 /* vpunpckhbw */, X86::VPUNPCKHBWZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17202 /* vpunpckhbw */, X86::VPUNPCKHBWZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17202 /* vpunpckhbw */, X86::VPUNPCKHBWZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17202 /* vpunpckhbw */, X86::VPUNPCKHBWZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17202 /* vpunpckhbw */, X86::VPUNPCKHBWZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17202 /* vpunpckhbw */, X86::VPUNPCKHBWZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17202 /* vpunpckhbw */, X86::VPUNPCKHBWZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17202 /* vpunpckhbw */, X86::VPUNPCKHBWZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17202 /* vpunpckhbw */, X86::VPUNPCKHBWZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17213 /* vpunpckhdq */, X86::VPUNPCKHDQrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 17213 /* vpunpckhdq */, X86::VPUNPCKHDQYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 17213 /* vpunpckhdq */, X86::VPUNPCKHDQZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17213 /* vpunpckhdq */, X86::VPUNPCKHDQZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 17213 /* vpunpckhdq */, X86::VPUNPCKHDQZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 17213 /* vpunpckhdq */, X86::VPUNPCKHDQrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 17213 /* vpunpckhdq */, X86::VPUNPCKHDQZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 17213 /* vpunpckhdq */, X86::VPUNPCKHDQYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 17213 /* vpunpckhdq */, X86::VPUNPCKHDQZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 17213 /* vpunpckhdq */, X86::VPUNPCKHDQZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 17213 /* vpunpckhdq */, X86::VPUNPCKHDQZrmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512 }, },
{ 17213 /* vpunpckhdq */, X86::VPUNPCKHDQZ128rmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X }, },
{ 17213 /* vpunpckhdq */, X86::VPUNPCKHDQZ256rmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X }, },
{ 17213 /* vpunpckhdq */, X86::VPUNPCKHDQZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17213 /* vpunpckhdq */, X86::VPUNPCKHDQZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17213 /* vpunpckhdq */, X86::VPUNPCKHDQZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17213 /* vpunpckhdq */, X86::VPUNPCKHDQZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17213 /* vpunpckhdq */, X86::VPUNPCKHDQZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17213 /* vpunpckhdq */, X86::VPUNPCKHDQZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17213 /* vpunpckhdq */, X86::VPUNPCKHDQZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17213 /* vpunpckhdq */, X86::VPUNPCKHDQZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17213 /* vpunpckhdq */, X86::VPUNPCKHDQZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17213 /* vpunpckhdq */, X86::VPUNPCKHDQZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17213 /* vpunpckhdq */, X86::VPUNPCKHDQZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17213 /* vpunpckhdq */, X86::VPUNPCKHDQZrmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17213 /* vpunpckhdq */, X86::VPUNPCKHDQZ128rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17213 /* vpunpckhdq */, X86::VPUNPCKHDQZ256rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17213 /* vpunpckhdq */, X86::VPUNPCKHDQZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17213 /* vpunpckhdq */, X86::VPUNPCKHDQZrmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17213 /* vpunpckhdq */, X86::VPUNPCKHDQZ128rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17213 /* vpunpckhdq */, X86::VPUNPCKHDQZ256rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17224 /* vpunpckhqdq */, X86::VPUNPCKHQDQrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 17224 /* vpunpckhqdq */, X86::VPUNPCKHQDQYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 17224 /* vpunpckhqdq */, X86::VPUNPCKHQDQZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17224 /* vpunpckhqdq */, X86::VPUNPCKHQDQZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 17224 /* vpunpckhqdq */, X86::VPUNPCKHQDQZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 17224 /* vpunpckhqdq */, X86::VPUNPCKHQDQrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 17224 /* vpunpckhqdq */, X86::VPUNPCKHQDQZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 17224 /* vpunpckhqdq */, X86::VPUNPCKHQDQYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 17224 /* vpunpckhqdq */, X86::VPUNPCKHQDQZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 17224 /* vpunpckhqdq */, X86::VPUNPCKHQDQZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 17224 /* vpunpckhqdq */, X86::VPUNPCKHQDQZ128rmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X }, },
{ 17224 /* vpunpckhqdq */, X86::VPUNPCKHQDQZ256rmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X }, },
{ 17224 /* vpunpckhqdq */, X86::VPUNPCKHQDQZrmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512 }, },
{ 17224 /* vpunpckhqdq */, X86::VPUNPCKHQDQZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17224 /* vpunpckhqdq */, X86::VPUNPCKHQDQZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17224 /* vpunpckhqdq */, X86::VPUNPCKHQDQZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17224 /* vpunpckhqdq */, X86::VPUNPCKHQDQZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17224 /* vpunpckhqdq */, X86::VPUNPCKHQDQZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17224 /* vpunpckhqdq */, X86::VPUNPCKHQDQZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17224 /* vpunpckhqdq */, X86::VPUNPCKHQDQZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17224 /* vpunpckhqdq */, X86::VPUNPCKHQDQZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17224 /* vpunpckhqdq */, X86::VPUNPCKHQDQZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17224 /* vpunpckhqdq */, X86::VPUNPCKHQDQZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17224 /* vpunpckhqdq */, X86::VPUNPCKHQDQZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17224 /* vpunpckhqdq */, X86::VPUNPCKHQDQZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17224 /* vpunpckhqdq */, X86::VPUNPCKHQDQZ128rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17224 /* vpunpckhqdq */, X86::VPUNPCKHQDQZ256rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17224 /* vpunpckhqdq */, X86::VPUNPCKHQDQZrmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17224 /* vpunpckhqdq */, X86::VPUNPCKHQDQZ128rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17224 /* vpunpckhqdq */, X86::VPUNPCKHQDQZ256rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17224 /* vpunpckhqdq */, X86::VPUNPCKHQDQZrmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17236 /* vpunpckhwd */, X86::VPUNPCKHWDrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 17236 /* vpunpckhwd */, X86::VPUNPCKHWDYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 17236 /* vpunpckhwd */, X86::VPUNPCKHWDZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17236 /* vpunpckhwd */, X86::VPUNPCKHWDZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 17236 /* vpunpckhwd */, X86::VPUNPCKHWDZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 17236 /* vpunpckhwd */, X86::VPUNPCKHWDrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 17236 /* vpunpckhwd */, X86::VPUNPCKHWDZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 17236 /* vpunpckhwd */, X86::VPUNPCKHWDYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 17236 /* vpunpckhwd */, X86::VPUNPCKHWDZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 17236 /* vpunpckhwd */, X86::VPUNPCKHWDZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 17236 /* vpunpckhwd */, X86::VPUNPCKHWDZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17236 /* vpunpckhwd */, X86::VPUNPCKHWDZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17236 /* vpunpckhwd */, X86::VPUNPCKHWDZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17236 /* vpunpckhwd */, X86::VPUNPCKHWDZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17236 /* vpunpckhwd */, X86::VPUNPCKHWDZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17236 /* vpunpckhwd */, X86::VPUNPCKHWDZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17236 /* vpunpckhwd */, X86::VPUNPCKHWDZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17236 /* vpunpckhwd */, X86::VPUNPCKHWDZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17236 /* vpunpckhwd */, X86::VPUNPCKHWDZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17236 /* vpunpckhwd */, X86::VPUNPCKHWDZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17236 /* vpunpckhwd */, X86::VPUNPCKHWDZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17236 /* vpunpckhwd */, X86::VPUNPCKHWDZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17247 /* vpunpcklbw */, X86::VPUNPCKLBWrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 17247 /* vpunpcklbw */, X86::VPUNPCKLBWYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 17247 /* vpunpcklbw */, X86::VPUNPCKLBWZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17247 /* vpunpcklbw */, X86::VPUNPCKLBWZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 17247 /* vpunpcklbw */, X86::VPUNPCKLBWZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 17247 /* vpunpcklbw */, X86::VPUNPCKLBWrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 17247 /* vpunpcklbw */, X86::VPUNPCKLBWZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 17247 /* vpunpcklbw */, X86::VPUNPCKLBWYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 17247 /* vpunpcklbw */, X86::VPUNPCKLBWZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 17247 /* vpunpcklbw */, X86::VPUNPCKLBWZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 17247 /* vpunpcklbw */, X86::VPUNPCKLBWZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17247 /* vpunpcklbw */, X86::VPUNPCKLBWZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17247 /* vpunpcklbw */, X86::VPUNPCKLBWZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17247 /* vpunpcklbw */, X86::VPUNPCKLBWZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17247 /* vpunpcklbw */, X86::VPUNPCKLBWZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17247 /* vpunpcklbw */, X86::VPUNPCKLBWZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17247 /* vpunpcklbw */, X86::VPUNPCKLBWZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17247 /* vpunpcklbw */, X86::VPUNPCKLBWZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17247 /* vpunpcklbw */, X86::VPUNPCKLBWZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17247 /* vpunpcklbw */, X86::VPUNPCKLBWZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17247 /* vpunpcklbw */, X86::VPUNPCKLBWZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17247 /* vpunpcklbw */, X86::VPUNPCKLBWZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17258 /* vpunpckldq */, X86::VPUNPCKLDQrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 17258 /* vpunpckldq */, X86::VPUNPCKLDQYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 17258 /* vpunpckldq */, X86::VPUNPCKLDQZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17258 /* vpunpckldq */, X86::VPUNPCKLDQZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 17258 /* vpunpckldq */, X86::VPUNPCKLDQZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 17258 /* vpunpckldq */, X86::VPUNPCKLDQrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 17258 /* vpunpckldq */, X86::VPUNPCKLDQZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 17258 /* vpunpckldq */, X86::VPUNPCKLDQYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 17258 /* vpunpckldq */, X86::VPUNPCKLDQZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 17258 /* vpunpckldq */, X86::VPUNPCKLDQZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 17258 /* vpunpckldq */, X86::VPUNPCKLDQZrmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512 }, },
{ 17258 /* vpunpckldq */, X86::VPUNPCKLDQZ128rmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X }, },
{ 17258 /* vpunpckldq */, X86::VPUNPCKLDQZ256rmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X }, },
{ 17258 /* vpunpckldq */, X86::VPUNPCKLDQZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17258 /* vpunpckldq */, X86::VPUNPCKLDQZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17258 /* vpunpckldq */, X86::VPUNPCKLDQZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17258 /* vpunpckldq */, X86::VPUNPCKLDQZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17258 /* vpunpckldq */, X86::VPUNPCKLDQZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17258 /* vpunpckldq */, X86::VPUNPCKLDQZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17258 /* vpunpckldq */, X86::VPUNPCKLDQZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17258 /* vpunpckldq */, X86::VPUNPCKLDQZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17258 /* vpunpckldq */, X86::VPUNPCKLDQZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17258 /* vpunpckldq */, X86::VPUNPCKLDQZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17258 /* vpunpckldq */, X86::VPUNPCKLDQZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17258 /* vpunpckldq */, X86::VPUNPCKLDQZrmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17258 /* vpunpckldq */, X86::VPUNPCKLDQZ128rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17258 /* vpunpckldq */, X86::VPUNPCKLDQZ256rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17258 /* vpunpckldq */, X86::VPUNPCKLDQZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17258 /* vpunpckldq */, X86::VPUNPCKLDQZrmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17258 /* vpunpckldq */, X86::VPUNPCKLDQZ128rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17258 /* vpunpckldq */, X86::VPUNPCKLDQZ256rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17269 /* vpunpcklqdq */, X86::VPUNPCKLQDQrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 17269 /* vpunpcklqdq */, X86::VPUNPCKLQDQYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 17269 /* vpunpcklqdq */, X86::VPUNPCKLQDQZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17269 /* vpunpcklqdq */, X86::VPUNPCKLQDQZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 17269 /* vpunpcklqdq */, X86::VPUNPCKLQDQZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 17269 /* vpunpcklqdq */, X86::VPUNPCKLQDQrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 17269 /* vpunpcklqdq */, X86::VPUNPCKLQDQZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 17269 /* vpunpcklqdq */, X86::VPUNPCKLQDQYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 17269 /* vpunpcklqdq */, X86::VPUNPCKLQDQZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 17269 /* vpunpcklqdq */, X86::VPUNPCKLQDQZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 17269 /* vpunpcklqdq */, X86::VPUNPCKLQDQZ128rmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X }, },
{ 17269 /* vpunpcklqdq */, X86::VPUNPCKLQDQZ256rmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X }, },
{ 17269 /* vpunpcklqdq */, X86::VPUNPCKLQDQZrmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512 }, },
{ 17269 /* vpunpcklqdq */, X86::VPUNPCKLQDQZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17269 /* vpunpcklqdq */, X86::VPUNPCKLQDQZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17269 /* vpunpcklqdq */, X86::VPUNPCKLQDQZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17269 /* vpunpcklqdq */, X86::VPUNPCKLQDQZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17269 /* vpunpcklqdq */, X86::VPUNPCKLQDQZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17269 /* vpunpcklqdq */, X86::VPUNPCKLQDQZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17269 /* vpunpcklqdq */, X86::VPUNPCKLQDQZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17269 /* vpunpcklqdq */, X86::VPUNPCKLQDQZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17269 /* vpunpcklqdq */, X86::VPUNPCKLQDQZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17269 /* vpunpcklqdq */, X86::VPUNPCKLQDQZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17269 /* vpunpcklqdq */, X86::VPUNPCKLQDQZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17269 /* vpunpcklqdq */, X86::VPUNPCKLQDQZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17269 /* vpunpcklqdq */, X86::VPUNPCKLQDQZ128rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17269 /* vpunpcklqdq */, X86::VPUNPCKLQDQZ256rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17269 /* vpunpcklqdq */, X86::VPUNPCKLQDQZrmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17269 /* vpunpcklqdq */, X86::VPUNPCKLQDQZ128rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17269 /* vpunpcklqdq */, X86::VPUNPCKLQDQZ256rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17269 /* vpunpcklqdq */, X86::VPUNPCKLQDQZrmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17281 /* vpunpcklwd */, X86::VPUNPCKLWDrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 17281 /* vpunpcklwd */, X86::VPUNPCKLWDYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 17281 /* vpunpcklwd */, X86::VPUNPCKLWDZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17281 /* vpunpcklwd */, X86::VPUNPCKLWDZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 17281 /* vpunpcklwd */, X86::VPUNPCKLWDZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 17281 /* vpunpcklwd */, X86::VPUNPCKLWDrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 17281 /* vpunpcklwd */, X86::VPUNPCKLWDZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 17281 /* vpunpcklwd */, X86::VPUNPCKLWDYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 17281 /* vpunpcklwd */, X86::VPUNPCKLWDZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 17281 /* vpunpcklwd */, X86::VPUNPCKLWDZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 17281 /* vpunpcklwd */, X86::VPUNPCKLWDZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17281 /* vpunpcklwd */, X86::VPUNPCKLWDZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17281 /* vpunpcklwd */, X86::VPUNPCKLWDZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17281 /* vpunpcklwd */, X86::VPUNPCKLWDZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17281 /* vpunpcklwd */, X86::VPUNPCKLWDZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17281 /* vpunpcklwd */, X86::VPUNPCKLWDZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17281 /* vpunpcklwd */, X86::VPUNPCKLWDZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17281 /* vpunpcklwd */, X86::VPUNPCKLWDZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17281 /* vpunpcklwd */, X86::VPUNPCKLWDZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17281 /* vpunpcklwd */, X86::VPUNPCKLWDZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17281 /* vpunpcklwd */, X86::VPUNPCKLWDZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17281 /* vpunpcklwd */, X86::VPUNPCKLWDZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17292 /* vpxor */, X86::VPXORrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 17292 /* vpxor */, X86::VPXORYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 17292 /* vpxor */, X86::VPXORrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 17292 /* vpxor */, X86::VPXORYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 17298 /* vpxord */, X86::VPXORDZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17298 /* vpxord */, X86::VPXORDZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 17298 /* vpxord */, X86::VPXORDZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 17298 /* vpxord */, X86::VPXORDZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 17298 /* vpxord */, X86::VPXORDZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 17298 /* vpxord */, X86::VPXORDZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 17298 /* vpxord */, X86::VPXORDZrmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512 }, },
{ 17298 /* vpxord */, X86::VPXORDZ128rmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X }, },
{ 17298 /* vpxord */, X86::VPXORDZ256rmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X }, },
{ 17298 /* vpxord */, X86::VPXORDZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17298 /* vpxord */, X86::VPXORDZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17298 /* vpxord */, X86::VPXORDZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17298 /* vpxord */, X86::VPXORDZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17298 /* vpxord */, X86::VPXORDZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17298 /* vpxord */, X86::VPXORDZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17298 /* vpxord */, X86::VPXORDZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17298 /* vpxord */, X86::VPXORDZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17298 /* vpxord */, X86::VPXORDZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17298 /* vpxord */, X86::VPXORDZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17298 /* vpxord */, X86::VPXORDZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17298 /* vpxord */, X86::VPXORDZrmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17298 /* vpxord */, X86::VPXORDZ128rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17298 /* vpxord */, X86::VPXORDZ256rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17298 /* vpxord */, X86::VPXORDZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17298 /* vpxord */, X86::VPXORDZrmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17298 /* vpxord */, X86::VPXORDZ128rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17298 /* vpxord */, X86::VPXORDZ256rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17305 /* vpxorq */, X86::VPXORQZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17305 /* vpxorq */, X86::VPXORQZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 17305 /* vpxorq */, X86::VPXORQZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 17305 /* vpxorq */, X86::VPXORQZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 17305 /* vpxorq */, X86::VPXORQZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 17305 /* vpxorq */, X86::VPXORQZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 17305 /* vpxorq */, X86::VPXORQZ128rmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X }, },
{ 17305 /* vpxorq */, X86::VPXORQZ256rmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X }, },
{ 17305 /* vpxorq */, X86::VPXORQZrmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512 }, },
{ 17305 /* vpxorq */, X86::VPXORQZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17305 /* vpxorq */, X86::VPXORQZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17305 /* vpxorq */, X86::VPXORQZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17305 /* vpxorq */, X86::VPXORQZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17305 /* vpxorq */, X86::VPXORQZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17305 /* vpxorq */, X86::VPXORQZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17305 /* vpxorq */, X86::VPXORQZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17305 /* vpxorq */, X86::VPXORQZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17305 /* vpxorq */, X86::VPXORQZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17305 /* vpxorq */, X86::VPXORQZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17305 /* vpxorq */, X86::VPXORQZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17305 /* vpxorq */, X86::VPXORQZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17305 /* vpxorq */, X86::VPXORQZ128rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17305 /* vpxorq */, X86::VPXORQZ256rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17305 /* vpxorq */, X86::VPXORQZrmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17305 /* vpxorq */, X86::VPXORQZ128rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17305 /* vpxorq */, X86::VPXORQZ256rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17305 /* vpxorq */, X86::VPXORQZrmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17312 /* vrangepd */, X86::VRANGEPDZ128rri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17312 /* vrangepd */, X86::VRANGEPDZ256rri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 17312 /* vrangepd */, X86::VRANGEPDZrri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 17312 /* vrangepd */, X86::VRANGEPDZ128rmi, Convert__Reg1_3__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 17312 /* vrangepd */, X86::VRANGEPDZ256rmi, Convert__Reg1_3__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 17312 /* vrangepd */, X86::VRANGEPDZrmi, Convert__Reg1_3__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 17312 /* vrangepd */, X86::VRANGEPDZrrib, Convert__Reg1_4__Reg1_3__Reg1_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK__123_sae_125_, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 17312 /* vrangepd */, X86::VRANGEPDZ128rmbi, Convert__Reg1_4__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X }, },
{ 17312 /* vrangepd */, X86::VRANGEPDZ256rmbi, Convert__Reg1_4__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X }, },
{ 17312 /* vrangepd */, X86::VRANGEPDZrmbi, Convert__Reg1_4__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512 }, },
{ 17312 /* vrangepd */, X86::VRANGEPDZ128rrik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17312 /* vrangepd */, X86::VRANGEPDZ256rrik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17312 /* vrangepd */, X86::VRANGEPDZrrik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17312 /* vrangepd */, X86::VRANGEPDZ128rmik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17312 /* vrangepd */, X86::VRANGEPDZ256rmik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17312 /* vrangepd */, X86::VRANGEPDZrmik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17312 /* vrangepd */, X86::VRANGEPDZrribk, Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Reg1_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK__123_sae_125_, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17312 /* vrangepd */, X86::VRANGEPDZ128rrikz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17312 /* vrangepd */, X86::VRANGEPDZ256rrikz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17312 /* vrangepd */, X86::VRANGEPDZrrikz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17312 /* vrangepd */, X86::VRANGEPDZ128rmikz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17312 /* vrangepd */, X86::VRANGEPDZ256rmikz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17312 /* vrangepd */, X86::VRANGEPDZrmikz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17312 /* vrangepd */, X86::VRANGEPDZ128rmbik, Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17312 /* vrangepd */, X86::VRANGEPDZ256rmbik, Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17312 /* vrangepd */, X86::VRANGEPDZrmbik, Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17312 /* vrangepd */, X86::VRANGEPDZrribkz, Convert__Reg1_4__Reg1_6__Reg1_3__Reg1_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK__123_sae_125_, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17312 /* vrangepd */, X86::VRANGEPDZ128rmbikz, Convert__Reg1_4__Reg1_6__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17312 /* vrangepd */, X86::VRANGEPDZ256rmbikz, Convert__Reg1_4__Reg1_6__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17312 /* vrangepd */, X86::VRANGEPDZrmbikz, Convert__Reg1_4__Reg1_6__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17321 /* vrangeps */, X86::VRANGEPSZ128rri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17321 /* vrangeps */, X86::VRANGEPSZ256rri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 17321 /* vrangeps */, X86::VRANGEPSZrri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 17321 /* vrangeps */, X86::VRANGEPSZ128rmi, Convert__Reg1_3__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 17321 /* vrangeps */, X86::VRANGEPSZ256rmi, Convert__Reg1_3__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 17321 /* vrangeps */, X86::VRANGEPSZrmi, Convert__Reg1_3__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 17321 /* vrangeps */, X86::VRANGEPSZrrib, Convert__Reg1_4__Reg1_3__Reg1_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK__123_sae_125_, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 17321 /* vrangeps */, X86::VRANGEPSZrmbi, Convert__Reg1_4__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512 }, },
{ 17321 /* vrangeps */, X86::VRANGEPSZ128rmbi, Convert__Reg1_4__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X }, },
{ 17321 /* vrangeps */, X86::VRANGEPSZ256rmbi, Convert__Reg1_4__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X }, },
{ 17321 /* vrangeps */, X86::VRANGEPSZ128rrik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17321 /* vrangeps */, X86::VRANGEPSZ256rrik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17321 /* vrangeps */, X86::VRANGEPSZrrik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17321 /* vrangeps */, X86::VRANGEPSZ128rmik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17321 /* vrangeps */, X86::VRANGEPSZ256rmik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17321 /* vrangeps */, X86::VRANGEPSZrmik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17321 /* vrangeps */, X86::VRANGEPSZrribk, Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Reg1_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK__123_sae_125_, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17321 /* vrangeps */, X86::VRANGEPSZ128rrikz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17321 /* vrangeps */, X86::VRANGEPSZ256rrikz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17321 /* vrangeps */, X86::VRANGEPSZrrikz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17321 /* vrangeps */, X86::VRANGEPSZ128rmikz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17321 /* vrangeps */, X86::VRANGEPSZ256rmikz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17321 /* vrangeps */, X86::VRANGEPSZrmbik, Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17321 /* vrangeps */, X86::VRANGEPSZ128rmbik, Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17321 /* vrangeps */, X86::VRANGEPSZ256rmbik, Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17321 /* vrangeps */, X86::VRANGEPSZrmikz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17321 /* vrangeps */, X86::VRANGEPSZrribkz, Convert__Reg1_4__Reg1_6__Reg1_3__Reg1_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK__123_sae_125_, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17321 /* vrangeps */, X86::VRANGEPSZrmbikz, Convert__Reg1_4__Reg1_6__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17321 /* vrangeps */, X86::VRANGEPSZ128rmbikz, Convert__Reg1_4__Reg1_6__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17321 /* vrangeps */, X86::VRANGEPSZ256rmbikz, Convert__Reg1_4__Reg1_6__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17330 /* vrangesd */, X86::VRANGESDZrri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17330 /* vrangesd */, X86::VRANGESDZrmi, Convert__Reg1_3__Reg1_2__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK_FR16X, MCK_FR16X }, },
{ 17330 /* vrangesd */, X86::VRANGESDZrrib, Convert__Reg1_4__Reg1_3__Reg1_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK__123_sae_125_, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17330 /* vrangesd */, X86::VRANGESDZrrik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17330 /* vrangesd */, X86::VRANGESDZrmik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17330 /* vrangesd */, X86::VRANGESDZrribk, Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Reg1_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK__123_sae_125_, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17330 /* vrangesd */, X86::VRANGESDZrrikz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17330 /* vrangesd */, X86::VRANGESDZrmikz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17330 /* vrangesd */, X86::VRANGESDZrribkz, Convert__Reg1_4__Reg1_6__Reg1_3__Reg1_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK__123_sae_125_, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17339 /* vrangess */, X86::VRANGESSZrri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17339 /* vrangess */, X86::VRANGESSZrmi, Convert__Reg1_3__Reg1_2__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK_FR16X, MCK_FR16X }, },
{ 17339 /* vrangess */, X86::VRANGESSZrrib, Convert__Reg1_4__Reg1_3__Reg1_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK__123_sae_125_, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17339 /* vrangess */, X86::VRANGESSZrrik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17339 /* vrangess */, X86::VRANGESSZrmik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17339 /* vrangess */, X86::VRANGESSZrribk, Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Reg1_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK__123_sae_125_, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17339 /* vrangess */, X86::VRANGESSZrrikz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17339 /* vrangess */, X86::VRANGESSZrmikz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17339 /* vrangess */, X86::VRANGESSZrribkz, Convert__Reg1_4__Reg1_6__Reg1_3__Reg1_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK__123_sae_125_, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17348 /* vrcp14pd */, X86::VRCP14PDZ128r, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 17348 /* vrcp14pd */, X86::VRCP14PDZ256r, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 17348 /* vrcp14pd */, X86::VRCP14PDZr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 17348 /* vrcp14pd */, X86::VRCP14PDZ128m, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X }, },
{ 17348 /* vrcp14pd */, X86::VRCP14PDZ256m, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X }, },
{ 17348 /* vrcp14pd */, X86::VRCP14PDZm, Convert__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512 }, },
{ 17348 /* vrcp14pd */, X86::VRCP14PDZ128mb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X }, },
{ 17348 /* vrcp14pd */, X86::VRCP14PDZ256mb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X }, },
{ 17348 /* vrcp14pd */, X86::VRCP14PDZmb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512 }, },
{ 17348 /* vrcp14pd */, X86::VRCP14PDZ128rk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17348 /* vrcp14pd */, X86::VRCP14PDZ256rk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17348 /* vrcp14pd */, X86::VRCP14PDZrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17348 /* vrcp14pd */, X86::VRCP14PDZ128mk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17348 /* vrcp14pd */, X86::VRCP14PDZ256mk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17348 /* vrcp14pd */, X86::VRCP14PDZmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17348 /* vrcp14pd */, X86::VRCP14PDZ128rkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17348 /* vrcp14pd */, X86::VRCP14PDZ256rkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17348 /* vrcp14pd */, X86::VRCP14PDZrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17348 /* vrcp14pd */, X86::VRCP14PDZ128mkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17348 /* vrcp14pd */, X86::VRCP14PDZ256mkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17348 /* vrcp14pd */, X86::VRCP14PDZmkz, Convert__Reg1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17348 /* vrcp14pd */, X86::VRCP14PDZ128mbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17348 /* vrcp14pd */, X86::VRCP14PDZ256mbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17348 /* vrcp14pd */, X86::VRCP14PDZmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17348 /* vrcp14pd */, X86::VRCP14PDZ128mbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17348 /* vrcp14pd */, X86::VRCP14PDZ256mbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17348 /* vrcp14pd */, X86::VRCP14PDZmbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17357 /* vrcp14ps */, X86::VRCP14PSZ128r, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 17357 /* vrcp14ps */, X86::VRCP14PSZ256r, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 17357 /* vrcp14ps */, X86::VRCP14PSZr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 17357 /* vrcp14ps */, X86::VRCP14PSZ128m, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X }, },
{ 17357 /* vrcp14ps */, X86::VRCP14PSZ256m, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X }, },
{ 17357 /* vrcp14ps */, X86::VRCP14PSZm, Convert__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512 }, },
{ 17357 /* vrcp14ps */, X86::VRCP14PSZmb, Convert__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512 }, },
{ 17357 /* vrcp14ps */, X86::VRCP14PSZ128mb, Convert__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X }, },
{ 17357 /* vrcp14ps */, X86::VRCP14PSZ256mb, Convert__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X }, },
{ 17357 /* vrcp14ps */, X86::VRCP14PSZ128rk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17357 /* vrcp14ps */, X86::VRCP14PSZ256rk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17357 /* vrcp14ps */, X86::VRCP14PSZrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17357 /* vrcp14ps */, X86::VRCP14PSZ128mk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17357 /* vrcp14ps */, X86::VRCP14PSZ256mk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17357 /* vrcp14ps */, X86::VRCP14PSZmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17357 /* vrcp14ps */, X86::VRCP14PSZ128rkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17357 /* vrcp14ps */, X86::VRCP14PSZ256rkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17357 /* vrcp14ps */, X86::VRCP14PSZrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17357 /* vrcp14ps */, X86::VRCP14PSZ128mkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17357 /* vrcp14ps */, X86::VRCP14PSZ256mkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17357 /* vrcp14ps */, X86::VRCP14PSZmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17357 /* vrcp14ps */, X86::VRCP14PSZ128mbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17357 /* vrcp14ps */, X86::VRCP14PSZ256mbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17357 /* vrcp14ps */, X86::VRCP14PSZmkz, Convert__Reg1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17357 /* vrcp14ps */, X86::VRCP14PSZmbkz, Convert__Reg1_2__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17357 /* vrcp14ps */, X86::VRCP14PSZ128mbkz, Convert__Reg1_2__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17357 /* vrcp14ps */, X86::VRCP14PSZ256mbkz, Convert__Reg1_2__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17366 /* vrcp14sd */, X86::VRCP14SDZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17366 /* vrcp14sd */, X86::VRCP14SDZrm, Convert__Reg1_2__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK_FR16X }, },
{ 17366 /* vrcp14sd */, X86::VRCP14SDZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17366 /* vrcp14sd */, X86::VRCP14SDZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17366 /* vrcp14sd */, X86::VRCP14SDZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17366 /* vrcp14sd */, X86::VRCP14SDZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17375 /* vrcp14ss */, X86::VRCP14SSZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17375 /* vrcp14ss */, X86::VRCP14SSZrm, Convert__Reg1_2__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X }, },
{ 17375 /* vrcp14ss */, X86::VRCP14SSZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17375 /* vrcp14ss */, X86::VRCP14SSZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17375 /* vrcp14ss */, X86::VRCP14SSZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17375 /* vrcp14ss */, X86::VRCP14SSZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17384 /* vrcp28pd */, X86::VRCP28PDZr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 17384 /* vrcp28pd */, X86::VRCP28PDZm, Convert__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512 }, },
{ 17384 /* vrcp28pd */, X86::VRCP28PDZrb, Convert__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_VR512, MCK_VR512 }, },
{ 17384 /* vrcp28pd */, X86::VRCP28PDZmb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512 }, },
{ 17384 /* vrcp28pd */, X86::VRCP28PDZrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17384 /* vrcp28pd */, X86::VRCP28PDZmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17384 /* vrcp28pd */, X86::VRCP28PDZrbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17384 /* vrcp28pd */, X86::VRCP28PDZrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17384 /* vrcp28pd */, X86::VRCP28PDZmkz, Convert__Reg1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17384 /* vrcp28pd */, X86::VRCP28PDZmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17384 /* vrcp28pd */, X86::VRCP28PDZrbkz, Convert__Reg1_2__Reg1_4__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17384 /* vrcp28pd */, X86::VRCP28PDZmbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17393 /* vrcp28ps */, X86::VRCP28PSZr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 17393 /* vrcp28ps */, X86::VRCP28PSZm, Convert__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512 }, },
{ 17393 /* vrcp28ps */, X86::VRCP28PSZrb, Convert__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_VR512, MCK_VR512 }, },
{ 17393 /* vrcp28ps */, X86::VRCP28PSZmb, Convert__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512 }, },
{ 17393 /* vrcp28ps */, X86::VRCP28PSZrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17393 /* vrcp28ps */, X86::VRCP28PSZmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17393 /* vrcp28ps */, X86::VRCP28PSZrbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17393 /* vrcp28ps */, X86::VRCP28PSZrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17393 /* vrcp28ps */, X86::VRCP28PSZmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17393 /* vrcp28ps */, X86::VRCP28PSZmkz, Convert__Reg1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17393 /* vrcp28ps */, X86::VRCP28PSZrbkz, Convert__Reg1_2__Reg1_4__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17393 /* vrcp28ps */, X86::VRCP28PSZmbkz, Convert__Reg1_2__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17402 /* vrcp28sd */, X86::VRCP28SDZr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17402 /* vrcp28sd */, X86::VRCP28SDZm, Convert__Reg1_2__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK_FR16X }, },
{ 17402 /* vrcp28sd */, X86::VRCP28SDZrb, Convert__Reg1_3__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17402 /* vrcp28sd */, X86::VRCP28SDZrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17402 /* vrcp28sd */, X86::VRCP28SDZmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17402 /* vrcp28sd */, X86::VRCP28SDZrbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17402 /* vrcp28sd */, X86::VRCP28SDZrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17402 /* vrcp28sd */, X86::VRCP28SDZmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17402 /* vrcp28sd */, X86::VRCP28SDZrbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17411 /* vrcp28ss */, X86::VRCP28SSZr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17411 /* vrcp28ss */, X86::VRCP28SSZm, Convert__Reg1_2__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X }, },
{ 17411 /* vrcp28ss */, X86::VRCP28SSZrb, Convert__Reg1_3__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17411 /* vrcp28ss */, X86::VRCP28SSZrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17411 /* vrcp28ss */, X86::VRCP28SSZmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17411 /* vrcp28ss */, X86::VRCP28SSZrbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17411 /* vrcp28ss */, X86::VRCP28SSZrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17411 /* vrcp28ss */, X86::VRCP28SSZmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17411 /* vrcp28ss */, X86::VRCP28SSZrbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17420 /* vrcpph */, X86::VRCPPHZ128r, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 17420 /* vrcpph */, X86::VRCPPHZ256r, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 17420 /* vrcpph */, X86::VRCPPHZr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 17420 /* vrcpph */, X86::VRCPPHZ128m, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X }, },
{ 17420 /* vrcpph */, X86::VRCPPHZ256m, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X }, },
{ 17420 /* vrcpph */, X86::VRCPPHZm, Convert__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512 }, },
{ 17420 /* vrcpph */, X86::VRCPPHZ256mb, Convert__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X }, },
{ 17420 /* vrcpph */, X86::VRCPPHZmb, Convert__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512 }, },
{ 17420 /* vrcpph */, X86::VRCPPHZ128mb, Convert__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X }, },
{ 17420 /* vrcpph */, X86::VRCPPHZ128rk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17420 /* vrcpph */, X86::VRCPPHZ256rk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17420 /* vrcpph */, X86::VRCPPHZrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17420 /* vrcpph */, X86::VRCPPHZ128mk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17420 /* vrcpph */, X86::VRCPPHZ256mk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17420 /* vrcpph */, X86::VRCPPHZmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17420 /* vrcpph */, X86::VRCPPHZ128rkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17420 /* vrcpph */, X86::VRCPPHZ256rkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17420 /* vrcpph */, X86::VRCPPHZrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17420 /* vrcpph */, X86::VRCPPHZ128mkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17420 /* vrcpph */, X86::VRCPPHZ256mbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17420 /* vrcpph */, X86::VRCPPHZmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17420 /* vrcpph */, X86::VRCPPHZ128mbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17420 /* vrcpph */, X86::VRCPPHZ256mkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17420 /* vrcpph */, X86::VRCPPHZmkz, Convert__Reg1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17420 /* vrcpph */, X86::VRCPPHZ256mbkz, Convert__Reg1_2__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17420 /* vrcpph */, X86::VRCPPHZmbkz, Convert__Reg1_2__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17420 /* vrcpph */, X86::VRCPPHZ128mbkz, Convert__Reg1_2__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17427 /* vrcpps */, X86::VRCPPSr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 17427 /* vrcpps */, X86::VRCPPSYr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256 }, },
{ 17427 /* vrcpps */, X86::VRCPPSm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 17427 /* vrcpps */, X86::VRCPPSYm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256 }, },
{ 17434 /* vrcpsh */, X86::VRCPSHZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17434 /* vrcpsh */, X86::VRCPSHZrm, Convert__Reg1_2__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16X, MCK_FR16X }, },
{ 17434 /* vrcpsh */, X86::VRCPSHZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17434 /* vrcpsh */, X86::VRCPSHZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17434 /* vrcpsh */, X86::VRCPSHZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17434 /* vrcpsh */, X86::VRCPSHZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17441 /* vrcpss */, X86::VRCPSSr_Int, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 17441 /* vrcpss */, X86::VRCPSSm_Int, Convert__Reg1_2__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16, MCK_FR16 }, },
{ 17448 /* vreducepd */, X86::VREDUCEPDZ128rri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X }, },
{ 17448 /* vreducepd */, X86::VREDUCEPDZ256rri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X }, },
{ 17448 /* vreducepd */, X86::VREDUCEPDZrri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512 }, },
{ 17448 /* vreducepd */, X86::VREDUCEPDZ128rmi, Convert__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X }, },
{ 17448 /* vreducepd */, X86::VREDUCEPDZ256rmi, Convert__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X }, },
{ 17448 /* vreducepd */, X86::VREDUCEPDZrmi, Convert__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512 }, },
{ 17448 /* vreducepd */, X86::VREDUCEPDZrrib, Convert__Reg1_3__Reg1_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK__123_sae_125_, MCK_VR512, MCK_VR512 }, },
{ 17448 /* vreducepd */, X86::VREDUCEPDZ128rmbi, Convert__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X }, },
{ 17448 /* vreducepd */, X86::VREDUCEPDZ256rmbi, Convert__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X }, },
{ 17448 /* vreducepd */, X86::VREDUCEPDZrmbi, Convert__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to8_125_, MCK_VR512 }, },
{ 17448 /* vreducepd */, X86::VREDUCEPDZ128rrik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17448 /* vreducepd */, X86::VREDUCEPDZ256rrik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17448 /* vreducepd */, X86::VREDUCEPDZrrik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17448 /* vreducepd */, X86::VREDUCEPDZ128rmik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17448 /* vreducepd */, X86::VREDUCEPDZ256rmik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17448 /* vreducepd */, X86::VREDUCEPDZrmik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17448 /* vreducepd */, X86::VREDUCEPDZrribk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK__123_sae_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17448 /* vreducepd */, X86::VREDUCEPDZ128rrikz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17448 /* vreducepd */, X86::VREDUCEPDZ256rrikz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17448 /* vreducepd */, X86::VREDUCEPDZrrikz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17448 /* vreducepd */, X86::VREDUCEPDZ128rmikz, Convert__Reg1_2__Reg1_4__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17448 /* vreducepd */, X86::VREDUCEPDZ256rmikz, Convert__Reg1_2__Reg1_4__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17448 /* vreducepd */, X86::VREDUCEPDZrmikz, Convert__Reg1_2__Reg1_4__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17448 /* vreducepd */, X86::VREDUCEPDZ128rmbik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17448 /* vreducepd */, X86::VREDUCEPDZ256rmbik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17448 /* vreducepd */, X86::VREDUCEPDZrmbik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17448 /* vreducepd */, X86::VREDUCEPDZrribkz, Convert__Reg1_3__Reg1_5__Reg1_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK__123_sae_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17448 /* vreducepd */, X86::VREDUCEPDZ128rmbikz, Convert__Reg1_3__Reg1_5__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17448 /* vreducepd */, X86::VREDUCEPDZ256rmbikz, Convert__Reg1_3__Reg1_5__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17448 /* vreducepd */, X86::VREDUCEPDZrmbikz, Convert__Reg1_3__Reg1_5__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17458 /* vreduceph */, X86::VREDUCEPHZ128rri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X }, },
{ 17458 /* vreduceph */, X86::VREDUCEPHZ256rri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X }, },
{ 17458 /* vreduceph */, X86::VREDUCEPHZrri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512 }, },
{ 17458 /* vreduceph */, X86::VREDUCEPHZ128rmi, Convert__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X }, },
{ 17458 /* vreduceph */, X86::VREDUCEPHZ256rmi, Convert__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X }, },
{ 17458 /* vreduceph */, X86::VREDUCEPHZrmi, Convert__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512 }, },
{ 17458 /* vreduceph */, X86::VREDUCEPHZrrib, Convert__Reg1_3__Reg1_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK__123_sae_125_, MCK_VR512, MCK_VR512 }, },
{ 17458 /* vreduceph */, X86::VREDUCEPHZ256rmbi, Convert__Reg1_3__Mem165_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X }, },
{ 17458 /* vreduceph */, X86::VREDUCEPHZrmbi, Convert__Reg1_3__Mem165_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem16, MCK__123_1to32_125_, MCK_VR512 }, },
{ 17458 /* vreduceph */, X86::VREDUCEPHZ128rmbi, Convert__Reg1_3__Mem165_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X }, },
{ 17458 /* vreduceph */, X86::VREDUCEPHZ128rrik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17458 /* vreduceph */, X86::VREDUCEPHZ256rrik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17458 /* vreduceph */, X86::VREDUCEPHZrrik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17458 /* vreduceph */, X86::VREDUCEPHZ128rmik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17458 /* vreduceph */, X86::VREDUCEPHZ256rmik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17458 /* vreduceph */, X86::VREDUCEPHZrmik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17458 /* vreduceph */, X86::VREDUCEPHZrribk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK__123_sae_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17458 /* vreduceph */, X86::VREDUCEPHZ128rrikz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17458 /* vreduceph */, X86::VREDUCEPHZ256rrikz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17458 /* vreduceph */, X86::VREDUCEPHZrrikz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17458 /* vreduceph */, X86::VREDUCEPHZ128rmikz, Convert__Reg1_2__Reg1_4__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17458 /* vreduceph */, X86::VREDUCEPHZ256rmbik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Mem165_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17458 /* vreduceph */, X86::VREDUCEPHZrmbik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Mem165_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17458 /* vreduceph */, X86::VREDUCEPHZ128rmbik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Mem165_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17458 /* vreduceph */, X86::VREDUCEPHZ256rmikz, Convert__Reg1_2__Reg1_4__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17458 /* vreduceph */, X86::VREDUCEPHZrmikz, Convert__Reg1_2__Reg1_4__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17458 /* vreduceph */, X86::VREDUCEPHZrribkz, Convert__Reg1_3__Reg1_5__Reg1_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK__123_sae_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17458 /* vreduceph */, X86::VREDUCEPHZ256rmbikz, Convert__Reg1_3__Reg1_5__Mem165_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17458 /* vreduceph */, X86::VREDUCEPHZrmbikz, Convert__Reg1_3__Reg1_5__Mem165_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17458 /* vreduceph */, X86::VREDUCEPHZ128rmbikz, Convert__Reg1_3__Reg1_5__Mem165_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17468 /* vreduceps */, X86::VREDUCEPSZ128rri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X }, },
{ 17468 /* vreduceps */, X86::VREDUCEPSZ256rri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X }, },
{ 17468 /* vreduceps */, X86::VREDUCEPSZrri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512 }, },
{ 17468 /* vreduceps */, X86::VREDUCEPSZ128rmi, Convert__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X }, },
{ 17468 /* vreduceps */, X86::VREDUCEPSZ256rmi, Convert__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X }, },
{ 17468 /* vreduceps */, X86::VREDUCEPSZrmi, Convert__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512 }, },
{ 17468 /* vreduceps */, X86::VREDUCEPSZrrib, Convert__Reg1_3__Reg1_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK__123_sae_125_, MCK_VR512, MCK_VR512 }, },
{ 17468 /* vreduceps */, X86::VREDUCEPSZrmbi, Convert__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to16_125_, MCK_VR512 }, },
{ 17468 /* vreduceps */, X86::VREDUCEPSZ128rmbi, Convert__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X }, },
{ 17468 /* vreduceps */, X86::VREDUCEPSZ256rmbi, Convert__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X }, },
{ 17468 /* vreduceps */, X86::VREDUCEPSZ128rrik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17468 /* vreduceps */, X86::VREDUCEPSZ256rrik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17468 /* vreduceps */, X86::VREDUCEPSZrrik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17468 /* vreduceps */, X86::VREDUCEPSZ128rmik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17468 /* vreduceps */, X86::VREDUCEPSZ256rmik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17468 /* vreduceps */, X86::VREDUCEPSZrmik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17468 /* vreduceps */, X86::VREDUCEPSZrribk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK__123_sae_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17468 /* vreduceps */, X86::VREDUCEPSZ128rrikz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17468 /* vreduceps */, X86::VREDUCEPSZ256rrikz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17468 /* vreduceps */, X86::VREDUCEPSZrrikz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17468 /* vreduceps */, X86::VREDUCEPSZ128rmikz, Convert__Reg1_2__Reg1_4__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17468 /* vreduceps */, X86::VREDUCEPSZ256rmikz, Convert__Reg1_2__Reg1_4__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17468 /* vreduceps */, X86::VREDUCEPSZrmbik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17468 /* vreduceps */, X86::VREDUCEPSZ128rmbik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17468 /* vreduceps */, X86::VREDUCEPSZ256rmbik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17468 /* vreduceps */, X86::VREDUCEPSZrmikz, Convert__Reg1_2__Reg1_4__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17468 /* vreduceps */, X86::VREDUCEPSZrribkz, Convert__Reg1_3__Reg1_5__Reg1_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK__123_sae_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17468 /* vreduceps */, X86::VREDUCEPSZrmbikz, Convert__Reg1_3__Reg1_5__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17468 /* vreduceps */, X86::VREDUCEPSZ128rmbikz, Convert__Reg1_3__Reg1_5__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17468 /* vreduceps */, X86::VREDUCEPSZ256rmbikz, Convert__Reg1_3__Reg1_5__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17478 /* vreducesd */, X86::VREDUCESDZrri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17478 /* vreducesd */, X86::VREDUCESDZrmi, Convert__Reg1_3__Reg1_2__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK_FR16X, MCK_FR16X }, },
{ 17478 /* vreducesd */, X86::VREDUCESDZrrib, Convert__Reg1_4__Reg1_3__Reg1_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK__123_sae_125_, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17478 /* vreducesd */, X86::VREDUCESDZrrik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17478 /* vreducesd */, X86::VREDUCESDZrmik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17478 /* vreducesd */, X86::VREDUCESDZrribk, Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Reg1_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK__123_sae_125_, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17478 /* vreducesd */, X86::VREDUCESDZrrikz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17478 /* vreducesd */, X86::VREDUCESDZrmikz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17478 /* vreducesd */, X86::VREDUCESDZrribkz, Convert__Reg1_4__Reg1_6__Reg1_3__Reg1_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK__123_sae_125_, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17488 /* vreducesh */, X86::VREDUCESHZrri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17488 /* vreducesh */, X86::VREDUCESHZrmi, Convert__Reg1_3__Reg1_2__Mem165_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem16, MCK_FR16X, MCK_FR16X }, },
{ 17488 /* vreducesh */, X86::VREDUCESHZrrib, Convert__Reg1_4__Reg1_3__Reg1_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK__123_sae_125_, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17488 /* vreducesh */, X86::VREDUCESHZrrik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17488 /* vreducesh */, X86::VREDUCESHZrmik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem16, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17488 /* vreducesh */, X86::VREDUCESHZrribk, Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Reg1_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK__123_sae_125_, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17488 /* vreducesh */, X86::VREDUCESHZrrikz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17488 /* vreducesh */, X86::VREDUCESHZrmikz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem165_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem16, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17488 /* vreducesh */, X86::VREDUCESHZrribkz, Convert__Reg1_4__Reg1_6__Reg1_3__Reg1_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK__123_sae_125_, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17498 /* vreducess */, X86::VREDUCESSZrri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17498 /* vreducess */, X86::VREDUCESSZrmi, Convert__Reg1_3__Reg1_2__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK_FR16X, MCK_FR16X }, },
{ 17498 /* vreducess */, X86::VREDUCESSZrrib, Convert__Reg1_4__Reg1_3__Reg1_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK__123_sae_125_, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17498 /* vreducess */, X86::VREDUCESSZrrik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17498 /* vreducess */, X86::VREDUCESSZrmik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17498 /* vreducess */, X86::VREDUCESSZrribk, Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Reg1_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK__123_sae_125_, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17498 /* vreducess */, X86::VREDUCESSZrrikz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17498 /* vreducess */, X86::VREDUCESSZrmikz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17498 /* vreducess */, X86::VREDUCESSZrribkz, Convert__Reg1_4__Reg1_6__Reg1_3__Reg1_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK__123_sae_125_, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17508 /* vrndscalepd */, X86::VRNDSCALEPDZ128rri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X }, },
{ 17508 /* vrndscalepd */, X86::VRNDSCALEPDZ256rri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X }, },
{ 17508 /* vrndscalepd */, X86::VRNDSCALEPDZrri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512 }, },
{ 17508 /* vrndscalepd */, X86::VRNDSCALEPDZ128rmi, Convert__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X }, },
{ 17508 /* vrndscalepd */, X86::VRNDSCALEPDZ256rmi, Convert__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X }, },
{ 17508 /* vrndscalepd */, X86::VRNDSCALEPDZrmi, Convert__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512 }, },
{ 17508 /* vrndscalepd */, X86::VRNDSCALEPDZrrib, Convert__Reg1_3__Reg1_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK__123_sae_125_, MCK_VR512, MCK_VR512 }, },
{ 17508 /* vrndscalepd */, X86::VRNDSCALEPDZ128rmbi, Convert__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X }, },
{ 17508 /* vrndscalepd */, X86::VRNDSCALEPDZ256rmbi, Convert__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X }, },
{ 17508 /* vrndscalepd */, X86::VRNDSCALEPDZrmbi, Convert__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to8_125_, MCK_VR512 }, },
{ 17508 /* vrndscalepd */, X86::VRNDSCALEPDZ128rrik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17508 /* vrndscalepd */, X86::VRNDSCALEPDZ256rrik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17508 /* vrndscalepd */, X86::VRNDSCALEPDZrrik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17508 /* vrndscalepd */, X86::VRNDSCALEPDZ128rmik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17508 /* vrndscalepd */, X86::VRNDSCALEPDZ256rmik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17508 /* vrndscalepd */, X86::VRNDSCALEPDZrmik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17508 /* vrndscalepd */, X86::VRNDSCALEPDZrribk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK__123_sae_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17508 /* vrndscalepd */, X86::VRNDSCALEPDZ128rrikz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17508 /* vrndscalepd */, X86::VRNDSCALEPDZ256rrikz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17508 /* vrndscalepd */, X86::VRNDSCALEPDZrrikz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17508 /* vrndscalepd */, X86::VRNDSCALEPDZ128rmikz, Convert__Reg1_2__Reg1_4__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17508 /* vrndscalepd */, X86::VRNDSCALEPDZ256rmikz, Convert__Reg1_2__Reg1_4__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17508 /* vrndscalepd */, X86::VRNDSCALEPDZrmikz, Convert__Reg1_2__Reg1_4__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17508 /* vrndscalepd */, X86::VRNDSCALEPDZ128rmbik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17508 /* vrndscalepd */, X86::VRNDSCALEPDZ256rmbik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17508 /* vrndscalepd */, X86::VRNDSCALEPDZrmbik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17508 /* vrndscalepd */, X86::VRNDSCALEPDZrribkz, Convert__Reg1_3__Reg1_5__Reg1_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK__123_sae_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17508 /* vrndscalepd */, X86::VRNDSCALEPDZ128rmbikz, Convert__Reg1_3__Reg1_5__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17508 /* vrndscalepd */, X86::VRNDSCALEPDZ256rmbikz, Convert__Reg1_3__Reg1_5__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17508 /* vrndscalepd */, X86::VRNDSCALEPDZrmbikz, Convert__Reg1_3__Reg1_5__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17520 /* vrndscaleph */, X86::VRNDSCALEPHZ128rri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X }, },
{ 17520 /* vrndscaleph */, X86::VRNDSCALEPHZ256rri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X }, },
{ 17520 /* vrndscaleph */, X86::VRNDSCALEPHZrri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512 }, },
{ 17520 /* vrndscaleph */, X86::VRNDSCALEPHZ128rmi, Convert__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X }, },
{ 17520 /* vrndscaleph */, X86::VRNDSCALEPHZ256rmi, Convert__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X }, },
{ 17520 /* vrndscaleph */, X86::VRNDSCALEPHZrmi, Convert__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512 }, },
{ 17520 /* vrndscaleph */, X86::VRNDSCALEPHZrrib, Convert__Reg1_3__Reg1_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK__123_sae_125_, MCK_VR512, MCK_VR512 }, },
{ 17520 /* vrndscaleph */, X86::VRNDSCALEPHZ256rmbi, Convert__Reg1_3__Mem165_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X }, },
{ 17520 /* vrndscaleph */, X86::VRNDSCALEPHZrmbi, Convert__Reg1_3__Mem165_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem16, MCK__123_1to32_125_, MCK_VR512 }, },
{ 17520 /* vrndscaleph */, X86::VRNDSCALEPHZ128rmbi, Convert__Reg1_3__Mem165_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X }, },
{ 17520 /* vrndscaleph */, X86::VRNDSCALEPHZ128rrik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17520 /* vrndscaleph */, X86::VRNDSCALEPHZ256rrik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17520 /* vrndscaleph */, X86::VRNDSCALEPHZrrik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17520 /* vrndscaleph */, X86::VRNDSCALEPHZ128rmik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17520 /* vrndscaleph */, X86::VRNDSCALEPHZ256rmik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17520 /* vrndscaleph */, X86::VRNDSCALEPHZrmik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17520 /* vrndscaleph */, X86::VRNDSCALEPHZrribk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK__123_sae_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17520 /* vrndscaleph */, X86::VRNDSCALEPHZ128rrikz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17520 /* vrndscaleph */, X86::VRNDSCALEPHZ256rrikz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17520 /* vrndscaleph */, X86::VRNDSCALEPHZrrikz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17520 /* vrndscaleph */, X86::VRNDSCALEPHZ128rmikz, Convert__Reg1_2__Reg1_4__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17520 /* vrndscaleph */, X86::VRNDSCALEPHZ256rmbik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Mem165_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17520 /* vrndscaleph */, X86::VRNDSCALEPHZrmbik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Mem165_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17520 /* vrndscaleph */, X86::VRNDSCALEPHZ128rmbik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Mem165_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17520 /* vrndscaleph */, X86::VRNDSCALEPHZ256rmikz, Convert__Reg1_2__Reg1_4__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17520 /* vrndscaleph */, X86::VRNDSCALEPHZrmikz, Convert__Reg1_2__Reg1_4__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17520 /* vrndscaleph */, X86::VRNDSCALEPHZrribkz, Convert__Reg1_3__Reg1_5__Reg1_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK__123_sae_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17520 /* vrndscaleph */, X86::VRNDSCALEPHZ256rmbikz, Convert__Reg1_3__Reg1_5__Mem165_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17520 /* vrndscaleph */, X86::VRNDSCALEPHZrmbikz, Convert__Reg1_3__Reg1_5__Mem165_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17520 /* vrndscaleph */, X86::VRNDSCALEPHZ128rmbikz, Convert__Reg1_3__Reg1_5__Mem165_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17532 /* vrndscaleps */, X86::VRNDSCALEPSZ128rri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X }, },
{ 17532 /* vrndscaleps */, X86::VRNDSCALEPSZ256rri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X }, },
{ 17532 /* vrndscaleps */, X86::VRNDSCALEPSZrri, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512 }, },
{ 17532 /* vrndscaleps */, X86::VRNDSCALEPSZ128rmi, Convert__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X }, },
{ 17532 /* vrndscaleps */, X86::VRNDSCALEPSZ256rmi, Convert__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X }, },
{ 17532 /* vrndscaleps */, X86::VRNDSCALEPSZrmi, Convert__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512 }, },
{ 17532 /* vrndscaleps */, X86::VRNDSCALEPSZrrib, Convert__Reg1_3__Reg1_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK__123_sae_125_, MCK_VR512, MCK_VR512 }, },
{ 17532 /* vrndscaleps */, X86::VRNDSCALEPSZrmbi, Convert__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to16_125_, MCK_VR512 }, },
{ 17532 /* vrndscaleps */, X86::VRNDSCALEPSZ128rmbi, Convert__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X }, },
{ 17532 /* vrndscaleps */, X86::VRNDSCALEPSZ256rmbi, Convert__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X }, },
{ 17532 /* vrndscaleps */, X86::VRNDSCALEPSZ128rrik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17532 /* vrndscaleps */, X86::VRNDSCALEPSZ256rrik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17532 /* vrndscaleps */, X86::VRNDSCALEPSZrrik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17532 /* vrndscaleps */, X86::VRNDSCALEPSZ128rmik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17532 /* vrndscaleps */, X86::VRNDSCALEPSZ256rmik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17532 /* vrndscaleps */, X86::VRNDSCALEPSZrmik, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17532 /* vrndscaleps */, X86::VRNDSCALEPSZrribk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK__123_sae_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17532 /* vrndscaleps */, X86::VRNDSCALEPSZ128rrikz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17532 /* vrndscaleps */, X86::VRNDSCALEPSZ256rrikz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17532 /* vrndscaleps */, X86::VRNDSCALEPSZrrikz, Convert__Reg1_2__Reg1_4__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17532 /* vrndscaleps */, X86::VRNDSCALEPSZ128rmikz, Convert__Reg1_2__Reg1_4__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17532 /* vrndscaleps */, X86::VRNDSCALEPSZ256rmikz, Convert__Reg1_2__Reg1_4__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17532 /* vrndscaleps */, X86::VRNDSCALEPSZrmbik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17532 /* vrndscaleps */, X86::VRNDSCALEPSZ128rmbik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17532 /* vrndscaleps */, X86::VRNDSCALEPSZ256rmbik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17532 /* vrndscaleps */, X86::VRNDSCALEPSZrmikz, Convert__Reg1_2__Reg1_4__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17532 /* vrndscaleps */, X86::VRNDSCALEPSZrribkz, Convert__Reg1_3__Reg1_5__Reg1_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK__123_sae_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17532 /* vrndscaleps */, X86::VRNDSCALEPSZrmbikz, Convert__Reg1_3__Reg1_5__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17532 /* vrndscaleps */, X86::VRNDSCALEPSZ128rmbikz, Convert__Reg1_3__Reg1_5__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17532 /* vrndscaleps */, X86::VRNDSCALEPSZ256rmbikz, Convert__Reg1_3__Reg1_5__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17544 /* vrndscalesd */, X86::VRNDSCALESDZr_Int, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17544 /* vrndscalesd */, X86::VRNDSCALESDZm_Int, Convert__Reg1_3__Reg1_2__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK_FR16X, MCK_FR16X }, },
{ 17544 /* vrndscalesd */, X86::VRNDSCALESDZrb_Int, Convert__Reg1_4__Reg1_3__Reg1_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK__123_sae_125_, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17544 /* vrndscalesd */, X86::VRNDSCALESDZr_Intk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17544 /* vrndscalesd */, X86::VRNDSCALESDZm_Intk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17544 /* vrndscalesd */, X86::VRNDSCALESDZrb_Intk, Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Reg1_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK__123_sae_125_, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17544 /* vrndscalesd */, X86::VRNDSCALESDZr_Intkz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17544 /* vrndscalesd */, X86::VRNDSCALESDZm_Intkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17544 /* vrndscalesd */, X86::VRNDSCALESDZrb_Intkz, Convert__Reg1_4__Reg1_6__Reg1_3__Reg1_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK__123_sae_125_, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17556 /* vrndscalesh */, X86::VRNDSCALESHZr_Int, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17556 /* vrndscalesh */, X86::VRNDSCALESHZm_Int, Convert__Reg1_3__Reg1_2__Mem165_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem16, MCK_FR16X, MCK_FR16X }, },
{ 17556 /* vrndscalesh */, X86::VRNDSCALESHZrb_Int, Convert__Reg1_4__Reg1_3__Reg1_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK__123_sae_125_, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17556 /* vrndscalesh */, X86::VRNDSCALESHZr_Intk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17556 /* vrndscalesh */, X86::VRNDSCALESHZm_Intk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem16, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17556 /* vrndscalesh */, X86::VRNDSCALESHZrb_Intk, Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Reg1_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK__123_sae_125_, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17556 /* vrndscalesh */, X86::VRNDSCALESHZr_Intkz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17556 /* vrndscalesh */, X86::VRNDSCALESHZm_Intkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem165_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem16, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17556 /* vrndscalesh */, X86::VRNDSCALESHZrb_Intkz, Convert__Reg1_4__Reg1_6__Reg1_3__Reg1_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK__123_sae_125_, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17568 /* vrndscaless */, X86::VRNDSCALESSZr_Int, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17568 /* vrndscaless */, X86::VRNDSCALESSZm_Int, Convert__Reg1_3__Reg1_2__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK_FR16X, MCK_FR16X }, },
{ 17568 /* vrndscaless */, X86::VRNDSCALESSZrb_Int, Convert__Reg1_4__Reg1_3__Reg1_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK__123_sae_125_, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17568 /* vrndscaless */, X86::VRNDSCALESSZr_Intk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17568 /* vrndscaless */, X86::VRNDSCALESSZm_Intk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17568 /* vrndscaless */, X86::VRNDSCALESSZrb_Intk, Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Reg1_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK__123_sae_125_, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17568 /* vrndscaless */, X86::VRNDSCALESSZr_Intkz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17568 /* vrndscaless */, X86::VRNDSCALESSZm_Intkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17568 /* vrndscaless */, X86::VRNDSCALESSZrb_Intkz, Convert__Reg1_4__Reg1_6__Reg1_3__Reg1_2__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK__123_sae_125_, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17580 /* vroundpd */, X86::VROUNDPDr, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_FR16 }, },
{ 17580 /* vroundpd */, X86::VROUNDPDYr, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256, MCK_VR256 }, },
{ 17580 /* vroundpd */, X86::VROUNDPDm, Convert__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16 }, },
{ 17580 /* vroundpd */, X86::VROUNDPDYm, Convert__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256 }, },
{ 17589 /* vroundps */, X86::VROUNDPSr, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_FR16 }, },
{ 17589 /* vroundps */, X86::VROUNDPSYr, Convert__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256, MCK_VR256 }, },
{ 17589 /* vroundps */, X86::VROUNDPSm, Convert__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16 }, },
{ 17589 /* vroundps */, X86::VROUNDPSYm, Convert__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256 }, },
{ 17598 /* vroundsd */, X86::VROUNDSDr_Int, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 17598 /* vroundsd */, X86::VROUNDSDm_Int, Convert__Reg1_3__Reg1_2__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK_FR16, MCK_FR16 }, },
{ 17607 /* vroundss */, X86::VROUNDSSr_Int, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 17607 /* vroundss */, X86::VROUNDSSm_Int, Convert__Reg1_3__Reg1_2__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK_FR16, MCK_FR16 }, },
{ 17616 /* vrsqrt14pd */, X86::VRSQRT14PDZ128r, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 17616 /* vrsqrt14pd */, X86::VRSQRT14PDZ256r, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 17616 /* vrsqrt14pd */, X86::VRSQRT14PDZr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 17616 /* vrsqrt14pd */, X86::VRSQRT14PDZ128m, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X }, },
{ 17616 /* vrsqrt14pd */, X86::VRSQRT14PDZ256m, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X }, },
{ 17616 /* vrsqrt14pd */, X86::VRSQRT14PDZm, Convert__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512 }, },
{ 17616 /* vrsqrt14pd */, X86::VRSQRT14PDZ128mb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X }, },
{ 17616 /* vrsqrt14pd */, X86::VRSQRT14PDZ256mb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X }, },
{ 17616 /* vrsqrt14pd */, X86::VRSQRT14PDZmb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512 }, },
{ 17616 /* vrsqrt14pd */, X86::VRSQRT14PDZ128rk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17616 /* vrsqrt14pd */, X86::VRSQRT14PDZ256rk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17616 /* vrsqrt14pd */, X86::VRSQRT14PDZrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17616 /* vrsqrt14pd */, X86::VRSQRT14PDZ128mk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17616 /* vrsqrt14pd */, X86::VRSQRT14PDZ256mk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17616 /* vrsqrt14pd */, X86::VRSQRT14PDZmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17616 /* vrsqrt14pd */, X86::VRSQRT14PDZ128rkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17616 /* vrsqrt14pd */, X86::VRSQRT14PDZ256rkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17616 /* vrsqrt14pd */, X86::VRSQRT14PDZrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17616 /* vrsqrt14pd */, X86::VRSQRT14PDZ128mkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17616 /* vrsqrt14pd */, X86::VRSQRT14PDZ256mkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17616 /* vrsqrt14pd */, X86::VRSQRT14PDZmkz, Convert__Reg1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17616 /* vrsqrt14pd */, X86::VRSQRT14PDZ128mbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17616 /* vrsqrt14pd */, X86::VRSQRT14PDZ256mbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17616 /* vrsqrt14pd */, X86::VRSQRT14PDZmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17616 /* vrsqrt14pd */, X86::VRSQRT14PDZ128mbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17616 /* vrsqrt14pd */, X86::VRSQRT14PDZ256mbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17616 /* vrsqrt14pd */, X86::VRSQRT14PDZmbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17627 /* vrsqrt14ps */, X86::VRSQRT14PSZ128r, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 17627 /* vrsqrt14ps */, X86::VRSQRT14PSZ256r, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 17627 /* vrsqrt14ps */, X86::VRSQRT14PSZr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 17627 /* vrsqrt14ps */, X86::VRSQRT14PSZ128m, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X }, },
{ 17627 /* vrsqrt14ps */, X86::VRSQRT14PSZ256m, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X }, },
{ 17627 /* vrsqrt14ps */, X86::VRSQRT14PSZm, Convert__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512 }, },
{ 17627 /* vrsqrt14ps */, X86::VRSQRT14PSZmb, Convert__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512 }, },
{ 17627 /* vrsqrt14ps */, X86::VRSQRT14PSZ128mb, Convert__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X }, },
{ 17627 /* vrsqrt14ps */, X86::VRSQRT14PSZ256mb, Convert__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X }, },
{ 17627 /* vrsqrt14ps */, X86::VRSQRT14PSZ128rk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17627 /* vrsqrt14ps */, X86::VRSQRT14PSZ256rk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17627 /* vrsqrt14ps */, X86::VRSQRT14PSZrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17627 /* vrsqrt14ps */, X86::VRSQRT14PSZ128mk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17627 /* vrsqrt14ps */, X86::VRSQRT14PSZ256mk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17627 /* vrsqrt14ps */, X86::VRSQRT14PSZmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17627 /* vrsqrt14ps */, X86::VRSQRT14PSZ128rkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17627 /* vrsqrt14ps */, X86::VRSQRT14PSZ256rkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17627 /* vrsqrt14ps */, X86::VRSQRT14PSZrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17627 /* vrsqrt14ps */, X86::VRSQRT14PSZ128mkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17627 /* vrsqrt14ps */, X86::VRSQRT14PSZ256mkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17627 /* vrsqrt14ps */, X86::VRSQRT14PSZmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17627 /* vrsqrt14ps */, X86::VRSQRT14PSZ128mbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17627 /* vrsqrt14ps */, X86::VRSQRT14PSZ256mbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17627 /* vrsqrt14ps */, X86::VRSQRT14PSZmkz, Convert__Reg1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17627 /* vrsqrt14ps */, X86::VRSQRT14PSZmbkz, Convert__Reg1_2__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17627 /* vrsqrt14ps */, X86::VRSQRT14PSZ128mbkz, Convert__Reg1_2__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17627 /* vrsqrt14ps */, X86::VRSQRT14PSZ256mbkz, Convert__Reg1_2__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17638 /* vrsqrt14sd */, X86::VRSQRT14SDZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17638 /* vrsqrt14sd */, X86::VRSQRT14SDZrm, Convert__Reg1_2__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK_FR16X }, },
{ 17638 /* vrsqrt14sd */, X86::VRSQRT14SDZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17638 /* vrsqrt14sd */, X86::VRSQRT14SDZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17638 /* vrsqrt14sd */, X86::VRSQRT14SDZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17638 /* vrsqrt14sd */, X86::VRSQRT14SDZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17649 /* vrsqrt14ss */, X86::VRSQRT14SSZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17649 /* vrsqrt14ss */, X86::VRSQRT14SSZrm, Convert__Reg1_2__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X }, },
{ 17649 /* vrsqrt14ss */, X86::VRSQRT14SSZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17649 /* vrsqrt14ss */, X86::VRSQRT14SSZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17649 /* vrsqrt14ss */, X86::VRSQRT14SSZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17649 /* vrsqrt14ss */, X86::VRSQRT14SSZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17660 /* vrsqrt28pd */, X86::VRSQRT28PDZr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 17660 /* vrsqrt28pd */, X86::VRSQRT28PDZm, Convert__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512 }, },
{ 17660 /* vrsqrt28pd */, X86::VRSQRT28PDZrb, Convert__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_VR512, MCK_VR512 }, },
{ 17660 /* vrsqrt28pd */, X86::VRSQRT28PDZmb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512 }, },
{ 17660 /* vrsqrt28pd */, X86::VRSQRT28PDZrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17660 /* vrsqrt28pd */, X86::VRSQRT28PDZmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17660 /* vrsqrt28pd */, X86::VRSQRT28PDZrbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17660 /* vrsqrt28pd */, X86::VRSQRT28PDZrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17660 /* vrsqrt28pd */, X86::VRSQRT28PDZmkz, Convert__Reg1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17660 /* vrsqrt28pd */, X86::VRSQRT28PDZmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17660 /* vrsqrt28pd */, X86::VRSQRT28PDZrbkz, Convert__Reg1_2__Reg1_4__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17660 /* vrsqrt28pd */, X86::VRSQRT28PDZmbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17671 /* vrsqrt28ps */, X86::VRSQRT28PSZr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 17671 /* vrsqrt28ps */, X86::VRSQRT28PSZm, Convert__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512 }, },
{ 17671 /* vrsqrt28ps */, X86::VRSQRT28PSZrb, Convert__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_VR512, MCK_VR512 }, },
{ 17671 /* vrsqrt28ps */, X86::VRSQRT28PSZmb, Convert__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512 }, },
{ 17671 /* vrsqrt28ps */, X86::VRSQRT28PSZrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17671 /* vrsqrt28ps */, X86::VRSQRT28PSZmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17671 /* vrsqrt28ps */, X86::VRSQRT28PSZrbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17671 /* vrsqrt28ps */, X86::VRSQRT28PSZrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17671 /* vrsqrt28ps */, X86::VRSQRT28PSZmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17671 /* vrsqrt28ps */, X86::VRSQRT28PSZmkz, Convert__Reg1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17671 /* vrsqrt28ps */, X86::VRSQRT28PSZrbkz, Convert__Reg1_2__Reg1_4__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17671 /* vrsqrt28ps */, X86::VRSQRT28PSZmbkz, Convert__Reg1_2__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17682 /* vrsqrt28sd */, X86::VRSQRT28SDZr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17682 /* vrsqrt28sd */, X86::VRSQRT28SDZm, Convert__Reg1_2__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK_FR16X }, },
{ 17682 /* vrsqrt28sd */, X86::VRSQRT28SDZrb, Convert__Reg1_3__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17682 /* vrsqrt28sd */, X86::VRSQRT28SDZrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17682 /* vrsqrt28sd */, X86::VRSQRT28SDZmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17682 /* vrsqrt28sd */, X86::VRSQRT28SDZrbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17682 /* vrsqrt28sd */, X86::VRSQRT28SDZrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17682 /* vrsqrt28sd */, X86::VRSQRT28SDZmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17682 /* vrsqrt28sd */, X86::VRSQRT28SDZrbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17693 /* vrsqrt28ss */, X86::VRSQRT28SSZr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17693 /* vrsqrt28ss */, X86::VRSQRT28SSZm, Convert__Reg1_2__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X }, },
{ 17693 /* vrsqrt28ss */, X86::VRSQRT28SSZrb, Convert__Reg1_3__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17693 /* vrsqrt28ss */, X86::VRSQRT28SSZrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17693 /* vrsqrt28ss */, X86::VRSQRT28SSZmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17693 /* vrsqrt28ss */, X86::VRSQRT28SSZrbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17693 /* vrsqrt28ss */, X86::VRSQRT28SSZrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17693 /* vrsqrt28ss */, X86::VRSQRT28SSZmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17693 /* vrsqrt28ss */, X86::VRSQRT28SSZrbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17704 /* vrsqrtph */, X86::VRSQRTPHZ128r, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 17704 /* vrsqrtph */, X86::VRSQRTPHZ256r, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 17704 /* vrsqrtph */, X86::VRSQRTPHZr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 17704 /* vrsqrtph */, X86::VRSQRTPHZ128m, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X }, },
{ 17704 /* vrsqrtph */, X86::VRSQRTPHZ256m, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X }, },
{ 17704 /* vrsqrtph */, X86::VRSQRTPHZm, Convert__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512 }, },
{ 17704 /* vrsqrtph */, X86::VRSQRTPHZ256mb, Convert__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X }, },
{ 17704 /* vrsqrtph */, X86::VRSQRTPHZmb, Convert__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512 }, },
{ 17704 /* vrsqrtph */, X86::VRSQRTPHZ128mb, Convert__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X }, },
{ 17704 /* vrsqrtph */, X86::VRSQRTPHZ128rk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17704 /* vrsqrtph */, X86::VRSQRTPHZ256rk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17704 /* vrsqrtph */, X86::VRSQRTPHZrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17704 /* vrsqrtph */, X86::VRSQRTPHZ128mk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17704 /* vrsqrtph */, X86::VRSQRTPHZ256mk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17704 /* vrsqrtph */, X86::VRSQRTPHZmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17704 /* vrsqrtph */, X86::VRSQRTPHZ128rkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17704 /* vrsqrtph */, X86::VRSQRTPHZ256rkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17704 /* vrsqrtph */, X86::VRSQRTPHZrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17704 /* vrsqrtph */, X86::VRSQRTPHZ128mkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17704 /* vrsqrtph */, X86::VRSQRTPHZ256mbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17704 /* vrsqrtph */, X86::VRSQRTPHZmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17704 /* vrsqrtph */, X86::VRSQRTPHZ128mbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17704 /* vrsqrtph */, X86::VRSQRTPHZ256mkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17704 /* vrsqrtph */, X86::VRSQRTPHZmkz, Convert__Reg1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17704 /* vrsqrtph */, X86::VRSQRTPHZ256mbkz, Convert__Reg1_2__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17704 /* vrsqrtph */, X86::VRSQRTPHZmbkz, Convert__Reg1_2__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17704 /* vrsqrtph */, X86::VRSQRTPHZ128mbkz, Convert__Reg1_2__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17713 /* vrsqrtps */, X86::VRSQRTPSr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 17713 /* vrsqrtps */, X86::VRSQRTPSYr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256 }, },
{ 17713 /* vrsqrtps */, X86::VRSQRTPSm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 17713 /* vrsqrtps */, X86::VRSQRTPSYm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256 }, },
{ 17722 /* vrsqrtsh */, X86::VRSQRTSHZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17722 /* vrsqrtsh */, X86::VRSQRTSHZrm, Convert__Reg1_2__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16X, MCK_FR16X }, },
{ 17722 /* vrsqrtsh */, X86::VRSQRTSHZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17722 /* vrsqrtsh */, X86::VRSQRTSHZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17722 /* vrsqrtsh */, X86::VRSQRTSHZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17722 /* vrsqrtsh */, X86::VRSQRTSHZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17731 /* vrsqrtss */, X86::VRSQRTSSr_Int, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 17731 /* vrsqrtss */, X86::VRSQRTSSm_Int, Convert__Reg1_2__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16, MCK_FR16 }, },
{ 17740 /* vscalefpd */, X86::VSCALEFPDZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17740 /* vscalefpd */, X86::VSCALEFPDZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 17740 /* vscalefpd */, X86::VSCALEFPDZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 17740 /* vscalefpd */, X86::VSCALEFPDZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 17740 /* vscalefpd */, X86::VSCALEFPDZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 17740 /* vscalefpd */, X86::VSCALEFPDZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 17740 /* vscalefpd */, X86::VSCALEFPDZrrb, Convert__Reg1_3__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 17740 /* vscalefpd */, X86::VSCALEFPDZ128rmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X }, },
{ 17740 /* vscalefpd */, X86::VSCALEFPDZ256rmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X }, },
{ 17740 /* vscalefpd */, X86::VSCALEFPDZrmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512 }, },
{ 17740 /* vscalefpd */, X86::VSCALEFPDZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17740 /* vscalefpd */, X86::VSCALEFPDZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17740 /* vscalefpd */, X86::VSCALEFPDZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17740 /* vscalefpd */, X86::VSCALEFPDZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17740 /* vscalefpd */, X86::VSCALEFPDZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17740 /* vscalefpd */, X86::VSCALEFPDZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17740 /* vscalefpd */, X86::VSCALEFPDZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17740 /* vscalefpd */, X86::VSCALEFPDZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17740 /* vscalefpd */, X86::VSCALEFPDZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17740 /* vscalefpd */, X86::VSCALEFPDZrrbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17740 /* vscalefpd */, X86::VSCALEFPDZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17740 /* vscalefpd */, X86::VSCALEFPDZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17740 /* vscalefpd */, X86::VSCALEFPDZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17740 /* vscalefpd */, X86::VSCALEFPDZ128rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17740 /* vscalefpd */, X86::VSCALEFPDZ256rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17740 /* vscalefpd */, X86::VSCALEFPDZrmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17740 /* vscalefpd */, X86::VSCALEFPDZrrbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17740 /* vscalefpd */, X86::VSCALEFPDZ128rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17740 /* vscalefpd */, X86::VSCALEFPDZ256rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17740 /* vscalefpd */, X86::VSCALEFPDZrmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17750 /* vscalefph */, X86::VSCALEFPHZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17750 /* vscalefph */, X86::VSCALEFPHZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 17750 /* vscalefph */, X86::VSCALEFPHZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 17750 /* vscalefph */, X86::VSCALEFPHZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 17750 /* vscalefph */, X86::VSCALEFPHZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 17750 /* vscalefph */, X86::VSCALEFPHZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 17750 /* vscalefph */, X86::VSCALEFPHZrrb, Convert__Reg1_3__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 17750 /* vscalefph */, X86::VSCALEFPHZ256rmb, Convert__Reg1_3__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK_VR256X }, },
{ 17750 /* vscalefph */, X86::VSCALEFPHZrmb, Convert__Reg1_3__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK_VR512 }, },
{ 17750 /* vscalefph */, X86::VSCALEFPHZ128rmb, Convert__Reg1_3__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK_FR16X }, },
{ 17750 /* vscalefph */, X86::VSCALEFPHZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17750 /* vscalefph */, X86::VSCALEFPHZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17750 /* vscalefph */, X86::VSCALEFPHZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17750 /* vscalefph */, X86::VSCALEFPHZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17750 /* vscalefph */, X86::VSCALEFPHZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17750 /* vscalefph */, X86::VSCALEFPHZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17750 /* vscalefph */, X86::VSCALEFPHZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17750 /* vscalefph */, X86::VSCALEFPHZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17750 /* vscalefph */, X86::VSCALEFPHZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17750 /* vscalefph */, X86::VSCALEFPHZrrbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17750 /* vscalefph */, X86::VSCALEFPHZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17750 /* vscalefph */, X86::VSCALEFPHZ256rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17750 /* vscalefph */, X86::VSCALEFPHZrmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17750 /* vscalefph */, X86::VSCALEFPHZ128rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17750 /* vscalefph */, X86::VSCALEFPHZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17750 /* vscalefph */, X86::VSCALEFPHZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17750 /* vscalefph */, X86::VSCALEFPHZrrbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17750 /* vscalefph */, X86::VSCALEFPHZ256rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17750 /* vscalefph */, X86::VSCALEFPHZrmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17750 /* vscalefph */, X86::VSCALEFPHZ128rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17760 /* vscalefps */, X86::VSCALEFPSZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17760 /* vscalefps */, X86::VSCALEFPSZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 17760 /* vscalefps */, X86::VSCALEFPSZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 17760 /* vscalefps */, X86::VSCALEFPSZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 17760 /* vscalefps */, X86::VSCALEFPSZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 17760 /* vscalefps */, X86::VSCALEFPSZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 17760 /* vscalefps */, X86::VSCALEFPSZrrb, Convert__Reg1_3__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 17760 /* vscalefps */, X86::VSCALEFPSZrmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512 }, },
{ 17760 /* vscalefps */, X86::VSCALEFPSZ128rmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X }, },
{ 17760 /* vscalefps */, X86::VSCALEFPSZ256rmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X }, },
{ 17760 /* vscalefps */, X86::VSCALEFPSZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17760 /* vscalefps */, X86::VSCALEFPSZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17760 /* vscalefps */, X86::VSCALEFPSZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17760 /* vscalefps */, X86::VSCALEFPSZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17760 /* vscalefps */, X86::VSCALEFPSZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17760 /* vscalefps */, X86::VSCALEFPSZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17760 /* vscalefps */, X86::VSCALEFPSZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17760 /* vscalefps */, X86::VSCALEFPSZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17760 /* vscalefps */, X86::VSCALEFPSZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17760 /* vscalefps */, X86::VSCALEFPSZrrbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17760 /* vscalefps */, X86::VSCALEFPSZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17760 /* vscalefps */, X86::VSCALEFPSZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17760 /* vscalefps */, X86::VSCALEFPSZrmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17760 /* vscalefps */, X86::VSCALEFPSZ128rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17760 /* vscalefps */, X86::VSCALEFPSZ256rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17760 /* vscalefps */, X86::VSCALEFPSZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17760 /* vscalefps */, X86::VSCALEFPSZrrbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17760 /* vscalefps */, X86::VSCALEFPSZrmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17760 /* vscalefps */, X86::VSCALEFPSZ128rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17760 /* vscalefps */, X86::VSCALEFPSZ256rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17770 /* vscalefsd */, X86::VSCALEFSDZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17770 /* vscalefsd */, X86::VSCALEFSDZrm, Convert__Reg1_2__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK_FR16X }, },
{ 17770 /* vscalefsd */, X86::VSCALEFSDZrrb_Int, Convert__Reg1_3__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17770 /* vscalefsd */, X86::VSCALEFSDZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17770 /* vscalefsd */, X86::VSCALEFSDZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17770 /* vscalefsd */, X86::VSCALEFSDZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17770 /* vscalefsd */, X86::VSCALEFSDZrrb_Intk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17770 /* vscalefsd */, X86::VSCALEFSDZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17770 /* vscalefsd */, X86::VSCALEFSDZrrb_Intkz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17780 /* vscalefsh */, X86::VSCALEFSHZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17780 /* vscalefsh */, X86::VSCALEFSHZrm, Convert__Reg1_2__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16X, MCK_FR16X }, },
{ 17780 /* vscalefsh */, X86::VSCALEFSHZrrb_Int, Convert__Reg1_3__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17780 /* vscalefsh */, X86::VSCALEFSHZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17780 /* vscalefsh */, X86::VSCALEFSHZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17780 /* vscalefsh */, X86::VSCALEFSHZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17780 /* vscalefsh */, X86::VSCALEFSHZrrb_Intk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17780 /* vscalefsh */, X86::VSCALEFSHZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17780 /* vscalefsh */, X86::VSCALEFSHZrrb_Intkz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17790 /* vscalefss */, X86::VSCALEFSSZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17790 /* vscalefss */, X86::VSCALEFSSZrm, Convert__Reg1_2__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X }, },
{ 17790 /* vscalefss */, X86::VSCALEFSSZrrb_Int, Convert__Reg1_3__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17790 /* vscalefss */, X86::VSCALEFSSZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17790 /* vscalefss */, X86::VSCALEFSSZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17790 /* vscalefss */, X86::VSCALEFSSZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17790 /* vscalefss */, X86::VSCALEFSSZrrb_Intk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17790 /* vscalefss */, X86::VSCALEFSSZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17790 /* vscalefss */, X86::VSCALEFSSZrrb_Intkz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17800 /* vscatterdpd */, X86::VSCATTERDPDZ128mr, Convert__Reg1_3__Mem128_RC128X5_1__Tie0_4_4__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_Mem128_RC128X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17800 /* vscatterdpd */, X86::VSCATTERDPDZ256mr, Convert__Reg1_3__Mem256_RC128X5_1__Tie0_4_4__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_Mem256_RC128X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17800 /* vscatterdpd */, X86::VSCATTERDPDZmr, Convert__Reg1_3__Mem512_RC256X5_1__Tie0_4_4__Reg1_0, AMFBS_None, { MCK_VR512, MCK_Mem512_RC256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17812 /* vscatterdps */, X86::VSCATTERDPSZ128mr, Convert__Reg1_3__Mem128_RC128X5_1__Tie0_4_4__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_Mem128_RC128X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17812 /* vscatterdps */, X86::VSCATTERDPSZ256mr, Convert__Reg1_3__Mem256_RC256X5_1__Tie0_4_4__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_Mem256_RC256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17812 /* vscatterdps */, X86::VSCATTERDPSZmr, Convert__Reg1_3__Mem512_RC5125_1__Tie0_4_4__Reg1_0, AMFBS_None, { MCK_VR512, MCK_Mem512_RC512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17824 /* vscatterpf0dpd */, X86::VSCATTERPF0DPDm, Convert__Reg1_2__Mem512_RC256X5_0, AMFBS_None, { MCK_Mem512_RC256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17839 /* vscatterpf0dps */, X86::VSCATTERPF0DPSm, Convert__Reg1_2__Mem512_RC5125_0, AMFBS_None, { MCK_Mem512_RC512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17854 /* vscatterpf0qpd */, X86::VSCATTERPF0QPDm, Convert__Reg1_2__Mem512_RC5125_0, AMFBS_None, { MCK_Mem512_RC512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17869 /* vscatterpf0qps */, X86::VSCATTERPF0QPSm, Convert__Reg1_2__Mem256_RC5125_0, AMFBS_None, { MCK_Mem256_RC512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17884 /* vscatterpf1dpd */, X86::VSCATTERPF1DPDm, Convert__Reg1_2__Mem512_RC256X5_0, AMFBS_None, { MCK_Mem512_RC256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17899 /* vscatterpf1dps */, X86::VSCATTERPF1DPSm, Convert__Reg1_2__Mem512_RC5125_0, AMFBS_None, { MCK_Mem512_RC512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17914 /* vscatterpf1qpd */, X86::VSCATTERPF1QPDm, Convert__Reg1_2__Mem512_RC5125_0, AMFBS_None, { MCK_Mem512_RC512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17929 /* vscatterpf1qps */, X86::VSCATTERPF1QPSm, Convert__Reg1_2__Mem256_RC5125_0, AMFBS_None, { MCK_Mem256_RC512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17944 /* vscatterqpd */, X86::VSCATTERQPDZ128mr, Convert__Reg1_3__Mem128_RC128X5_1__Tie0_4_4__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_Mem128_RC128X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17944 /* vscatterqpd */, X86::VSCATTERQPDZ256mr, Convert__Reg1_3__Mem256_RC256X5_1__Tie0_4_4__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_Mem256_RC256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17944 /* vscatterqpd */, X86::VSCATTERQPDZmr, Convert__Reg1_3__Mem512_RC5125_1__Tie0_4_4__Reg1_0, AMFBS_None, { MCK_VR512, MCK_Mem512_RC512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17956 /* vscatterqps */, X86::VSCATTERQPSZ256mr, Convert__Reg1_3__Mem128_RC256X5_1__Tie0_4_4__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_Mem128_RC256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17956 /* vscatterqps */, X86::VSCATTERQPSZ128mr, Convert__Reg1_3__Mem64_RC128X5_1__Tie0_4_4__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_Mem64_RC128X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17956 /* vscatterqps */, X86::VSCATTERQPSZmr, Convert__Reg1_3__Mem256_RC5125_1__Tie0_4_4__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_Mem256_RC512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17968 /* vshuff32x4 */, X86::VSHUFF32X4Z256rri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 17968 /* vshuff32x4 */, X86::VSHUFF32X4Zrri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 17968 /* vshuff32x4 */, X86::VSHUFF32X4Z256rmi, Convert__Reg1_3__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 17968 /* vshuff32x4 */, X86::VSHUFF32X4Zrmi, Convert__Reg1_3__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 17968 /* vshuff32x4 */, X86::VSHUFF32X4Zrmbi, Convert__Reg1_4__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512 }, },
{ 17968 /* vshuff32x4 */, X86::VSHUFF32X4Z256rmbi, Convert__Reg1_4__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X }, },
{ 17968 /* vshuff32x4 */, X86::VSHUFF32X4Z256rrik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17968 /* vshuff32x4 */, X86::VSHUFF32X4Zrrik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17968 /* vshuff32x4 */, X86::VSHUFF32X4Z256rmik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17968 /* vshuff32x4 */, X86::VSHUFF32X4Zrmik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17968 /* vshuff32x4 */, X86::VSHUFF32X4Z256rrikz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17968 /* vshuff32x4 */, X86::VSHUFF32X4Zrrikz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17968 /* vshuff32x4 */, X86::VSHUFF32X4Z256rmikz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17968 /* vshuff32x4 */, X86::VSHUFF32X4Zrmbik, Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17968 /* vshuff32x4 */, X86::VSHUFF32X4Z256rmbik, Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17968 /* vshuff32x4 */, X86::VSHUFF32X4Zrmikz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17968 /* vshuff32x4 */, X86::VSHUFF32X4Zrmbikz, Convert__Reg1_4__Reg1_6__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17968 /* vshuff32x4 */, X86::VSHUFF32X4Z256rmbikz, Convert__Reg1_4__Reg1_6__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17979 /* vshuff64x2 */, X86::VSHUFF64X2Z256rri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 17979 /* vshuff64x2 */, X86::VSHUFF64X2Zrri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 17979 /* vshuff64x2 */, X86::VSHUFF64X2Z256rmi, Convert__Reg1_3__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 17979 /* vshuff64x2 */, X86::VSHUFF64X2Zrmi, Convert__Reg1_3__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 17979 /* vshuff64x2 */, X86::VSHUFF64X2Z256rmbi, Convert__Reg1_4__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X }, },
{ 17979 /* vshuff64x2 */, X86::VSHUFF64X2Zrmbi, Convert__Reg1_4__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512 }, },
{ 17979 /* vshuff64x2 */, X86::VSHUFF64X2Z256rrik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17979 /* vshuff64x2 */, X86::VSHUFF64X2Zrrik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17979 /* vshuff64x2 */, X86::VSHUFF64X2Z256rmik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17979 /* vshuff64x2 */, X86::VSHUFF64X2Zrmik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17979 /* vshuff64x2 */, X86::VSHUFF64X2Z256rrikz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17979 /* vshuff64x2 */, X86::VSHUFF64X2Zrrikz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17979 /* vshuff64x2 */, X86::VSHUFF64X2Z256rmikz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17979 /* vshuff64x2 */, X86::VSHUFF64X2Zrmikz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17979 /* vshuff64x2 */, X86::VSHUFF64X2Z256rmbik, Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17979 /* vshuff64x2 */, X86::VSHUFF64X2Zrmbik, Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17979 /* vshuff64x2 */, X86::VSHUFF64X2Z256rmbikz, Convert__Reg1_4__Reg1_6__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17979 /* vshuff64x2 */, X86::VSHUFF64X2Zrmbikz, Convert__Reg1_4__Reg1_6__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17990 /* vshufi32x4 */, X86::VSHUFI32X4Z256rri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 17990 /* vshufi32x4 */, X86::VSHUFI32X4Zrri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 17990 /* vshufi32x4 */, X86::VSHUFI32X4Z256rmi, Convert__Reg1_3__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 17990 /* vshufi32x4 */, X86::VSHUFI32X4Zrmi, Convert__Reg1_3__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 17990 /* vshufi32x4 */, X86::VSHUFI32X4Zrmbi, Convert__Reg1_4__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512 }, },
{ 17990 /* vshufi32x4 */, X86::VSHUFI32X4Z256rmbi, Convert__Reg1_4__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X }, },
{ 17990 /* vshufi32x4 */, X86::VSHUFI32X4Z256rrik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17990 /* vshufi32x4 */, X86::VSHUFI32X4Zrrik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17990 /* vshufi32x4 */, X86::VSHUFI32X4Z256rmik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17990 /* vshufi32x4 */, X86::VSHUFI32X4Zrmik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17990 /* vshufi32x4 */, X86::VSHUFI32X4Z256rrikz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17990 /* vshufi32x4 */, X86::VSHUFI32X4Zrrikz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17990 /* vshufi32x4 */, X86::VSHUFI32X4Z256rmikz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17990 /* vshufi32x4 */, X86::VSHUFI32X4Zrmbik, Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17990 /* vshufi32x4 */, X86::VSHUFI32X4Z256rmbik, Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 17990 /* vshufi32x4 */, X86::VSHUFI32X4Zrmikz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17990 /* vshufi32x4 */, X86::VSHUFI32X4Zrmbikz, Convert__Reg1_4__Reg1_6__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 17990 /* vshufi32x4 */, X86::VSHUFI32X4Z256rmbikz, Convert__Reg1_4__Reg1_6__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18001 /* vshufi64x2 */, X86::VSHUFI64X2Z256rri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 18001 /* vshufi64x2 */, X86::VSHUFI64X2Zrri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 18001 /* vshufi64x2 */, X86::VSHUFI64X2Z256rmi, Convert__Reg1_3__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 18001 /* vshufi64x2 */, X86::VSHUFI64X2Zrmi, Convert__Reg1_3__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 18001 /* vshufi64x2 */, X86::VSHUFI64X2Z256rmbi, Convert__Reg1_4__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X }, },
{ 18001 /* vshufi64x2 */, X86::VSHUFI64X2Zrmbi, Convert__Reg1_4__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512 }, },
{ 18001 /* vshufi64x2 */, X86::VSHUFI64X2Z256rrik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18001 /* vshufi64x2 */, X86::VSHUFI64X2Zrrik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18001 /* vshufi64x2 */, X86::VSHUFI64X2Z256rmik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18001 /* vshufi64x2 */, X86::VSHUFI64X2Zrmik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18001 /* vshufi64x2 */, X86::VSHUFI64X2Z256rrikz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18001 /* vshufi64x2 */, X86::VSHUFI64X2Zrrikz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18001 /* vshufi64x2 */, X86::VSHUFI64X2Z256rmikz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18001 /* vshufi64x2 */, X86::VSHUFI64X2Zrmikz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18001 /* vshufi64x2 */, X86::VSHUFI64X2Z256rmbik, Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18001 /* vshufi64x2 */, X86::VSHUFI64X2Zrmbik, Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18001 /* vshufi64x2 */, X86::VSHUFI64X2Z256rmbikz, Convert__Reg1_4__Reg1_6__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18001 /* vshufi64x2 */, X86::VSHUFI64X2Zrmbikz, Convert__Reg1_4__Reg1_6__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18012 /* vshufpd */, X86::VSHUFPDrri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 18012 /* vshufpd */, X86::VSHUFPDYrri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 18012 /* vshufpd */, X86::VSHUFPDZ128rri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 18012 /* vshufpd */, X86::VSHUFPDZ256rri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 18012 /* vshufpd */, X86::VSHUFPDZrri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 18012 /* vshufpd */, X86::VSHUFPDrmi, Convert__Reg1_3__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 18012 /* vshufpd */, X86::VSHUFPDZ128rmi, Convert__Reg1_3__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 18012 /* vshufpd */, X86::VSHUFPDYrmi, Convert__Reg1_3__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 18012 /* vshufpd */, X86::VSHUFPDZ256rmi, Convert__Reg1_3__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 18012 /* vshufpd */, X86::VSHUFPDZrmi, Convert__Reg1_3__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 18012 /* vshufpd */, X86::VSHUFPDZ128rmbi, Convert__Reg1_4__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X }, },
{ 18012 /* vshufpd */, X86::VSHUFPDZ256rmbi, Convert__Reg1_4__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X }, },
{ 18012 /* vshufpd */, X86::VSHUFPDZrmbi, Convert__Reg1_4__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512 }, },
{ 18012 /* vshufpd */, X86::VSHUFPDZ128rrik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18012 /* vshufpd */, X86::VSHUFPDZ256rrik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18012 /* vshufpd */, X86::VSHUFPDZrrik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18012 /* vshufpd */, X86::VSHUFPDZ128rmik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18012 /* vshufpd */, X86::VSHUFPDZ256rmik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18012 /* vshufpd */, X86::VSHUFPDZrmik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18012 /* vshufpd */, X86::VSHUFPDZ128rrikz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18012 /* vshufpd */, X86::VSHUFPDZ256rrikz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18012 /* vshufpd */, X86::VSHUFPDZrrikz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18012 /* vshufpd */, X86::VSHUFPDZ128rmikz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18012 /* vshufpd */, X86::VSHUFPDZ256rmikz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18012 /* vshufpd */, X86::VSHUFPDZrmikz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18012 /* vshufpd */, X86::VSHUFPDZ128rmbik, Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18012 /* vshufpd */, X86::VSHUFPDZ256rmbik, Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18012 /* vshufpd */, X86::VSHUFPDZrmbik, Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18012 /* vshufpd */, X86::VSHUFPDZ128rmbikz, Convert__Reg1_4__Reg1_6__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18012 /* vshufpd */, X86::VSHUFPDZ256rmbikz, Convert__Reg1_4__Reg1_6__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18012 /* vshufpd */, X86::VSHUFPDZrmbikz, Convert__Reg1_4__Reg1_6__Reg1_3__Mem645_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18020 /* vshufps */, X86::VSHUFPSrri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 18020 /* vshufps */, X86::VSHUFPSYrri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 18020 /* vshufps */, X86::VSHUFPSZ128rri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 18020 /* vshufps */, X86::VSHUFPSZ256rri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 18020 /* vshufps */, X86::VSHUFPSZrri, Convert__Reg1_3__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 18020 /* vshufps */, X86::VSHUFPSrmi, Convert__Reg1_3__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 18020 /* vshufps */, X86::VSHUFPSZ128rmi, Convert__Reg1_3__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 18020 /* vshufps */, X86::VSHUFPSYrmi, Convert__Reg1_3__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 18020 /* vshufps */, X86::VSHUFPSZ256rmi, Convert__Reg1_3__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 18020 /* vshufps */, X86::VSHUFPSZrmi, Convert__Reg1_3__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 18020 /* vshufps */, X86::VSHUFPSZrmbi, Convert__Reg1_4__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512 }, },
{ 18020 /* vshufps */, X86::VSHUFPSZ128rmbi, Convert__Reg1_4__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X }, },
{ 18020 /* vshufps */, X86::VSHUFPSZ256rmbi, Convert__Reg1_4__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X }, },
{ 18020 /* vshufps */, X86::VSHUFPSZ128rrik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18020 /* vshufps */, X86::VSHUFPSZ256rrik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18020 /* vshufps */, X86::VSHUFPSZrrik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18020 /* vshufps */, X86::VSHUFPSZ128rmik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18020 /* vshufps */, X86::VSHUFPSZ256rmik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18020 /* vshufps */, X86::VSHUFPSZrmik, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18020 /* vshufps */, X86::VSHUFPSZ128rrikz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18020 /* vshufps */, X86::VSHUFPSZ256rrikz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18020 /* vshufps */, X86::VSHUFPSZrrikz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18020 /* vshufps */, X86::VSHUFPSZ128rmikz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem1285_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18020 /* vshufps */, X86::VSHUFPSZ256rmikz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem2565_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18020 /* vshufps */, X86::VSHUFPSZrmbik, Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18020 /* vshufps */, X86::VSHUFPSZ128rmbik, Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18020 /* vshufps */, X86::VSHUFPSZ256rmbik, Convert__Reg1_4__Tie0_1_1__Reg1_6__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18020 /* vshufps */, X86::VSHUFPSZrmikz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem5125_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18020 /* vshufps */, X86::VSHUFPSZrmbikz, Convert__Reg1_4__Reg1_6__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18020 /* vshufps */, X86::VSHUFPSZ128rmbikz, Convert__Reg1_4__Reg1_6__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18020 /* vshufps */, X86::VSHUFPSZ256rmbikz, Convert__Reg1_4__Reg1_6__Reg1_3__Mem325_1__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18028 /* vsqrtpd */, X86::VSQRTPDr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 18028 /* vsqrtpd */, X86::VSQRTPDYr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256 }, },
{ 18028 /* vsqrtpd */, X86::VSQRTPDZ128r, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 18028 /* vsqrtpd */, X86::VSQRTPDZ256r, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 18028 /* vsqrtpd */, X86::VSQRTPDZr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 18028 /* vsqrtpd */, X86::VSQRTPDm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 18028 /* vsqrtpd */, X86::VSQRTPDZ128m, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X }, },
{ 18028 /* vsqrtpd */, X86::VSQRTPDYm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256 }, },
{ 18028 /* vsqrtpd */, X86::VSQRTPDZ256m, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X }, },
{ 18028 /* vsqrtpd */, X86::VSQRTPDZm, Convert__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512 }, },
{ 18028 /* vsqrtpd */, X86::VSQRTPDZrb, Convert__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512 }, },
{ 18028 /* vsqrtpd */, X86::VSQRTPDZ128mb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X }, },
{ 18028 /* vsqrtpd */, X86::VSQRTPDZ256mb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X }, },
{ 18028 /* vsqrtpd */, X86::VSQRTPDZmb, Convert__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512 }, },
{ 18028 /* vsqrtpd */, X86::VSQRTPDZ128rk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18028 /* vsqrtpd */, X86::VSQRTPDZ256rk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18028 /* vsqrtpd */, X86::VSQRTPDZrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18028 /* vsqrtpd */, X86::VSQRTPDZ128mk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18028 /* vsqrtpd */, X86::VSQRTPDZ256mk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18028 /* vsqrtpd */, X86::VSQRTPDZmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18028 /* vsqrtpd */, X86::VSQRTPDZ128rkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18028 /* vsqrtpd */, X86::VSQRTPDZ256rkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18028 /* vsqrtpd */, X86::VSQRTPDZrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18028 /* vsqrtpd */, X86::VSQRTPDZrbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18028 /* vsqrtpd */, X86::VSQRTPDZ128mkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18028 /* vsqrtpd */, X86::VSQRTPDZ256mkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18028 /* vsqrtpd */, X86::VSQRTPDZmkz, Convert__Reg1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18028 /* vsqrtpd */, X86::VSQRTPDZ128mbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18028 /* vsqrtpd */, X86::VSQRTPDZ256mbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18028 /* vsqrtpd */, X86::VSQRTPDZmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18028 /* vsqrtpd */, X86::VSQRTPDZrbkz, Convert__Reg1_2__Reg1_4__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18028 /* vsqrtpd */, X86::VSQRTPDZ128mbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18028 /* vsqrtpd */, X86::VSQRTPDZ256mbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18028 /* vsqrtpd */, X86::VSQRTPDZmbkz, Convert__Reg1_2__Reg1_4__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18036 /* vsqrtph */, X86::VSQRTPHZ128r, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 18036 /* vsqrtph */, X86::VSQRTPHZ256r, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 18036 /* vsqrtph */, X86::VSQRTPHZr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 18036 /* vsqrtph */, X86::VSQRTPHZ128m, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X }, },
{ 18036 /* vsqrtph */, X86::VSQRTPHZ256m, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X }, },
{ 18036 /* vsqrtph */, X86::VSQRTPHZm, Convert__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512 }, },
{ 18036 /* vsqrtph */, X86::VSQRTPHZrb, Convert__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512 }, },
{ 18036 /* vsqrtph */, X86::VSQRTPHZ256mb, Convert__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X }, },
{ 18036 /* vsqrtph */, X86::VSQRTPHZmb, Convert__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512 }, },
{ 18036 /* vsqrtph */, X86::VSQRTPHZ128mb, Convert__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X }, },
{ 18036 /* vsqrtph */, X86::VSQRTPHZ128rk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18036 /* vsqrtph */, X86::VSQRTPHZ256rk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18036 /* vsqrtph */, X86::VSQRTPHZrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18036 /* vsqrtph */, X86::VSQRTPHZ128mk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18036 /* vsqrtph */, X86::VSQRTPHZ256mk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18036 /* vsqrtph */, X86::VSQRTPHZmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18036 /* vsqrtph */, X86::VSQRTPHZ128rkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18036 /* vsqrtph */, X86::VSQRTPHZ256rkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18036 /* vsqrtph */, X86::VSQRTPHZrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18036 /* vsqrtph */, X86::VSQRTPHZrbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18036 /* vsqrtph */, X86::VSQRTPHZ128mkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18036 /* vsqrtph */, X86::VSQRTPHZ256mbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18036 /* vsqrtph */, X86::VSQRTPHZmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18036 /* vsqrtph */, X86::VSQRTPHZ128mbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18036 /* vsqrtph */, X86::VSQRTPHZ256mkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18036 /* vsqrtph */, X86::VSQRTPHZmkz, Convert__Reg1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18036 /* vsqrtph */, X86::VSQRTPHZrbkz, Convert__Reg1_2__Reg1_4__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18036 /* vsqrtph */, X86::VSQRTPHZ256mbkz, Convert__Reg1_2__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18036 /* vsqrtph */, X86::VSQRTPHZmbkz, Convert__Reg1_2__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18036 /* vsqrtph */, X86::VSQRTPHZ128mbkz, Convert__Reg1_2__Reg1_4__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18044 /* vsqrtps */, X86::VSQRTPSr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 18044 /* vsqrtps */, X86::VSQRTPSYr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256 }, },
{ 18044 /* vsqrtps */, X86::VSQRTPSZ128r, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 18044 /* vsqrtps */, X86::VSQRTPSZ256r, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 18044 /* vsqrtps */, X86::VSQRTPSZr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 18044 /* vsqrtps */, X86::VSQRTPSm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 18044 /* vsqrtps */, X86::VSQRTPSZ128m, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X }, },
{ 18044 /* vsqrtps */, X86::VSQRTPSYm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256 }, },
{ 18044 /* vsqrtps */, X86::VSQRTPSZ256m, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X }, },
{ 18044 /* vsqrtps */, X86::VSQRTPSZm, Convert__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512 }, },
{ 18044 /* vsqrtps */, X86::VSQRTPSZrb, Convert__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512 }, },
{ 18044 /* vsqrtps */, X86::VSQRTPSZmb, Convert__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512 }, },
{ 18044 /* vsqrtps */, X86::VSQRTPSZ128mb, Convert__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X }, },
{ 18044 /* vsqrtps */, X86::VSQRTPSZ256mb, Convert__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X }, },
{ 18044 /* vsqrtps */, X86::VSQRTPSZ128rk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18044 /* vsqrtps */, X86::VSQRTPSZ256rk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18044 /* vsqrtps */, X86::VSQRTPSZrk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18044 /* vsqrtps */, X86::VSQRTPSZ128mk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18044 /* vsqrtps */, X86::VSQRTPSZ256mk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18044 /* vsqrtps */, X86::VSQRTPSZmk, Convert__Reg1_1__Tie0_1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18044 /* vsqrtps */, X86::VSQRTPSZ128rkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18044 /* vsqrtps */, X86::VSQRTPSZ256rkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18044 /* vsqrtps */, X86::VSQRTPSZrkz, Convert__Reg1_1__Reg1_3__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18044 /* vsqrtps */, X86::VSQRTPSZrbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18044 /* vsqrtps */, X86::VSQRTPSZ128mkz, Convert__Reg1_1__Reg1_3__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18044 /* vsqrtps */, X86::VSQRTPSZ256mkz, Convert__Reg1_1__Reg1_3__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18044 /* vsqrtps */, X86::VSQRTPSZmbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18044 /* vsqrtps */, X86::VSQRTPSZ128mbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18044 /* vsqrtps */, X86::VSQRTPSZ256mbk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18044 /* vsqrtps */, X86::VSQRTPSZmkz, Convert__Reg1_1__Reg1_3__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18044 /* vsqrtps */, X86::VSQRTPSZrbkz, Convert__Reg1_2__Reg1_4__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18044 /* vsqrtps */, X86::VSQRTPSZmbkz, Convert__Reg1_2__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18044 /* vsqrtps */, X86::VSQRTPSZ128mbkz, Convert__Reg1_2__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18044 /* vsqrtps */, X86::VSQRTPSZ256mbkz, Convert__Reg1_2__Reg1_4__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18052 /* vsqrtsd */, X86::VSQRTSDr_Int, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 18052 /* vsqrtsd */, X86::VSQRTSDZr_Int, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 18052 /* vsqrtsd */, X86::VSQRTSDm_Int, Convert__Reg1_2__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16, MCK_FR16 }, },
{ 18052 /* vsqrtsd */, X86::VSQRTSDZm_Int, Convert__Reg1_2__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK_FR16X }, },
{ 18052 /* vsqrtsd */, X86::VSQRTSDZrb_Int, Convert__Reg1_3__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 18052 /* vsqrtsd */, X86::VSQRTSDZr_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18052 /* vsqrtsd */, X86::VSQRTSDZm_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18052 /* vsqrtsd */, X86::VSQRTSDZr_Intkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18052 /* vsqrtsd */, X86::VSQRTSDZrb_Intk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18052 /* vsqrtsd */, X86::VSQRTSDZm_Intkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18052 /* vsqrtsd */, X86::VSQRTSDZrb_Intkz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18060 /* vsqrtsh */, X86::VSQRTSHZr_Int, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 18060 /* vsqrtsh */, X86::VSQRTSHZm_Int, Convert__Reg1_2__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16X, MCK_FR16X }, },
{ 18060 /* vsqrtsh */, X86::VSQRTSHZrb_Int, Convert__Reg1_3__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 18060 /* vsqrtsh */, X86::VSQRTSHZr_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18060 /* vsqrtsh */, X86::VSQRTSHZm_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18060 /* vsqrtsh */, X86::VSQRTSHZr_Intkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18060 /* vsqrtsh */, X86::VSQRTSHZrb_Intk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18060 /* vsqrtsh */, X86::VSQRTSHZm_Intkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18060 /* vsqrtsh */, X86::VSQRTSHZrb_Intkz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18068 /* vsqrtss */, X86::VSQRTSSr_Int, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 18068 /* vsqrtss */, X86::VSQRTSSZr_Int, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 18068 /* vsqrtss */, X86::VSQRTSSm_Int, Convert__Reg1_2__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16, MCK_FR16 }, },
{ 18068 /* vsqrtss */, X86::VSQRTSSZm_Int, Convert__Reg1_2__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X }, },
{ 18068 /* vsqrtss */, X86::VSQRTSSZrb_Int, Convert__Reg1_3__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 18068 /* vsqrtss */, X86::VSQRTSSZr_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18068 /* vsqrtss */, X86::VSQRTSSZm_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18068 /* vsqrtss */, X86::VSQRTSSZr_Intkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18068 /* vsqrtss */, X86::VSQRTSSZrb_Intk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18068 /* vsqrtss */, X86::VSQRTSSZm_Intkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18068 /* vsqrtss */, X86::VSQRTSSZrb_Intkz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18076 /* vstmxcsr */, X86::VSTMXCSR, Convert__Mem325_0, AMFBS_None, { MCK_Mem32 }, },
{ 18085 /* vsubpd */, X86::VSUBPDrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 18085 /* vsubpd */, X86::VSUBPDYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 18085 /* vsubpd */, X86::VSUBPDZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 18085 /* vsubpd */, X86::VSUBPDZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 18085 /* vsubpd */, X86::VSUBPDZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 18085 /* vsubpd */, X86::VSUBPDrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 18085 /* vsubpd */, X86::VSUBPDZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 18085 /* vsubpd */, X86::VSUBPDYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 18085 /* vsubpd */, X86::VSUBPDZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 18085 /* vsubpd */, X86::VSUBPDZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 18085 /* vsubpd */, X86::VSUBPDZrrb, Convert__Reg1_3__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 18085 /* vsubpd */, X86::VSUBPDZ128rmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X }, },
{ 18085 /* vsubpd */, X86::VSUBPDZ256rmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X }, },
{ 18085 /* vsubpd */, X86::VSUBPDZrmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512 }, },
{ 18085 /* vsubpd */, X86::VSUBPDZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18085 /* vsubpd */, X86::VSUBPDZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18085 /* vsubpd */, X86::VSUBPDZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18085 /* vsubpd */, X86::VSUBPDZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18085 /* vsubpd */, X86::VSUBPDZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18085 /* vsubpd */, X86::VSUBPDZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18085 /* vsubpd */, X86::VSUBPDZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18085 /* vsubpd */, X86::VSUBPDZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18085 /* vsubpd */, X86::VSUBPDZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18085 /* vsubpd */, X86::VSUBPDZrrbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18085 /* vsubpd */, X86::VSUBPDZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18085 /* vsubpd */, X86::VSUBPDZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18085 /* vsubpd */, X86::VSUBPDZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18085 /* vsubpd */, X86::VSUBPDZ128rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18085 /* vsubpd */, X86::VSUBPDZ256rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18085 /* vsubpd */, X86::VSUBPDZrmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18085 /* vsubpd */, X86::VSUBPDZrrbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18085 /* vsubpd */, X86::VSUBPDZ128rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18085 /* vsubpd */, X86::VSUBPDZ256rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18085 /* vsubpd */, X86::VSUBPDZrmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18092 /* vsubph */, X86::VSUBPHZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 18092 /* vsubph */, X86::VSUBPHZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 18092 /* vsubph */, X86::VSUBPHZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 18092 /* vsubph */, X86::VSUBPHZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 18092 /* vsubph */, X86::VSUBPHZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 18092 /* vsubph */, X86::VSUBPHZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 18092 /* vsubph */, X86::VSUBPHZrrb, Convert__Reg1_3__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 18092 /* vsubph */, X86::VSUBPHZ256rmb, Convert__Reg1_3__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK_VR256X }, },
{ 18092 /* vsubph */, X86::VSUBPHZrmb, Convert__Reg1_3__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK_VR512 }, },
{ 18092 /* vsubph */, X86::VSUBPHZ128rmb, Convert__Reg1_3__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK_FR16X }, },
{ 18092 /* vsubph */, X86::VSUBPHZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18092 /* vsubph */, X86::VSUBPHZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18092 /* vsubph */, X86::VSUBPHZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18092 /* vsubph */, X86::VSUBPHZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18092 /* vsubph */, X86::VSUBPHZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18092 /* vsubph */, X86::VSUBPHZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18092 /* vsubph */, X86::VSUBPHZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18092 /* vsubph */, X86::VSUBPHZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18092 /* vsubph */, X86::VSUBPHZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18092 /* vsubph */, X86::VSUBPHZrrbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18092 /* vsubph */, X86::VSUBPHZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18092 /* vsubph */, X86::VSUBPHZ256rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18092 /* vsubph */, X86::VSUBPHZrmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18092 /* vsubph */, X86::VSUBPHZ128rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18092 /* vsubph */, X86::VSUBPHZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18092 /* vsubph */, X86::VSUBPHZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18092 /* vsubph */, X86::VSUBPHZrrbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18092 /* vsubph */, X86::VSUBPHZ256rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to16_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18092 /* vsubph */, X86::VSUBPHZrmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to32_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18092 /* vsubph */, X86::VSUBPHZ128rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK__123_1to8_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18099 /* vsubps */, X86::VSUBPSrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 18099 /* vsubps */, X86::VSUBPSYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 18099 /* vsubps */, X86::VSUBPSZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 18099 /* vsubps */, X86::VSUBPSZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 18099 /* vsubps */, X86::VSUBPSZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 18099 /* vsubps */, X86::VSUBPSrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 18099 /* vsubps */, X86::VSUBPSZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 18099 /* vsubps */, X86::VSUBPSYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 18099 /* vsubps */, X86::VSUBPSZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 18099 /* vsubps */, X86::VSUBPSZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 18099 /* vsubps */, X86::VSUBPSZrrb, Convert__Reg1_3__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 18099 /* vsubps */, X86::VSUBPSZrmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512 }, },
{ 18099 /* vsubps */, X86::VSUBPSZ128rmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X }, },
{ 18099 /* vsubps */, X86::VSUBPSZ256rmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X }, },
{ 18099 /* vsubps */, X86::VSUBPSZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18099 /* vsubps */, X86::VSUBPSZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18099 /* vsubps */, X86::VSUBPSZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18099 /* vsubps */, X86::VSUBPSZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18099 /* vsubps */, X86::VSUBPSZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18099 /* vsubps */, X86::VSUBPSZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18099 /* vsubps */, X86::VSUBPSZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18099 /* vsubps */, X86::VSUBPSZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18099 /* vsubps */, X86::VSUBPSZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18099 /* vsubps */, X86::VSUBPSZrrbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18099 /* vsubps */, X86::VSUBPSZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18099 /* vsubps */, X86::VSUBPSZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18099 /* vsubps */, X86::VSUBPSZrmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18099 /* vsubps */, X86::VSUBPSZ128rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18099 /* vsubps */, X86::VSUBPSZ256rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18099 /* vsubps */, X86::VSUBPSZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18099 /* vsubps */, X86::VSUBPSZrrbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18099 /* vsubps */, X86::VSUBPSZrmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18099 /* vsubps */, X86::VSUBPSZ128rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18099 /* vsubps */, X86::VSUBPSZ256rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18106 /* vsubsd */, X86::VSUBSDrr_Int, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 18106 /* vsubsd */, X86::VSUBSDZrr_Int, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 18106 /* vsubsd */, X86::VSUBSDrm_Int, Convert__Reg1_2__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16, MCK_FR16 }, },
{ 18106 /* vsubsd */, X86::VSUBSDZrm_Int, Convert__Reg1_2__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK_FR16X }, },
{ 18106 /* vsubsd */, X86::VSUBSDZrrb_Int, Convert__Reg1_3__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 18106 /* vsubsd */, X86::VSUBSDZrr_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18106 /* vsubsd */, X86::VSUBSDZrm_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18106 /* vsubsd */, X86::VSUBSDZrr_Intkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18106 /* vsubsd */, X86::VSUBSDZrrb_Intk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18106 /* vsubsd */, X86::VSUBSDZrm_Intkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18106 /* vsubsd */, X86::VSUBSDZrrb_Intkz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18113 /* vsubsh */, X86::VSUBSHZrr_Int, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 18113 /* vsubsh */, X86::VSUBSHZrm_Int, Convert__Reg1_2__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16X, MCK_FR16X }, },
{ 18113 /* vsubsh */, X86::VSUBSHZrrb_Int, Convert__Reg1_3__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 18113 /* vsubsh */, X86::VSUBSHZrr_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18113 /* vsubsh */, X86::VSUBSHZrm_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18113 /* vsubsh */, X86::VSUBSHZrr_Intkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18113 /* vsubsh */, X86::VSUBSHZrrb_Intk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18113 /* vsubsh */, X86::VSUBSHZrm_Intkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18113 /* vsubsh */, X86::VSUBSHZrrb_Intkz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18120 /* vsubss */, X86::VSUBSSrr_Int, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 18120 /* vsubss */, X86::VSUBSSZrr_Int, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 18120 /* vsubss */, X86::VSUBSSrm_Int, Convert__Reg1_2__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16, MCK_FR16 }, },
{ 18120 /* vsubss */, X86::VSUBSSZrm_Int, Convert__Reg1_2__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X }, },
{ 18120 /* vsubss */, X86::VSUBSSZrrb_Int, Convert__Reg1_3__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 18120 /* vsubss */, X86::VSUBSSZrr_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18120 /* vsubss */, X86::VSUBSSZrm_Intk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18120 /* vsubss */, X86::VSUBSSZrr_Intkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18120 /* vsubss */, X86::VSUBSSZrrb_Intk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18120 /* vsubss */, X86::VSUBSSZrm_Intkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18120 /* vsubss */, X86::VSUBSSZrrb_Intkz, Convert__Reg1_3__Reg1_5__Reg1_2__Reg1_1__AVX512RC1_0, AMFBS_None, { MCK_AVX512RC, MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18127 /* vtestpd */, X86::VTESTPDrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 18127 /* vtestpd */, X86::VTESTPDYrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256 }, },
{ 18127 /* vtestpd */, X86::VTESTPDrm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 18127 /* vtestpd */, X86::VTESTPDYrm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256 }, },
{ 18135 /* vtestps */, X86::VTESTPSrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 18135 /* vtestps */, X86::VTESTPSYrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256 }, },
{ 18135 /* vtestps */, X86::VTESTPSrm, Convert__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 18135 /* vtestps */, X86::VTESTPSYrm, Convert__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256 }, },
{ 18143 /* vucomisd */, X86::VUCOMISDrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 18143 /* vucomisd */, X86::VUCOMISDZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 18143 /* vucomisd */, X86::VUCOMISDrm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16 }, },
{ 18143 /* vucomisd */, X86::VUCOMISDZrm, Convert__Reg1_1__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_FR16X }, },
{ 18143 /* vucomisd */, X86::VUCOMISDZrrb, Convert__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_FR16X, MCK_FR16X }, },
{ 18152 /* vucomish */, X86::VUCOMISHZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 18152 /* vucomish */, X86::VUCOMISHZrm, Convert__Reg1_1__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_FR16X }, },
{ 18152 /* vucomish */, X86::VUCOMISHZrrb, Convert__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_FR16X, MCK_FR16X }, },
{ 18161 /* vucomiss */, X86::VUCOMISSrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 18161 /* vucomiss */, X86::VUCOMISSZrr, Convert__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 18161 /* vucomiss */, X86::VUCOMISSrm, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16 }, },
{ 18161 /* vucomiss */, X86::VUCOMISSZrm, Convert__Reg1_1__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_FR16X }, },
{ 18161 /* vucomiss */, X86::VUCOMISSZrrb, Convert__Reg1_2__Reg1_1, AMFBS_None, { MCK__123_sae_125_, MCK_FR16X, MCK_FR16X }, },
{ 18170 /* vunpckhpd */, X86::VUNPCKHPDrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 18170 /* vunpckhpd */, X86::VUNPCKHPDYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 18170 /* vunpckhpd */, X86::VUNPCKHPDZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 18170 /* vunpckhpd */, X86::VUNPCKHPDZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 18170 /* vunpckhpd */, X86::VUNPCKHPDZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 18170 /* vunpckhpd */, X86::VUNPCKHPDrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 18170 /* vunpckhpd */, X86::VUNPCKHPDZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 18170 /* vunpckhpd */, X86::VUNPCKHPDYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 18170 /* vunpckhpd */, X86::VUNPCKHPDZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 18170 /* vunpckhpd */, X86::VUNPCKHPDZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 18170 /* vunpckhpd */, X86::VUNPCKHPDZ128rmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X }, },
{ 18170 /* vunpckhpd */, X86::VUNPCKHPDZ256rmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X }, },
{ 18170 /* vunpckhpd */, X86::VUNPCKHPDZrmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512 }, },
{ 18170 /* vunpckhpd */, X86::VUNPCKHPDZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18170 /* vunpckhpd */, X86::VUNPCKHPDZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18170 /* vunpckhpd */, X86::VUNPCKHPDZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18170 /* vunpckhpd */, X86::VUNPCKHPDZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18170 /* vunpckhpd */, X86::VUNPCKHPDZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18170 /* vunpckhpd */, X86::VUNPCKHPDZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18170 /* vunpckhpd */, X86::VUNPCKHPDZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18170 /* vunpckhpd */, X86::VUNPCKHPDZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18170 /* vunpckhpd */, X86::VUNPCKHPDZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18170 /* vunpckhpd */, X86::VUNPCKHPDZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18170 /* vunpckhpd */, X86::VUNPCKHPDZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18170 /* vunpckhpd */, X86::VUNPCKHPDZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18170 /* vunpckhpd */, X86::VUNPCKHPDZ128rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18170 /* vunpckhpd */, X86::VUNPCKHPDZ256rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18170 /* vunpckhpd */, X86::VUNPCKHPDZrmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18170 /* vunpckhpd */, X86::VUNPCKHPDZ128rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18170 /* vunpckhpd */, X86::VUNPCKHPDZ256rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18170 /* vunpckhpd */, X86::VUNPCKHPDZrmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18180 /* vunpckhps */, X86::VUNPCKHPSrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 18180 /* vunpckhps */, X86::VUNPCKHPSYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 18180 /* vunpckhps */, X86::VUNPCKHPSZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 18180 /* vunpckhps */, X86::VUNPCKHPSZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 18180 /* vunpckhps */, X86::VUNPCKHPSZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 18180 /* vunpckhps */, X86::VUNPCKHPSrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 18180 /* vunpckhps */, X86::VUNPCKHPSZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 18180 /* vunpckhps */, X86::VUNPCKHPSYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 18180 /* vunpckhps */, X86::VUNPCKHPSZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 18180 /* vunpckhps */, X86::VUNPCKHPSZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 18180 /* vunpckhps */, X86::VUNPCKHPSZrmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512 }, },
{ 18180 /* vunpckhps */, X86::VUNPCKHPSZ128rmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X }, },
{ 18180 /* vunpckhps */, X86::VUNPCKHPSZ256rmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X }, },
{ 18180 /* vunpckhps */, X86::VUNPCKHPSZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18180 /* vunpckhps */, X86::VUNPCKHPSZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18180 /* vunpckhps */, X86::VUNPCKHPSZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18180 /* vunpckhps */, X86::VUNPCKHPSZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18180 /* vunpckhps */, X86::VUNPCKHPSZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18180 /* vunpckhps */, X86::VUNPCKHPSZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18180 /* vunpckhps */, X86::VUNPCKHPSZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18180 /* vunpckhps */, X86::VUNPCKHPSZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18180 /* vunpckhps */, X86::VUNPCKHPSZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18180 /* vunpckhps */, X86::VUNPCKHPSZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18180 /* vunpckhps */, X86::VUNPCKHPSZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18180 /* vunpckhps */, X86::VUNPCKHPSZrmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18180 /* vunpckhps */, X86::VUNPCKHPSZ128rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18180 /* vunpckhps */, X86::VUNPCKHPSZ256rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18180 /* vunpckhps */, X86::VUNPCKHPSZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18180 /* vunpckhps */, X86::VUNPCKHPSZrmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18180 /* vunpckhps */, X86::VUNPCKHPSZ128rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18180 /* vunpckhps */, X86::VUNPCKHPSZ256rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18190 /* vunpcklpd */, X86::VUNPCKLPDrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 18190 /* vunpcklpd */, X86::VUNPCKLPDYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 18190 /* vunpcklpd */, X86::VUNPCKLPDZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 18190 /* vunpcklpd */, X86::VUNPCKLPDZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 18190 /* vunpcklpd */, X86::VUNPCKLPDZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 18190 /* vunpcklpd */, X86::VUNPCKLPDrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 18190 /* vunpcklpd */, X86::VUNPCKLPDZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 18190 /* vunpcklpd */, X86::VUNPCKLPDYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 18190 /* vunpcklpd */, X86::VUNPCKLPDZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 18190 /* vunpcklpd */, X86::VUNPCKLPDZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 18190 /* vunpcklpd */, X86::VUNPCKLPDZ128rmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X }, },
{ 18190 /* vunpcklpd */, X86::VUNPCKLPDZ256rmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X }, },
{ 18190 /* vunpcklpd */, X86::VUNPCKLPDZrmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512 }, },
{ 18190 /* vunpcklpd */, X86::VUNPCKLPDZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18190 /* vunpcklpd */, X86::VUNPCKLPDZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18190 /* vunpcklpd */, X86::VUNPCKLPDZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18190 /* vunpcklpd */, X86::VUNPCKLPDZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18190 /* vunpcklpd */, X86::VUNPCKLPDZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18190 /* vunpcklpd */, X86::VUNPCKLPDZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18190 /* vunpcklpd */, X86::VUNPCKLPDZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18190 /* vunpcklpd */, X86::VUNPCKLPDZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18190 /* vunpcklpd */, X86::VUNPCKLPDZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18190 /* vunpcklpd */, X86::VUNPCKLPDZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18190 /* vunpcklpd */, X86::VUNPCKLPDZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18190 /* vunpcklpd */, X86::VUNPCKLPDZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18190 /* vunpcklpd */, X86::VUNPCKLPDZ128rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18190 /* vunpcklpd */, X86::VUNPCKLPDZ256rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18190 /* vunpcklpd */, X86::VUNPCKLPDZrmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18190 /* vunpcklpd */, X86::VUNPCKLPDZ128rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18190 /* vunpcklpd */, X86::VUNPCKLPDZ256rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18190 /* vunpcklpd */, X86::VUNPCKLPDZrmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18200 /* vunpcklps */, X86::VUNPCKLPSrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 18200 /* vunpcklps */, X86::VUNPCKLPSYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 18200 /* vunpcklps */, X86::VUNPCKLPSZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 18200 /* vunpcklps */, X86::VUNPCKLPSZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 18200 /* vunpcklps */, X86::VUNPCKLPSZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 18200 /* vunpcklps */, X86::VUNPCKLPSrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 18200 /* vunpcklps */, X86::VUNPCKLPSZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 18200 /* vunpcklps */, X86::VUNPCKLPSYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 18200 /* vunpcklps */, X86::VUNPCKLPSZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 18200 /* vunpcklps */, X86::VUNPCKLPSZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 18200 /* vunpcklps */, X86::VUNPCKLPSZrmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512 }, },
{ 18200 /* vunpcklps */, X86::VUNPCKLPSZ128rmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X }, },
{ 18200 /* vunpcklps */, X86::VUNPCKLPSZ256rmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X }, },
{ 18200 /* vunpcklps */, X86::VUNPCKLPSZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18200 /* vunpcklps */, X86::VUNPCKLPSZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18200 /* vunpcklps */, X86::VUNPCKLPSZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18200 /* vunpcklps */, X86::VUNPCKLPSZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18200 /* vunpcklps */, X86::VUNPCKLPSZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18200 /* vunpcklps */, X86::VUNPCKLPSZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18200 /* vunpcklps */, X86::VUNPCKLPSZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18200 /* vunpcklps */, X86::VUNPCKLPSZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18200 /* vunpcklps */, X86::VUNPCKLPSZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18200 /* vunpcklps */, X86::VUNPCKLPSZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18200 /* vunpcklps */, X86::VUNPCKLPSZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18200 /* vunpcklps */, X86::VUNPCKLPSZrmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18200 /* vunpcklps */, X86::VUNPCKLPSZ128rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18200 /* vunpcklps */, X86::VUNPCKLPSZ256rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18200 /* vunpcklps */, X86::VUNPCKLPSZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18200 /* vunpcklps */, X86::VUNPCKLPSZrmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18200 /* vunpcklps */, X86::VUNPCKLPSZ128rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18200 /* vunpcklps */, X86::VUNPCKLPSZ256rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18210 /* vxorpd */, X86::VXORPDrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 18210 /* vxorpd */, X86::VXORPDYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 18210 /* vxorpd */, X86::VXORPDZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 18210 /* vxorpd */, X86::VXORPDZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 18210 /* vxorpd */, X86::VXORPDZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 18210 /* vxorpd */, X86::VXORPDrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 18210 /* vxorpd */, X86::VXORPDZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 18210 /* vxorpd */, X86::VXORPDYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 18210 /* vxorpd */, X86::VXORPDZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 18210 /* vxorpd */, X86::VXORPDZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 18210 /* vxorpd */, X86::VXORPDZ128rmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X }, },
{ 18210 /* vxorpd */, X86::VXORPDZ256rmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X }, },
{ 18210 /* vxorpd */, X86::VXORPDZrmb, Convert__Reg1_3__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512 }, },
{ 18210 /* vxorpd */, X86::VXORPDZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18210 /* vxorpd */, X86::VXORPDZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18210 /* vxorpd */, X86::VXORPDZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18210 /* vxorpd */, X86::VXORPDZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18210 /* vxorpd */, X86::VXORPDZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18210 /* vxorpd */, X86::VXORPDZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18210 /* vxorpd */, X86::VXORPDZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18210 /* vxorpd */, X86::VXORPDZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18210 /* vxorpd */, X86::VXORPDZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18210 /* vxorpd */, X86::VXORPDZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18210 /* vxorpd */, X86::VXORPDZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18210 /* vxorpd */, X86::VXORPDZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18210 /* vxorpd */, X86::VXORPDZ128rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18210 /* vxorpd */, X86::VXORPDZ256rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18210 /* vxorpd */, X86::VXORPDZrmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18210 /* vxorpd */, X86::VXORPDZ128rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to2_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18210 /* vxorpd */, X86::VXORPDZ256rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to4_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18210 /* vxorpd */, X86::VXORPDZrmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK__123_1to8_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18217 /* vxorps */, X86::VXORPSrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 18217 /* vxorps */, X86::VXORPSYrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 18217 /* vxorps */, X86::VXORPSZ128rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 18217 /* vxorps */, X86::VXORPSZ256rr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 18217 /* vxorps */, X86::VXORPSZrr, Convert__Reg1_2__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 18217 /* vxorps */, X86::VXORPSrm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 18217 /* vxorps */, X86::VXORPSZ128rm, Convert__Reg1_2__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X }, },
{ 18217 /* vxorps */, X86::VXORPSYrm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 18217 /* vxorps */, X86::VXORPSZ256rm, Convert__Reg1_2__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X }, },
{ 18217 /* vxorps */, X86::VXORPSZrm, Convert__Reg1_2__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512 }, },
{ 18217 /* vxorps */, X86::VXORPSZrmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512 }, },
{ 18217 /* vxorps */, X86::VXORPSZ128rmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X }, },
{ 18217 /* vxorps */, X86::VXORPSZ256rmb, Convert__Reg1_3__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X }, },
{ 18217 /* vxorps */, X86::VXORPSZ128rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18217 /* vxorps */, X86::VXORPSZ256rrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18217 /* vxorps */, X86::VXORPSZrrk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18217 /* vxorps */, X86::VXORPSZ128rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18217 /* vxorps */, X86::VXORPSZ256rmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18217 /* vxorps */, X86::VXORPSZrmk, Convert__Reg1_2__Tie0_1_1__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18217 /* vxorps */, X86::VXORPSZ128rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18217 /* vxorps */, X86::VXORPSZ256rrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18217 /* vxorps */, X86::VXORPSZrrkz, Convert__Reg1_2__Reg1_4__Reg1_1__Reg1_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18217 /* vxorps */, X86::VXORPSZ128rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18217 /* vxorps */, X86::VXORPSZ256rmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem2565_0, AMFBS_None, { MCK_Mem256, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18217 /* vxorps */, X86::VXORPSZrmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18217 /* vxorps */, X86::VXORPSZ128rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18217 /* vxorps */, X86::VXORPSZ256rmbk, Convert__Reg1_3__Tie0_1_1__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_ }, },
{ 18217 /* vxorps */, X86::VXORPSZrmkz, Convert__Reg1_2__Reg1_4__Reg1_1__Mem5125_0, AMFBS_None, { MCK_Mem512, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18217 /* vxorps */, X86::VXORPSZrmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to16_125_, MCK_VR512, MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18217 /* vxorps */, X86::VXORPSZ128rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to4_125_, MCK_FR16X, MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18217 /* vxorps */, X86::VXORPSZ256rmbkz, Convert__Reg1_3__Reg1_5__Reg1_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK__123_1to8_125_, MCK_VR256X, MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_ }, },
{ 18224 /* vzeroall */, X86::VZEROALL, Convert_NoOperands, AMFBS_None, { }, },
{ 18233 /* vzeroupper */, X86::VZEROUPPER, Convert_NoOperands, AMFBS_None, { }, },
{ 18244 /* wait */, X86::WAIT, Convert_NoOperands, AMFBS_None, { }, },
{ 18249 /* wbinvd */, X86::WBINVD, Convert_NoOperands, AMFBS_None, { }, },
{ 18256 /* wbnoinvd */, X86::WBNOINVD, Convert_NoOperands, AMFBS_None, { }, },
{ 18274 /* wrfsbasel */, X86::WRFSBASE, Convert__Reg1_0, AMFBS_In64BitMode, { MCK_GR32 }, },
{ 18284 /* wrfsbaseq */, X86::WRFSBASE64, Convert__Reg1_0, AMFBS_In64BitMode, { MCK_GR64 }, },
{ 18303 /* wrgsbasel */, X86::WRGSBASE, Convert__Reg1_0, AMFBS_In64BitMode, { MCK_GR32 }, },
{ 18313 /* wrgsbaseq */, X86::WRGSBASE64, Convert__Reg1_0, AMFBS_In64BitMode, { MCK_GR64 }, },
{ 18323 /* wrmsr */, X86::WRMSR, Convert_NoOperands, AMFBS_None, { }, },
{ 18329 /* wrmsrlist */, X86::WRMSRLIST, Convert_NoOperands, AMFBS_In64BitMode, { }, },
{ 18339 /* wrmsrns */, X86::WRMSRNS, Convert_NoOperands, AMFBS_None, { }, },
{ 18347 /* wrpkru */, X86::WRPKRUr, Convert_NoOperands, AMFBS_None, { }, },
{ 18354 /* wrssd */, X86::WRSSD, Convert__Mem325_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_Mem32 }, },
{ 18360 /* wrssq */, X86::WRSSQ, Convert__Mem645_1__Reg1_0, AMFBS_None, { MCK_GR64, MCK_Mem64 }, },
{ 18366 /* wrussd */, X86::WRUSSD, Convert__Mem325_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_Mem32 }, },
{ 18373 /* wrussq */, X86::WRUSSQ, Convert__Mem645_1__Reg1_0, AMFBS_None, { MCK_GR64, MCK_Mem64 }, },
{ 18380 /* xabort */, X86::XABORT, Convert__Imm1_0, AMFBS_None, { MCK_Imm }, },
{ 18387 /* xacquire */, X86::XACQUIRE_PREFIX, Convert_NoOperands, AMFBS_None, { }, },
{ 18401 /* xaddb */, X86::XADD8rr, Convert__Reg1_1__Reg1_0__Tie0_2_2__Tie1_1_1, AMFBS_None, { MCK_GR8, MCK_GR8 }, },
{ 18401 /* xaddb */, X86::XADD8rm, Convert__Reg1_0__Tie0_1_1__Mem85_1, AMFBS_None, { MCK_GR8, MCK_Mem8 }, },
{ 18407 /* xaddl */, X86::XADD32rr, Convert__Reg1_1__Reg1_0__Tie0_2_2__Tie1_1_1, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 18407 /* xaddl */, X86::XADD32rm, Convert__Reg1_0__Tie0_1_1__Mem325_1, AMFBS_None, { MCK_GR32, MCK_Mem32 }, },
{ 18413 /* xaddq */, X86::XADD64rr, Convert__Reg1_1__Reg1_0__Tie0_2_2__Tie1_1_1, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 18413 /* xaddq */, X86::XADD64rm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_GR64, MCK_Mem64 }, },
{ 18419 /* xaddw */, X86::XADD16rr, Convert__Reg1_1__Reg1_0__Tie0_2_2__Tie1_1_1, AMFBS_None, { MCK_GR16, MCK_GR16 }, },
{ 18419 /* xaddw */, X86::XADD16rm, Convert__Reg1_0__Tie0_1_1__Mem165_1, AMFBS_None, { MCK_GR16, MCK_Mem16 }, },
{ 18425 /* xbegin */, X86::XBEGIN_2, Convert__AbsMem161_0, AMFBS_None, { MCK_AbsMem16 }, },
{ 18425 /* xbegin */, X86::XBEGIN_4, Convert__AbsMem1_0, AMFBS_None, { MCK_AbsMem }, },
{ 18437 /* xchgb */, X86::XCHG8rr, Convert__Reg1_1__Reg1_0__Tie0_2_2__Tie1_1_1, AMFBS_None, { MCK_GR8, MCK_GR8 }, },
{ 18437 /* xchgb */, X86::XCHG8rm, Convert__Reg1_0__Tie0_1_1__Mem85_1, AMFBS_None, { MCK_GR8, MCK_Mem8 }, },
{ 18437 /* xchgb */, X86::XCHG8rm, Convert__Reg1_1__Tie0_2_2__Mem85_0, AMFBS_None, { MCK_Mem8, MCK_GR8 }, },
{ 18443 /* xchgl */, X86::XCHG32rr, Convert__regEAX__regEAX__Tie0_1_1__Tie1_1_1, AMFBS_In64BitMode, { MCK_EAX, MCK_EAX }, },
{ 18443 /* xchgl */, X86::XCHG32ar, Convert__Reg1_1__Tie0_2_2, AMFBS_None, { MCK_EAX, MCK_GR32 }, },
{ 18443 /* xchgl */, X86::XCHG32ar, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR32, MCK_EAX }, },
{ 18443 /* xchgl */, X86::XCHG32rr, Convert__Reg1_1__Reg1_0__Tie0_2_2__Tie1_1_1, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 18443 /* xchgl */, X86::XCHG32rm, Convert__Reg1_0__Tie0_1_1__Mem325_1, AMFBS_None, { MCK_GR32, MCK_Mem32 }, },
{ 18443 /* xchgl */, X86::XCHG32rm, Convert__Reg1_1__Tie0_2_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_GR32 }, },
{ 18449 /* xchgq */, X86::NOOP, Convert_NoOperands, AMFBS_None, { MCK_RAX, MCK_RAX }, },
{ 18449 /* xchgq */, X86::XCHG64ar, Convert__Reg1_1__Tie0_2_2, AMFBS_None, { MCK_RAX, MCK_GR64 }, },
{ 18449 /* xchgq */, X86::XCHG64ar, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR64, MCK_RAX }, },
{ 18449 /* xchgq */, X86::XCHG64rr, Convert__Reg1_1__Reg1_0__Tie0_2_2__Tie1_1_1, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 18449 /* xchgq */, X86::XCHG64rm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_GR64, MCK_Mem64 }, },
{ 18449 /* xchgq */, X86::XCHG64rm, Convert__Reg1_1__Tie0_2_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_GR64 }, },
{ 18455 /* xchgw */, X86::XCHG16ar, Convert__Reg1_1__Tie0_2_2, AMFBS_None, { MCK_AX, MCK_GR16 }, },
{ 18455 /* xchgw */, X86::XCHG16ar, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR16, MCK_AX }, },
{ 18455 /* xchgw */, X86::XCHG16rr, Convert__Reg1_1__Reg1_0__Tie0_2_2__Tie1_1_1, AMFBS_None, { MCK_GR16, MCK_GR16 }, },
{ 18455 /* xchgw */, X86::XCHG16rm, Convert__Reg1_0__Tie0_1_1__Mem165_1, AMFBS_None, { MCK_GR16, MCK_Mem16 }, },
{ 18455 /* xchgw */, X86::XCHG16rm, Convert__Reg1_1__Tie0_2_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_GR16 }, },
{ 18461 /* xcryptcbc */, X86::XCRYPTCBC, Convert_NoOperands, AMFBS_None, { }, },
{ 18471 /* xcryptcfb */, X86::XCRYPTCFB, Convert_NoOperands, AMFBS_None, { }, },
{ 18481 /* xcryptctr */, X86::XCRYPTCTR, Convert_NoOperands, AMFBS_None, { }, },
{ 18491 /* xcryptecb */, X86::XCRYPTECB, Convert_NoOperands, AMFBS_None, { }, },
{ 18501 /* xcryptofb */, X86::XCRYPTOFB, Convert_NoOperands, AMFBS_None, { }, },
{ 18511 /* xend */, X86::XEND, Convert_NoOperands, AMFBS_None, { }, },
{ 18516 /* xgetbv */, X86::XGETBV, Convert_NoOperands, AMFBS_None, { }, },
{ 18523 /* xlatb */, X86::XLAT, Convert_NoOperands, AMFBS_None, { }, },
{ 18533 /* xorb */, X86::XOR8rr, Convert__Reg1_1__Tie0_2_2__Reg1_0, AMFBS_None, { MCK_GR8, MCK_GR8 }, },
{ 18533 /* xorb */, X86::XOR8mr, Convert__Mem85_1__Reg1_0, AMFBS_None, { MCK_GR8, MCK_Mem8 }, },
{ 18533 /* xorb */, X86::XOR8i8, Convert__Imm1_0, AMFBS_None, { MCK_Imm, MCK_AL }, },
{ 18533 /* xorb */, X86::XOR8ri, Convert__Reg1_1__Tie0_2_2__Imm1_0, AMFBS_None, { MCK_Imm, MCK_GR8 }, },
{ 18533 /* xorb */, X86::XOR8mi, Convert__Mem85_1__Imm1_0, AMFBS_None, { MCK_Imm, MCK_Mem8 }, },
{ 18533 /* xorb */, X86::XOR8rm, Convert__Reg1_1__Tie0_2_2__Mem85_0, AMFBS_None, { MCK_Mem8, MCK_GR8 }, },
{ 18538 /* xorl */, X86::XOR32rr, Convert__Reg1_1__Tie0_2_2__Reg1_0, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 18538 /* xorl */, X86::XOR32mr, Convert__Mem325_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_Mem32 }, },
{ 18538 /* xorl */, X86::XOR32ri8, Convert__regEAX__Tie0_1_1__ImmSExti32i81_0, AMFBS_None, { MCK_ImmSExti32i8, MCK_EAX }, },
{ 18538 /* xorl */, X86::XOR32ri8, Convert__Reg1_1__Tie0_2_2__ImmSExti32i81_0, AMFBS_None, { MCK_ImmSExti32i8, MCK_GR32 }, },
{ 18538 /* xorl */, X86::XOR32mi8, Convert__Mem325_1__ImmSExti32i81_0, AMFBS_None, { MCK_ImmSExti32i8, MCK_Mem32 }, },
{ 18538 /* xorl */, X86::XOR32i32, Convert__Imm1_0, AMFBS_None, { MCK_Imm, MCK_EAX }, },
{ 18538 /* xorl */, X86::XOR32ri, Convert__Reg1_1__Tie0_2_2__Imm1_0, AMFBS_None, { MCK_Imm, MCK_GR32 }, },
{ 18538 /* xorl */, X86::XOR32mi, Convert__Mem325_1__Imm1_0, AMFBS_None, { MCK_Imm, MCK_Mem32 }, },
{ 18538 /* xorl */, X86::XOR32rm, Convert__Reg1_1__Tie0_2_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_GR32 }, },
{ 18543 /* xorpd */, X86::XORPDrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 18543 /* xorpd */, X86::XORPDrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 18549 /* xorps */, X86::XORPSrr, Convert__Reg1_1__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 18549 /* xorps */, X86::XORPSrm, Convert__Reg1_1__Tie0_1_1__Mem1285_0, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 18555 /* xorq */, X86::XOR64rr, Convert__Reg1_1__Tie0_2_2__Reg1_0, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 18555 /* xorq */, X86::XOR64mr, Convert__Mem645_1__Reg1_0, AMFBS_None, { MCK_GR64, MCK_Mem64 }, },
{ 18555 /* xorq */, X86::XOR64ri8, Convert__regRAX__Tie0_1_1__ImmSExti64i81_0, AMFBS_None, { MCK_ImmSExti64i8, MCK_RAX }, },
{ 18555 /* xorq */, X86::XOR64ri8, Convert__Reg1_1__Tie0_2_2__ImmSExti64i81_0, AMFBS_None, { MCK_ImmSExti64i8, MCK_GR64 }, },
{ 18555 /* xorq */, X86::XOR64mi8, Convert__Mem645_1__ImmSExti64i81_0, AMFBS_In64BitMode, { MCK_ImmSExti64i8, MCK_Mem64 }, },
{ 18555 /* xorq */, X86::XOR64i32, Convert__ImmSExti64i321_0, AMFBS_None, { MCK_ImmSExti64i32, MCK_RAX }, },
{ 18555 /* xorq */, X86::XOR64ri32, Convert__Reg1_1__Tie0_2_2__ImmSExti64i321_0, AMFBS_None, { MCK_ImmSExti64i32, MCK_GR64 }, },
{ 18555 /* xorq */, X86::XOR64mi32, Convert__Mem645_1__ImmSExti64i321_0, AMFBS_In64BitMode, { MCK_ImmSExti64i32, MCK_Mem64 }, },
{ 18555 /* xorq */, X86::XOR64rm, Convert__Reg1_1__Tie0_2_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_GR64 }, },
{ 18560 /* xorw */, X86::XOR16rr, Convert__Reg1_1__Tie0_2_2__Reg1_0, AMFBS_None, { MCK_GR16, MCK_GR16 }, },
{ 18560 /* xorw */, X86::XOR16mr, Convert__Mem165_1__Reg1_0, AMFBS_None, { MCK_GR16, MCK_Mem16 }, },
{ 18560 /* xorw */, X86::XOR16ri8, Convert__regAX__Tie0_1_1__ImmSExti16i81_0, AMFBS_None, { MCK_ImmSExti16i8, MCK_AX }, },
{ 18560 /* xorw */, X86::XOR16ri8, Convert__Reg1_1__Tie0_2_2__ImmSExti16i81_0, AMFBS_None, { MCK_ImmSExti16i8, MCK_GR16 }, },
{ 18560 /* xorw */, X86::XOR16mi8, Convert__Mem165_1__ImmSExti16i81_0, AMFBS_None, { MCK_ImmSExti16i8, MCK_Mem16 }, },
{ 18560 /* xorw */, X86::XOR16i16, Convert__Imm1_0, AMFBS_None, { MCK_Imm, MCK_AX }, },
{ 18560 /* xorw */, X86::XOR16ri, Convert__Reg1_1__Tie0_2_2__Imm1_0, AMFBS_None, { MCK_Imm, MCK_GR16 }, },
{ 18560 /* xorw */, X86::XOR16mi, Convert__Mem165_1__Imm1_0, AMFBS_None, { MCK_Imm, MCK_Mem16 }, },
{ 18560 /* xorw */, X86::XOR16rm, Convert__Reg1_1__Tie0_2_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_GR16 }, },
{ 18565 /* xrelease */, X86::XRELEASE_PREFIX, Convert_NoOperands, AMFBS_None, { }, },
{ 18574 /* xresldtrk */, X86::XRESLDTRK, Convert_NoOperands, AMFBS_None, { }, },
{ 18584 /* xrstor */, X86::XRSTOR, Convert__Mem5_0, AMFBS_None, { MCK_Mem }, },
{ 18591 /* xrstor64 */, X86::XRSTOR64, Convert__Mem5_0, AMFBS_In64BitMode, { MCK_Mem }, },
{ 18600 /* xrstors */, X86::XRSTORS, Convert__Mem5_0, AMFBS_None, { MCK_Mem }, },
{ 18608 /* xrstors64 */, X86::XRSTORS64, Convert__Mem5_0, AMFBS_In64BitMode, { MCK_Mem }, },
{ 18618 /* xsave */, X86::XSAVE, Convert__Mem5_0, AMFBS_None, { MCK_Mem }, },
{ 18624 /* xsave64 */, X86::XSAVE64, Convert__Mem5_0, AMFBS_In64BitMode, { MCK_Mem }, },
{ 18632 /* xsavec */, X86::XSAVEC, Convert__Mem5_0, AMFBS_None, { MCK_Mem }, },
{ 18639 /* xsavec64 */, X86::XSAVEC64, Convert__Mem5_0, AMFBS_In64BitMode, { MCK_Mem }, },
{ 18648 /* xsaveopt */, X86::XSAVEOPT, Convert__Mem5_0, AMFBS_None, { MCK_Mem }, },
{ 18657 /* xsaveopt64 */, X86::XSAVEOPT64, Convert__Mem5_0, AMFBS_In64BitMode, { MCK_Mem }, },
{ 18668 /* xsaves */, X86::XSAVES, Convert__Mem5_0, AMFBS_None, { MCK_Mem }, },
{ 18675 /* xsaves64 */, X86::XSAVES64, Convert__Mem5_0, AMFBS_In64BitMode, { MCK_Mem }, },
{ 18684 /* xsetbv */, X86::XSETBV, Convert_NoOperands, AMFBS_None, { }, },
{ 18691 /* xsha1 */, X86::XSHA1, Convert_NoOperands, AMFBS_None, { }, },
{ 18697 /* xsha256 */, X86::XSHA256, Convert_NoOperands, AMFBS_None, { }, },
{ 18705 /* xstore */, X86::XSTORE, Convert_NoOperands, AMFBS_None, { }, },
{ 18712 /* xstorerng */, X86::XSTORE, Convert_NoOperands, AMFBS_None, { }, },
{ 18722 /* xsusldtrk */, X86::XSUSLDTRK, Convert_NoOperands, AMFBS_None, { }, },
{ 18732 /* xtest */, X86::XTEST, Convert_NoOperands, AMFBS_None, { }, },
};
static const MatchEntry MatchTable1[] = {
{ 0 /* aaa */, X86::AAA, Convert_NoOperands, AMFBS_Not64BitMode, { }, },
{ 4 /* aad */, X86::AAD8i8, Convert__imm_95_10, AMFBS_Not64BitMode, { }, },
{ 4 /* aad */, X86::AAD8i8, Convert__Imm1_0, AMFBS_Not64BitMode, { MCK_Imm }, },
{ 8 /* aadd */, X86::AADD32mr, Convert__Mem325_0__Reg1_1, AMFBS_None, { MCK_Mem32, MCK_GR32 }, },
{ 8 /* aadd */, X86::AADD64mr, Convert__Mem645_0__Reg1_1, AMFBS_In64BitMode, { MCK_Mem64, MCK_GR64 }, },
{ 25 /* aam */, X86::AAM8i8, Convert__imm_95_10, AMFBS_Not64BitMode, { }, },
{ 25 /* aam */, X86::AAM8i8, Convert__Imm1_0, AMFBS_Not64BitMode, { MCK_Imm }, },
{ 29 /* aand */, X86::AAND32mr, Convert__Mem325_0__Reg1_1, AMFBS_None, { MCK_Mem32, MCK_GR32 }, },
{ 29 /* aand */, X86::AAND64mr, Convert__Mem645_0__Reg1_1, AMFBS_In64BitMode, { MCK_Mem64, MCK_GR64 }, },
{ 46 /* aas */, X86::AAS, Convert_NoOperands, AMFBS_Not64BitMode, { }, },
{ 50 /* adc */, X86::ADC8i8, Convert__Imm1_1, AMFBS_None, { MCK_AL, MCK_Imm }, },
{ 50 /* adc */, X86::ADC16ri8, Convert__regAX__Tie0_1_1__ImmSExti16i81_1, AMFBS_None, { MCK_AX, MCK_ImmSExti16i8 }, },
{ 50 /* adc */, X86::ADC16i16, Convert__Imm1_1, AMFBS_None, { MCK_AX, MCK_Imm }, },
{ 50 /* adc */, X86::ADC32ri8, Convert__regEAX__Tie0_1_1__ImmSExti32i81_1, AMFBS_None, { MCK_EAX, MCK_ImmSExti32i8 }, },
{ 50 /* adc */, X86::ADC32i32, Convert__Imm1_1, AMFBS_None, { MCK_EAX, MCK_Imm }, },
{ 50 /* adc */, X86::ADC64ri8, Convert__regRAX__Tie0_1_1__ImmSExti64i81_1, AMFBS_None, { MCK_RAX, MCK_ImmSExti64i8 }, },
{ 50 /* adc */, X86::ADC64i32, Convert__ImmSExti64i321_1, AMFBS_None, { MCK_RAX, MCK_ImmSExti64i32 }, },
{ 50 /* adc */, X86::ADC16rr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_GR16, MCK_GR16 }, },
{ 50 /* adc */, X86::ADC16ri8, Convert__Reg1_0__Tie0_1_1__ImmSExti16i81_1, AMFBS_None, { MCK_GR16, MCK_ImmSExti16i8 }, },
{ 50 /* adc */, X86::ADC16ri, Convert__Reg1_0__Tie0_1_1__Imm1_1, AMFBS_None, { MCK_GR16, MCK_Imm }, },
{ 50 /* adc */, X86::ADC16rm, Convert__Reg1_0__Tie0_1_1__Mem165_1, AMFBS_None, { MCK_GR16, MCK_Mem16 }, },
{ 50 /* adc */, X86::ADC32rr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 50 /* adc */, X86::ADC32ri8, Convert__Reg1_0__Tie0_1_1__ImmSExti32i81_1, AMFBS_None, { MCK_GR32, MCK_ImmSExti32i8 }, },
{ 50 /* adc */, X86::ADC32ri, Convert__Reg1_0__Tie0_1_1__Imm1_1, AMFBS_None, { MCK_GR32, MCK_Imm }, },
{ 50 /* adc */, X86::ADC32rm, Convert__Reg1_0__Tie0_1_1__Mem325_1, AMFBS_None, { MCK_GR32, MCK_Mem32 }, },
{ 50 /* adc */, X86::ADC64rr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 50 /* adc */, X86::ADC64ri8, Convert__Reg1_0__Tie0_1_1__ImmSExti64i81_1, AMFBS_None, { MCK_GR64, MCK_ImmSExti64i8 }, },
{ 50 /* adc */, X86::ADC64ri32, Convert__Reg1_0__Tie0_1_1__ImmSExti64i321_1, AMFBS_None, { MCK_GR64, MCK_ImmSExti64i32 }, },
{ 50 /* adc */, X86::ADC64rm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_GR64, MCK_Mem64 }, },
{ 50 /* adc */, X86::ADC8rr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_GR8, MCK_GR8 }, },
{ 50 /* adc */, X86::ADC8ri, Convert__Reg1_0__Tie0_1_1__Imm1_1, AMFBS_None, { MCK_GR8, MCK_Imm }, },
{ 50 /* adc */, X86::ADC8rm, Convert__Reg1_0__Tie0_1_1__Mem85_1, AMFBS_None, { MCK_GR8, MCK_Mem8 }, },
{ 50 /* adc */, X86::ADC16mr, Convert__Mem165_0__Reg1_1, AMFBS_None, { MCK_Mem16, MCK_GR16 }, },
{ 50 /* adc */, X86::ADC16mi8, Convert__Mem165_0__ImmSExti16i81_1, AMFBS_None, { MCK_Mem16, MCK_ImmSExti16i8 }, },
{ 50 /* adc */, X86::ADC16mi, Convert__Mem165_0__Imm1_1, AMFBS_None, { MCK_Mem16, MCK_Imm }, },
{ 50 /* adc */, X86::ADC32mr, Convert__Mem325_0__Reg1_1, AMFBS_None, { MCK_Mem32, MCK_GR32 }, },
{ 50 /* adc */, X86::ADC32mi8, Convert__Mem325_0__ImmSExti32i81_1, AMFBS_None, { MCK_Mem32, MCK_ImmSExti32i8 }, },
{ 50 /* adc */, X86::ADC32mi, Convert__Mem325_0__Imm1_1, AMFBS_None, { MCK_Mem32, MCK_Imm }, },
{ 50 /* adc */, X86::ADC64mr, Convert__Mem645_0__Reg1_1, AMFBS_None, { MCK_Mem64, MCK_GR64 }, },
{ 50 /* adc */, X86::ADC64mi8, Convert__Mem645_0__ImmSExti64i81_1, AMFBS_In64BitMode, { MCK_Mem64, MCK_ImmSExti64i8 }, },
{ 50 /* adc */, X86::ADC64mi32, Convert__Mem645_0__ImmSExti64i321_1, AMFBS_In64BitMode, { MCK_Mem64, MCK_ImmSExti64i32 }, },
{ 50 /* adc */, X86::ADC8mr, Convert__Mem85_0__Reg1_1, AMFBS_None, { MCK_Mem8, MCK_GR8 }, },
{ 50 /* adc */, X86::ADC8mi, Convert__Mem85_0__Imm1_1, AMFBS_None, { MCK_Mem8, MCK_Imm }, },
{ 74 /* adcx */, X86::ADCX32rr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 74 /* adcx */, X86::ADCX32rm, Convert__Reg1_0__Tie0_1_1__Mem325_1, AMFBS_None, { MCK_GR32, MCK_Mem32 }, },
{ 74 /* adcx */, X86::ADCX64rr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 74 /* adcx */, X86::ADCX64rm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_GR64, MCK_Mem64 }, },
{ 91 /* add */, X86::ADD8i8, Convert__Imm1_1, AMFBS_None, { MCK_AL, MCK_Imm }, },
{ 91 /* add */, X86::ADD16ri8, Convert__regAX__Tie0_1_1__ImmSExti16i81_1, AMFBS_None, { MCK_AX, MCK_ImmSExti16i8 }, },
{ 91 /* add */, X86::ADD16i16, Convert__Imm1_1, AMFBS_None, { MCK_AX, MCK_Imm }, },
{ 91 /* add */, X86::ADD32ri8, Convert__regEAX__Tie0_1_1__ImmSExti32i81_1, AMFBS_None, { MCK_EAX, MCK_ImmSExti32i8 }, },
{ 91 /* add */, X86::ADD32i32, Convert__Imm1_1, AMFBS_None, { MCK_EAX, MCK_Imm }, },
{ 91 /* add */, X86::ADD64ri8, Convert__regRAX__Tie0_1_1__ImmSExti64i81_1, AMFBS_None, { MCK_RAX, MCK_ImmSExti64i8 }, },
{ 91 /* add */, X86::ADD64i32, Convert__ImmSExti64i321_1, AMFBS_None, { MCK_RAX, MCK_ImmSExti64i32 }, },
{ 91 /* add */, X86::ADD16rr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_GR16, MCK_GR16 }, },
{ 91 /* add */, X86::ADD16ri8, Convert__Reg1_0__Tie0_1_1__ImmSExti16i81_1, AMFBS_None, { MCK_GR16, MCK_ImmSExti16i8 }, },
{ 91 /* add */, X86::ADD16ri, Convert__Reg1_0__Tie0_1_1__Imm1_1, AMFBS_None, { MCK_GR16, MCK_Imm }, },
{ 91 /* add */, X86::ADD16rm, Convert__Reg1_0__Tie0_1_1__Mem165_1, AMFBS_None, { MCK_GR16, MCK_Mem16 }, },
{ 91 /* add */, X86::ADD32rr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 91 /* add */, X86::ADD32ri8, Convert__Reg1_0__Tie0_1_1__ImmSExti32i81_1, AMFBS_None, { MCK_GR32, MCK_ImmSExti32i8 }, },
{ 91 /* add */, X86::ADD32ri, Convert__Reg1_0__Tie0_1_1__Imm1_1, AMFBS_None, { MCK_GR32, MCK_Imm }, },
{ 91 /* add */, X86::ADD32rm, Convert__Reg1_0__Tie0_1_1__Mem325_1, AMFBS_None, { MCK_GR32, MCK_Mem32 }, },
{ 91 /* add */, X86::ADD64rr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 91 /* add */, X86::ADD64ri8, Convert__Reg1_0__Tie0_1_1__ImmSExti64i81_1, AMFBS_None, { MCK_GR64, MCK_ImmSExti64i8 }, },
{ 91 /* add */, X86::ADD64ri32, Convert__Reg1_0__Tie0_1_1__ImmSExti64i321_1, AMFBS_None, { MCK_GR64, MCK_ImmSExti64i32 }, },
{ 91 /* add */, X86::ADD64rm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_GR64, MCK_Mem64 }, },
{ 91 /* add */, X86::ADD8rr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_GR8, MCK_GR8 }, },
{ 91 /* add */, X86::ADD8ri, Convert__Reg1_0__Tie0_1_1__Imm1_1, AMFBS_None, { MCK_GR8, MCK_Imm }, },
{ 91 /* add */, X86::ADD8rm, Convert__Reg1_0__Tie0_1_1__Mem85_1, AMFBS_None, { MCK_GR8, MCK_Mem8 }, },
{ 91 /* add */, X86::ADD16mr, Convert__Mem165_0__Reg1_1, AMFBS_None, { MCK_Mem16, MCK_GR16 }, },
{ 91 /* add */, X86::ADD16mi8, Convert__Mem165_0__ImmSExti16i81_1, AMFBS_None, { MCK_Mem16, MCK_ImmSExti16i8 }, },
{ 91 /* add */, X86::ADD16mi, Convert__Mem165_0__Imm1_1, AMFBS_None, { MCK_Mem16, MCK_Imm }, },
{ 91 /* add */, X86::ADD32mr, Convert__Mem325_0__Reg1_1, AMFBS_None, { MCK_Mem32, MCK_GR32 }, },
{ 91 /* add */, X86::ADD32mi8, Convert__Mem325_0__ImmSExti32i81_1, AMFBS_None, { MCK_Mem32, MCK_ImmSExti32i8 }, },
{ 91 /* add */, X86::ADD32mi, Convert__Mem325_0__Imm1_1, AMFBS_None, { MCK_Mem32, MCK_Imm }, },
{ 91 /* add */, X86::ADD64mr, Convert__Mem645_0__Reg1_1, AMFBS_None, { MCK_Mem64, MCK_GR64 }, },
{ 91 /* add */, X86::ADD64mi8, Convert__Mem645_0__ImmSExti64i81_1, AMFBS_In64BitMode, { MCK_Mem64, MCK_ImmSExti64i8 }, },
{ 91 /* add */, X86::ADD64mi32, Convert__Mem645_0__ImmSExti64i321_1, AMFBS_In64BitMode, { MCK_Mem64, MCK_ImmSExti64i32 }, },
{ 91 /* add */, X86::ADD8mr, Convert__Mem85_0__Reg1_1, AMFBS_None, { MCK_Mem8, MCK_GR8 }, },
{ 91 /* add */, X86::ADD8mi, Convert__Mem85_0__Imm1_1, AMFBS_None, { MCK_Mem8, MCK_Imm }, },
{ 105 /* addpd */, X86::ADDPDrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 105 /* addpd */, X86::ADDPDrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 111 /* addps */, X86::ADDPSrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 111 /* addps */, X86::ADDPSrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 122 /* addr16 */, X86::ADDR16_PREFIX, Convert_NoOperands, AMFBS_In32BitMode, { }, },
{ 129 /* addr32 */, X86::ADDR32_PREFIX, Convert_NoOperands, AMFBS_In64BitMode, { }, },
{ 136 /* addsd */, X86::ADDSDrr_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 136 /* addsd */, X86::ADDSDrm_Int, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_FR16, MCK_Mem64 }, },
{ 142 /* addss */, X86::ADDSSrr_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 142 /* addss */, X86::ADDSSrm_Int, Convert__Reg1_0__Tie0_1_1__Mem325_1, AMFBS_None, { MCK_FR16, MCK_Mem32 }, },
{ 148 /* addsubpd */, X86::ADDSUBPDrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 148 /* addsubpd */, X86::ADDSUBPDrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 157 /* addsubps */, X86::ADDSUBPSrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 157 /* addsubps */, X86::ADDSUBPSrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 171 /* adox */, X86::ADOX32rr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 171 /* adox */, X86::ADOX32rm, Convert__Reg1_0__Tie0_1_1__Mem325_1, AMFBS_None, { MCK_GR32, MCK_Mem32 }, },
{ 171 /* adox */, X86::ADOX64rr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 171 /* adox */, X86::ADOX64rm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_GR64, MCK_Mem64 }, },
{ 188 /* aesdec */, X86::AESDECrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 188 /* aesdec */, X86::AESDECrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 195 /* aesdec128kl */, X86::AESDEC128KL, Convert__Reg1_0__Tie0_1_1__Mem5_1, AMFBS_None, { MCK_FR16, MCK_Mem }, },
{ 207 /* aesdec256kl */, X86::AESDEC256KL, Convert__Reg1_0__Tie0_1_1__Mem5_1, AMFBS_None, { MCK_FR16, MCK_Mem }, },
{ 219 /* aesdeclast */, X86::AESDECLASTrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 219 /* aesdeclast */, X86::AESDECLASTrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 230 /* aesdecwide128kl */, X86::AESDECWIDE128KL, Convert__Mem5_0, AMFBS_None, { MCK_Mem }, },
{ 246 /* aesdecwide256kl */, X86::AESDECWIDE256KL, Convert__Mem5_0, AMFBS_None, { MCK_Mem }, },
{ 262 /* aesenc */, X86::AESENCrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 262 /* aesenc */, X86::AESENCrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 269 /* aesenc128kl */, X86::AESENC128KL, Convert__Reg1_0__Tie0_1_1__Mem5_1, AMFBS_None, { MCK_FR16, MCK_Mem }, },
{ 281 /* aesenc256kl */, X86::AESENC256KL, Convert__Reg1_0__Tie0_1_1__Mem5_1, AMFBS_None, { MCK_FR16, MCK_Mem }, },
{ 293 /* aesenclast */, X86::AESENCLASTrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 293 /* aesenclast */, X86::AESENCLASTrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 304 /* aesencwide128kl */, X86::AESENCWIDE128KL, Convert__Mem5_0, AMFBS_None, { MCK_Mem }, },
{ 320 /* aesencwide256kl */, X86::AESENCWIDE256KL, Convert__Mem5_0, AMFBS_None, { MCK_Mem }, },
{ 336 /* aesimc */, X86::AESIMCrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 336 /* aesimc */, X86::AESIMCrm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 343 /* aeskeygenassist */, X86::AESKEYGENASSIST128rr, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 343 /* aeskeygenassist */, X86::AESKEYGENASSIST128rm, Convert__Reg1_0__Mem1285_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 359 /* and */, X86::AND8i8, Convert__Imm1_1, AMFBS_None, { MCK_AL, MCK_Imm }, },
{ 359 /* and */, X86::AND16ri8, Convert__regAX__Tie0_1_1__ImmSExti16i81_1, AMFBS_None, { MCK_AX, MCK_ImmSExti16i8 }, },
{ 359 /* and */, X86::AND16i16, Convert__Imm1_1, AMFBS_None, { MCK_AX, MCK_Imm }, },
{ 359 /* and */, X86::AND32ri8, Convert__regEAX__Tie0_1_1__ImmSExti32i81_1, AMFBS_None, { MCK_EAX, MCK_ImmSExti32i8 }, },
{ 359 /* and */, X86::AND32i32, Convert__Imm1_1, AMFBS_None, { MCK_EAX, MCK_Imm }, },
{ 359 /* and */, X86::AND64ri8, Convert__regRAX__Tie0_1_1__ImmSExti64i81_1, AMFBS_None, { MCK_RAX, MCK_ImmSExti64i8 }, },
{ 359 /* and */, X86::AND64i32, Convert__ImmSExti64i321_1, AMFBS_None, { MCK_RAX, MCK_ImmSExti64i32 }, },
{ 359 /* and */, X86::AND16rr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_GR16, MCK_GR16 }, },
{ 359 /* and */, X86::AND16ri8, Convert__Reg1_0__Tie0_1_1__ImmSExti16i81_1, AMFBS_None, { MCK_GR16, MCK_ImmSExti16i8 }, },
{ 359 /* and */, X86::AND16ri, Convert__Reg1_0__Tie0_1_1__Imm1_1, AMFBS_None, { MCK_GR16, MCK_Imm }, },
{ 359 /* and */, X86::AND16rm, Convert__Reg1_0__Tie0_1_1__Mem165_1, AMFBS_None, { MCK_GR16, MCK_Mem16 }, },
{ 359 /* and */, X86::AND32rr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 359 /* and */, X86::AND32ri8, Convert__Reg1_0__Tie0_1_1__ImmSExti32i81_1, AMFBS_None, { MCK_GR32, MCK_ImmSExti32i8 }, },
{ 359 /* and */, X86::AND32ri, Convert__Reg1_0__Tie0_1_1__Imm1_1, AMFBS_None, { MCK_GR32, MCK_Imm }, },
{ 359 /* and */, X86::AND32rm, Convert__Reg1_0__Tie0_1_1__Mem325_1, AMFBS_None, { MCK_GR32, MCK_Mem32 }, },
{ 359 /* and */, X86::AND64rr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 359 /* and */, X86::AND64ri8, Convert__Reg1_0__Tie0_1_1__ImmSExti64i81_1, AMFBS_None, { MCK_GR64, MCK_ImmSExti64i8 }, },
{ 359 /* and */, X86::AND64ri32, Convert__Reg1_0__Tie0_1_1__ImmSExti64i321_1, AMFBS_None, { MCK_GR64, MCK_ImmSExti64i32 }, },
{ 359 /* and */, X86::AND64rm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_GR64, MCK_Mem64 }, },
{ 359 /* and */, X86::AND8rr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_GR8, MCK_GR8 }, },
{ 359 /* and */, X86::AND8ri, Convert__Reg1_0__Tie0_1_1__Imm1_1, AMFBS_None, { MCK_GR8, MCK_Imm }, },
{ 359 /* and */, X86::AND8rm, Convert__Reg1_0__Tie0_1_1__Mem85_1, AMFBS_None, { MCK_GR8, MCK_Mem8 }, },
{ 359 /* and */, X86::AND16mr, Convert__Mem165_0__Reg1_1, AMFBS_None, { MCK_Mem16, MCK_GR16 }, },
{ 359 /* and */, X86::AND16mi8, Convert__Mem165_0__ImmSExti16i81_1, AMFBS_None, { MCK_Mem16, MCK_ImmSExti16i8 }, },
{ 359 /* and */, X86::AND16mi, Convert__Mem165_0__Imm1_1, AMFBS_None, { MCK_Mem16, MCK_Imm }, },
{ 359 /* and */, X86::AND32mr, Convert__Mem325_0__Reg1_1, AMFBS_None, { MCK_Mem32, MCK_GR32 }, },
{ 359 /* and */, X86::AND32mi8, Convert__Mem325_0__ImmSExti32i81_1, AMFBS_None, { MCK_Mem32, MCK_ImmSExti32i8 }, },
{ 359 /* and */, X86::AND32mi, Convert__Mem325_0__Imm1_1, AMFBS_None, { MCK_Mem32, MCK_Imm }, },
{ 359 /* and */, X86::AND64mr, Convert__Mem645_0__Reg1_1, AMFBS_None, { MCK_Mem64, MCK_GR64 }, },
{ 359 /* and */, X86::AND64mi8, Convert__Mem645_0__ImmSExti64i81_1, AMFBS_In64BitMode, { MCK_Mem64, MCK_ImmSExti64i8 }, },
{ 359 /* and */, X86::AND64mi32, Convert__Mem645_0__ImmSExti64i321_1, AMFBS_In64BitMode, { MCK_Mem64, MCK_ImmSExti64i32 }, },
{ 359 /* and */, X86::AND8mr, Convert__Mem85_0__Reg1_1, AMFBS_None, { MCK_Mem8, MCK_GR8 }, },
{ 359 /* and */, X86::AND8mi, Convert__Mem85_0__Imm1_1, AMFBS_None, { MCK_Mem8, MCK_Imm }, },
{ 373 /* andn */, X86::ANDN32rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GR32, MCK_GR32, MCK_GR32 }, },
{ 373 /* andn */, X86::ANDN32rm, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_GR32, MCK_GR32, MCK_Mem32 }, },
{ 373 /* andn */, X86::ANDN64rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GR64, MCK_GR64, MCK_GR64 }, },
{ 373 /* andn */, X86::ANDN64rm, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_GR64, MCK_GR64, MCK_Mem64 }, },
{ 384 /* andnpd */, X86::ANDNPDrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 384 /* andnpd */, X86::ANDNPDrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 391 /* andnps */, X86::ANDNPSrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 391 /* andnps */, X86::ANDNPSrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 404 /* andpd */, X86::ANDPDrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 404 /* andpd */, X86::ANDPDrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 410 /* andps */, X86::ANDPSrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 410 /* andps */, X86::ANDPSrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 426 /* aor */, X86::AOR32mr, Convert__Mem325_0__Reg1_1, AMFBS_None, { MCK_Mem32, MCK_GR32 }, },
{ 426 /* aor */, X86::AOR64mr, Convert__Mem645_0__Reg1_1, AMFBS_In64BitMode, { MCK_Mem64, MCK_GR64 }, },
{ 440 /* arpl */, X86::ARPL16rr, Convert__Reg1_0__Reg1_1, AMFBS_Not64BitMode, { MCK_GR16, MCK_GR16 }, },
{ 440 /* arpl */, X86::ARPL16mr, Convert__Mem165_0__Reg1_1, AMFBS_Not64BitMode, { MCK_Mem16, MCK_GR16 }, },
{ 445 /* axor */, X86::AXOR32mr, Convert__Mem325_0__Reg1_1, AMFBS_None, { MCK_Mem32, MCK_GR32 }, },
{ 445 /* axor */, X86::AXOR64mr, Convert__Mem645_0__Reg1_1, AMFBS_In64BitMode, { MCK_Mem64, MCK_GR64 }, },
{ 462 /* bextr */, X86::BEXTR32rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GR32, MCK_GR32, MCK_GR32 }, },
{ 462 /* bextr */, X86::BEXTRI32ri, Convert__Reg1_0__Reg1_1__Imm1_2, AMFBS_None, { MCK_GR32, MCK_GR32, MCK_Imm }, },
{ 462 /* bextr */, X86::BEXTR32rm, Convert__Reg1_0__Mem325_1__Reg1_2, AMFBS_None, { MCK_GR32, MCK_Mem32, MCK_GR32 }, },
{ 462 /* bextr */, X86::BEXTRI32mi, Convert__Reg1_0__Mem325_1__Imm1_2, AMFBS_None, { MCK_GR32, MCK_Mem32, MCK_Imm }, },
{ 462 /* bextr */, X86::BEXTR64rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GR64, MCK_GR64, MCK_GR64 }, },
{ 462 /* bextr */, X86::BEXTRI64ri, Convert__Reg1_0__Reg1_1__ImmSExti64i321_2, AMFBS_None, { MCK_GR64, MCK_GR64, MCK_ImmSExti64i32 }, },
{ 462 /* bextr */, X86::BEXTR64rm, Convert__Reg1_0__Mem645_1__Reg1_2, AMFBS_None, { MCK_GR64, MCK_Mem64, MCK_GR64 }, },
{ 462 /* bextr */, X86::BEXTRI64mi, Convert__Reg1_0__Mem645_1__ImmSExti64i321_2, AMFBS_None, { MCK_GR64, MCK_Mem64, MCK_ImmSExti64i32 }, },
{ 482 /* blcfill */, X86::BLCFILL32rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 482 /* blcfill */, X86::BLCFILL32rm, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_GR32, MCK_Mem32 }, },
{ 482 /* blcfill */, X86::BLCFILL64rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 482 /* blcfill */, X86::BLCFILL64rm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_GR64, MCK_Mem64 }, },
{ 508 /* blci */, X86::BLCI32rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 508 /* blci */, X86::BLCI32rm, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_GR32, MCK_Mem32 }, },
{ 508 /* blci */, X86::BLCI64rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 508 /* blci */, X86::BLCI64rm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_GR64, MCK_Mem64 }, },
{ 513 /* blcic */, X86::BLCIC32rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 513 /* blcic */, X86::BLCIC32rm, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_GR32, MCK_Mem32 }, },
{ 513 /* blcic */, X86::BLCIC64rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 513 /* blcic */, X86::BLCIC64rm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_GR64, MCK_Mem64 }, },
{ 545 /* blcmsk */, X86::BLCMSK32rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 545 /* blcmsk */, X86::BLCMSK32rm, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_GR32, MCK_Mem32 }, },
{ 545 /* blcmsk */, X86::BLCMSK64rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 545 /* blcmsk */, X86::BLCMSK64rm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_GR64, MCK_Mem64 }, },
{ 568 /* blcs */, X86::BLCS32rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 568 /* blcs */, X86::BLCS32rm, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_GR32, MCK_Mem32 }, },
{ 568 /* blcs */, X86::BLCS64rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 568 /* blcs */, X86::BLCS64rm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_GR64, MCK_Mem64 }, },
{ 585 /* blendpd */, X86::BLENDPDrri, Convert__Reg1_0__Tie0_1_1__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 585 /* blendpd */, X86::BLENDPDrmi, Convert__Reg1_0__Tie0_1_1__Mem1285_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 593 /* blendps */, X86::BLENDPSrri, Convert__Reg1_0__Tie0_1_1__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 593 /* blendps */, X86::BLENDPSrmi, Convert__Reg1_0__Tie0_1_1__Mem1285_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 601 /* blendvpd */, X86::BLENDVPDrr0, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 601 /* blendvpd */, X86::BLENDVPDrm0, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 601 /* blendvpd */, X86::BLENDVPDrr0, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_XMM0 }, },
{ 601 /* blendvpd */, X86::BLENDVPDrm0, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_XMM0 }, },
{ 610 /* blendvps */, X86::BLENDVPSrr0, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 610 /* blendvps */, X86::BLENDVPSrm0, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 610 /* blendvps */, X86::BLENDVPSrr0, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_XMM0 }, },
{ 610 /* blendvps */, X86::BLENDVPSrm0, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_XMM0 }, },
{ 619 /* blsfill */, X86::BLSFILL32rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 619 /* blsfill */, X86::BLSFILL32rm, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_GR32, MCK_Mem32 }, },
{ 619 /* blsfill */, X86::BLSFILL64rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 619 /* blsfill */, X86::BLSFILL64rm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_GR64, MCK_Mem64 }, },
{ 645 /* blsi */, X86::BLSI32rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 645 /* blsi */, X86::BLSI32rm, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_GR32, MCK_Mem32 }, },
{ 645 /* blsi */, X86::BLSI64rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 645 /* blsi */, X86::BLSI64rm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_GR64, MCK_Mem64 }, },
{ 650 /* blsic */, X86::BLSIC32rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 650 /* blsic */, X86::BLSIC32rm, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_GR32, MCK_Mem32 }, },
{ 650 /* blsic */, X86::BLSIC64rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 650 /* blsic */, X86::BLSIC64rm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_GR64, MCK_Mem64 }, },
{ 682 /* blsmsk */, X86::BLSMSK32rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 682 /* blsmsk */, X86::BLSMSK32rm, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_GR32, MCK_Mem32 }, },
{ 682 /* blsmsk */, X86::BLSMSK64rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 682 /* blsmsk */, X86::BLSMSK64rm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_GR64, MCK_Mem64 }, },
{ 705 /* blsr */, X86::BLSR32rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 705 /* blsr */, X86::BLSR32rm, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_GR32, MCK_Mem32 }, },
{ 705 /* blsr */, X86::BLSR64rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 705 /* blsr */, X86::BLSR64rm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_GR64, MCK_Mem64 }, },
{ 722 /* bound */, X86::BOUNDS16rm, Convert__Reg1_0__Mem165_1, AMFBS_Not64BitMode, { MCK_GR16, MCK_Mem16 }, },
{ 722 /* bound */, X86::BOUNDS32rm, Convert__Reg1_0__Mem325_1, AMFBS_Not64BitMode, { MCK_GR32, MCK_Mem32 }, },
{ 728 /* bsf */, X86::BSF16rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR16, MCK_GR16 }, },
{ 728 /* bsf */, X86::BSF16rm, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_GR16, MCK_Mem16 }, },
{ 728 /* bsf */, X86::BSF32rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 728 /* bsf */, X86::BSF32rm, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_GR32, MCK_Mem32 }, },
{ 728 /* bsf */, X86::BSF64rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 728 /* bsf */, X86::BSF64rm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_GR64, MCK_Mem64 }, },
{ 747 /* bsr */, X86::BSR16rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR16, MCK_GR16 }, },
{ 747 /* bsr */, X86::BSR16rm, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_GR16, MCK_Mem16 }, },
{ 747 /* bsr */, X86::BSR32rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 747 /* bsr */, X86::BSR32rm, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_GR32, MCK_Mem32 }, },
{ 747 /* bsr */, X86::BSR64rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 747 /* bsr */, X86::BSR64rm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_GR64, MCK_Mem64 }, },
{ 766 /* bswap */, X86::BSWAP32r, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR32 }, },
{ 766 /* bswap */, X86::BSWAP64r, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR64 }, },
{ 786 /* bt */, X86::BT16rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR16, MCK_GR16 }, },
{ 786 /* bt */, X86::BT16ri8, Convert__Reg1_0__ImmUnsignedi81_1, AMFBS_None, { MCK_GR16, MCK_ImmUnsignedi8 }, },
{ 786 /* bt */, X86::BT32rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 786 /* bt */, X86::BT32ri8, Convert__Reg1_0__ImmUnsignedi81_1, AMFBS_None, { MCK_GR32, MCK_ImmUnsignedi8 }, },
{ 786 /* bt */, X86::BT64rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 786 /* bt */, X86::BT64ri8, Convert__Reg1_0__ImmUnsignedi81_1, AMFBS_None, { MCK_GR64, MCK_ImmUnsignedi8 }, },
{ 786 /* bt */, X86::BT16mr, Convert__Mem165_0__Reg1_1, AMFBS_None, { MCK_Mem16, MCK_GR16 }, },
{ 786 /* bt */, X86::BT16mi8, Convert__Mem165_0__ImmUnsignedi81_1, AMFBS_None, { MCK_Mem16, MCK_ImmUnsignedi8 }, },
{ 786 /* bt */, X86::BT32mr, Convert__Mem325_0__Reg1_1, AMFBS_None, { MCK_Mem32, MCK_GR32 }, },
{ 786 /* bt */, X86::BT32mi8, Convert__Mem325_0__ImmUnsignedi81_1, AMFBS_None, { MCK_Mem32, MCK_ImmUnsignedi8 }, },
{ 786 /* bt */, X86::BT64mr, Convert__Mem645_0__Reg1_1, AMFBS_None, { MCK_Mem64, MCK_GR64 }, },
{ 786 /* bt */, X86::BT64mi8, Convert__Mem645_0__ImmUnsignedi81_1, AMFBS_In64BitMode, { MCK_Mem64, MCK_ImmUnsignedi8 }, },
{ 789 /* btc */, X86::BTC16rr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_GR16, MCK_GR16 }, },
{ 789 /* btc */, X86::BTC16ri8, Convert__Reg1_0__Tie0_1_1__ImmUnsignedi81_1, AMFBS_None, { MCK_GR16, MCK_ImmUnsignedi8 }, },
{ 789 /* btc */, X86::BTC32rr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 789 /* btc */, X86::BTC32ri8, Convert__Reg1_0__Tie0_1_1__ImmUnsignedi81_1, AMFBS_None, { MCK_GR32, MCK_ImmUnsignedi8 }, },
{ 789 /* btc */, X86::BTC64rr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 789 /* btc */, X86::BTC64ri8, Convert__Reg1_0__Tie0_1_1__ImmUnsignedi81_1, AMFBS_None, { MCK_GR64, MCK_ImmUnsignedi8 }, },
{ 789 /* btc */, X86::BTC16mr, Convert__Mem165_0__Reg1_1, AMFBS_None, { MCK_Mem16, MCK_GR16 }, },
{ 789 /* btc */, X86::BTC16mi8, Convert__Mem165_0__ImmUnsignedi81_1, AMFBS_None, { MCK_Mem16, MCK_ImmUnsignedi8 }, },
{ 789 /* btc */, X86::BTC32mr, Convert__Mem325_0__Reg1_1, AMFBS_None, { MCK_Mem32, MCK_GR32 }, },
{ 789 /* btc */, X86::BTC32mi8, Convert__Mem325_0__ImmUnsignedi81_1, AMFBS_None, { MCK_Mem32, MCK_ImmUnsignedi8 }, },
{ 789 /* btc */, X86::BTC64mr, Convert__Mem645_0__Reg1_1, AMFBS_None, { MCK_Mem64, MCK_GR64 }, },
{ 789 /* btc */, X86::BTC64mi8, Convert__Mem645_0__ImmUnsignedi81_1, AMFBS_In64BitMode, { MCK_Mem64, MCK_ImmUnsignedi8 }, },
{ 816 /* btr */, X86::BTR16rr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_GR16, MCK_GR16 }, },
{ 816 /* btr */, X86::BTR16ri8, Convert__Reg1_0__Tie0_1_1__ImmUnsignedi81_1, AMFBS_None, { MCK_GR16, MCK_ImmUnsignedi8 }, },
{ 816 /* btr */, X86::BTR32rr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 816 /* btr */, X86::BTR32ri8, Convert__Reg1_0__Tie0_1_1__ImmUnsignedi81_1, AMFBS_None, { MCK_GR32, MCK_ImmUnsignedi8 }, },
{ 816 /* btr */, X86::BTR64rr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 816 /* btr */, X86::BTR64ri8, Convert__Reg1_0__Tie0_1_1__ImmUnsignedi81_1, AMFBS_None, { MCK_GR64, MCK_ImmUnsignedi8 }, },
{ 816 /* btr */, X86::BTR16mr, Convert__Mem165_0__Reg1_1, AMFBS_None, { MCK_Mem16, MCK_GR16 }, },
{ 816 /* btr */, X86::BTR16mi8, Convert__Mem165_0__ImmUnsignedi81_1, AMFBS_None, { MCK_Mem16, MCK_ImmUnsignedi8 }, },
{ 816 /* btr */, X86::BTR32mr, Convert__Mem325_0__Reg1_1, AMFBS_None, { MCK_Mem32, MCK_GR32 }, },
{ 816 /* btr */, X86::BTR32mi8, Convert__Mem325_0__ImmUnsignedi81_1, AMFBS_None, { MCK_Mem32, MCK_ImmUnsignedi8 }, },
{ 816 /* btr */, X86::BTR64mr, Convert__Mem645_0__Reg1_1, AMFBS_None, { MCK_Mem64, MCK_GR64 }, },
{ 816 /* btr */, X86::BTR64mi8, Convert__Mem645_0__ImmUnsignedi81_1, AMFBS_In64BitMode, { MCK_Mem64, MCK_ImmUnsignedi8 }, },
{ 835 /* bts */, X86::BTS16rr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_GR16, MCK_GR16 }, },
{ 835 /* bts */, X86::BTS16ri8, Convert__Reg1_0__Tie0_1_1__ImmUnsignedi81_1, AMFBS_None, { MCK_GR16, MCK_ImmUnsignedi8 }, },
{ 835 /* bts */, X86::BTS32rr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 835 /* bts */, X86::BTS32ri8, Convert__Reg1_0__Tie0_1_1__ImmUnsignedi81_1, AMFBS_None, { MCK_GR32, MCK_ImmUnsignedi8 }, },
{ 835 /* bts */, X86::BTS64rr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 835 /* bts */, X86::BTS64ri8, Convert__Reg1_0__Tie0_1_1__ImmUnsignedi81_1, AMFBS_None, { MCK_GR64, MCK_ImmUnsignedi8 }, },
{ 835 /* bts */, X86::BTS16mr, Convert__Mem165_0__Reg1_1, AMFBS_None, { MCK_Mem16, MCK_GR16 }, },
{ 835 /* bts */, X86::BTS16mi8, Convert__Mem165_0__ImmUnsignedi81_1, AMFBS_None, { MCK_Mem16, MCK_ImmUnsignedi8 }, },
{ 835 /* bts */, X86::BTS32mr, Convert__Mem325_0__Reg1_1, AMFBS_None, { MCK_Mem32, MCK_GR32 }, },
{ 835 /* bts */, X86::BTS32mi8, Convert__Mem325_0__ImmUnsignedi81_1, AMFBS_None, { MCK_Mem32, MCK_ImmUnsignedi8 }, },
{ 835 /* bts */, X86::BTS64mr, Convert__Mem645_0__Reg1_1, AMFBS_None, { MCK_Mem64, MCK_GR64 }, },
{ 835 /* bts */, X86::BTS64mi8, Convert__Mem645_0__ImmUnsignedi81_1, AMFBS_In64BitMode, { MCK_Mem64, MCK_ImmUnsignedi8 }, },
{ 858 /* bzhi */, X86::BZHI32rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GR32, MCK_GR32, MCK_GR32 }, },
{ 858 /* bzhi */, X86::BZHI32rm, Convert__Reg1_0__Mem325_1__Reg1_2, AMFBS_None, { MCK_GR32, MCK_Mem32, MCK_GR32 }, },
{ 858 /* bzhi */, X86::BZHI64rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GR64, MCK_GR64, MCK_GR64 }, },
{ 858 /* bzhi */, X86::BZHI64rm, Convert__Reg1_0__Mem645_1__Reg1_2, AMFBS_None, { MCK_GR64, MCK_Mem64, MCK_GR64 }, },
{ 875 /* call */, X86::CALL16r, Convert__Reg1_0, AMFBS_Not64BitMode, { MCK_GR16 }, },
{ 875 /* call */, X86::CALL32r, Convert__Reg1_0, AMFBS_Not64BitMode, { MCK_GR32 }, },
{ 875 /* call */, X86::CALL64r, Convert__Reg1_0, AMFBS_In64BitMode, { MCK_GR64 }, },
{ 875 /* call */, X86::CALLpcrel32, Convert__AbsMem1_0, AMFBS_Not64BitMode, { MCK_AbsMem }, },
{ 875 /* call */, X86::CALL64pcrel32, Convert__AbsMem1_0, AMFBS_In64BitMode, { MCK_AbsMem }, },
{ 875 /* call */, X86::CALLpcrel16, Convert__AbsMem1_0, AMFBS_None, { MCK_AbsMem }, },
{ 875 /* call */, X86::CALL16m, Convert__Mem165_0, AMFBS_Not64BitMode, { MCK_Mem16 }, },
{ 875 /* call */, X86::CALL32m, Convert__Mem325_0, AMFBS_Not64BitMode, { MCK_Mem32 }, },
{ 875 /* call */, X86::CALL64m, Convert__Mem645_0, AMFBS_In64BitMode, { MCK_Mem64 }, },
{ 875 /* call */, X86::FARCALL32m, Convert__Mem5_0, AMFBS_None, { MCK_Mem }, },
{ 875 /* call */, X86::FARCALL16i, Convert__Imm1_1__Imm1_0, AMFBS_In16BitMode, { MCK_Imm, MCK_Imm }, },
{ 875 /* call */, X86::FARCALL32i, Convert__Imm1_1__Imm1_0, AMFBS_In32BitMode, { MCK_Imm, MCK_Imm }, },
{ 880 /* calll */, X86::FARCALL32i, Convert__Imm1_1__Imm1_0, AMFBS_Not64BitMode, { MCK_Imm, MCK_Imm }, },
{ 892 /* callw */, X86::FARCALL16i, Convert__Imm1_1__Imm1_0, AMFBS_Not64BitMode, { MCK_Imm, MCK_Imm }, },
{ 903 /* cbw */, X86::CBW, Convert_NoOperands, AMFBS_None, { }, },
{ 907 /* cdq */, X86::CDQ, Convert_NoOperands, AMFBS_None, { }, },
{ 911 /* cdqe */, X86::CDQE, Convert_NoOperands, AMFBS_In64BitMode, { }, },
{ 916 /* clac */, X86::CLAC, Convert_NoOperands, AMFBS_None, { }, },
{ 921 /* clc */, X86::CLC, Convert_NoOperands, AMFBS_None, { }, },
{ 925 /* cld */, X86::CLD, Convert_NoOperands, AMFBS_None, { }, },
{ 929 /* cldemote */, X86::CLDEMOTE, Convert__Mem85_0, AMFBS_None, { MCK_Mem8 }, },
{ 938 /* clflush */, X86::CLFLUSH, Convert__Mem85_0, AMFBS_None, { MCK_Mem8 }, },
{ 946 /* clflushopt */, X86::CLFLUSHOPT, Convert__Mem85_0, AMFBS_None, { MCK_Mem8 }, },
{ 957 /* clgi */, X86::CLGI, Convert_NoOperands, AMFBS_None, { }, },
{ 962 /* cli */, X86::CLI, Convert_NoOperands, AMFBS_None, { }, },
{ 966 /* clr */, X86::XOR16rr, Convert__Reg1_0__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_GR16 }, },
{ 966 /* clr */, X86::XOR32rr, Convert__Reg1_0__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_GR32 }, },
{ 966 /* clr */, X86::XOR64rr, Convert__Reg1_0__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_GR64 }, },
{ 966 /* clr */, X86::XOR8rr, Convert__Reg1_0__Tie0_1_1__Reg1_0, AMFBS_None, { MCK_GR8 }, },
{ 985 /* clrssbsy */, X86::CLRSSBSY, Convert__Mem325_0, AMFBS_None, { MCK_Mem32 }, },
{ 1009 /* clts */, X86::CLTS, Convert_NoOperands, AMFBS_None, { }, },
{ 1014 /* clui */, X86::CLUI, Convert_NoOperands, AMFBS_In64BitMode, { }, },
{ 1019 /* clwb */, X86::CLWB, Convert__Mem85_0, AMFBS_None, { MCK_Mem8 }, },
{ 1024 /* clzero */, X86::CLZERO32r, Convert_NoOperands, AMFBS_Not64BitMode, { }, },
{ 1024 /* clzero */, X86::CLZERO64r, Convert_NoOperands, AMFBS_In64BitMode, { }, },
{ 1024 /* clzero */, X86::CLZERO32r, Convert_NoOperands, AMFBS_Not64BitMode, { MCK_EAX }, },
{ 1024 /* clzero */, X86::CLZERO64r, Convert_NoOperands, AMFBS_In64BitMode, { MCK_RAX }, },
{ 1031 /* cmc */, X86::CMC, Convert_NoOperands, AMFBS_None, { }, },
{ 1035 /* cmova */, X86::CMOV16rr, Convert__Reg1_0__Tie0_1_1__Reg1_1__imm_95_7, AMFBS_None, { MCK_GR16, MCK_GR16 }, },
{ 1035 /* cmova */, X86::CMOV16rm, Convert__Reg1_0__Tie0_1_1__Mem165_1__imm_95_7, AMFBS_None, { MCK_GR16, MCK_Mem16 }, },
{ 1035 /* cmova */, X86::CMOV32rr, Convert__Reg1_0__Tie0_1_1__Reg1_1__imm_95_7, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 1035 /* cmova */, X86::CMOV32rm, Convert__Reg1_0__Tie0_1_1__Mem325_1__imm_95_7, AMFBS_None, { MCK_GR32, MCK_Mem32 }, },
{ 1035 /* cmova */, X86::CMOV64rr, Convert__Reg1_0__Tie0_1_1__Reg1_1__imm_95_7, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 1035 /* cmova */, X86::CMOV64rm, Convert__Reg1_0__Tie0_1_1__Mem645_1__imm_95_7, AMFBS_None, { MCK_GR64, MCK_Mem64 }, },
{ 1041 /* cmovae */, X86::CMOV16rr, Convert__Reg1_0__Tie0_1_1__Reg1_1__imm_95_3, AMFBS_None, { MCK_GR16, MCK_GR16 }, },
{ 1041 /* cmovae */, X86::CMOV16rm, Convert__Reg1_0__Tie0_1_1__Mem165_1__imm_95_3, AMFBS_None, { MCK_GR16, MCK_Mem16 }, },
{ 1041 /* cmovae */, X86::CMOV32rr, Convert__Reg1_0__Tie0_1_1__Reg1_1__imm_95_3, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 1041 /* cmovae */, X86::CMOV32rm, Convert__Reg1_0__Tie0_1_1__Mem325_1__imm_95_3, AMFBS_None, { MCK_GR32, MCK_Mem32 }, },
{ 1041 /* cmovae */, X86::CMOV64rr, Convert__Reg1_0__Tie0_1_1__Reg1_1__imm_95_3, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 1041 /* cmovae */, X86::CMOV64rm, Convert__Reg1_0__Tie0_1_1__Mem645_1__imm_95_3, AMFBS_None, { MCK_GR64, MCK_Mem64 }, },
{ 1093 /* cmovb */, X86::CMOV16rr, Convert__Reg1_0__Tie0_1_1__Reg1_1__imm_95_2, AMFBS_None, { MCK_GR16, MCK_GR16 }, },
{ 1093 /* cmovb */, X86::CMOV16rm, Convert__Reg1_0__Tie0_1_1__Mem165_1__imm_95_2, AMFBS_None, { MCK_GR16, MCK_Mem16 }, },
{ 1093 /* cmovb */, X86::CMOV32rr, Convert__Reg1_0__Tie0_1_1__Reg1_1__imm_95_2, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 1093 /* cmovb */, X86::CMOV32rm, Convert__Reg1_0__Tie0_1_1__Mem325_1__imm_95_2, AMFBS_None, { MCK_GR32, MCK_Mem32 }, },
{ 1093 /* cmovb */, X86::CMOV64rr, Convert__Reg1_0__Tie0_1_1__Reg1_1__imm_95_2, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 1093 /* cmovb */, X86::CMOV64rm, Convert__Reg1_0__Tie0_1_1__Mem645_1__imm_95_2, AMFBS_None, { MCK_GR64, MCK_Mem64 }, },
{ 1099 /* cmovbe */, X86::CMOV16rr, Convert__Reg1_0__Tie0_1_1__Reg1_1__imm_95_6, AMFBS_None, { MCK_GR16, MCK_GR16 }, },
{ 1099 /* cmovbe */, X86::CMOV16rm, Convert__Reg1_0__Tie0_1_1__Mem165_1__imm_95_6, AMFBS_None, { MCK_GR16, MCK_Mem16 }, },
{ 1099 /* cmovbe */, X86::CMOV32rr, Convert__Reg1_0__Tie0_1_1__Reg1_1__imm_95_6, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 1099 /* cmovbe */, X86::CMOV32rm, Convert__Reg1_0__Tie0_1_1__Mem325_1__imm_95_6, AMFBS_None, { MCK_GR32, MCK_Mem32 }, },
{ 1099 /* cmovbe */, X86::CMOV64rr, Convert__Reg1_0__Tie0_1_1__Reg1_1__imm_95_6, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 1099 /* cmovbe */, X86::CMOV64rm, Convert__Reg1_0__Tie0_1_1__Mem645_1__imm_95_6, AMFBS_None, { MCK_GR64, MCK_Mem64 }, },
{ 1151 /* cmove */, X86::CMOV16rr, Convert__Reg1_0__Tie0_1_1__Reg1_1__imm_95_4, AMFBS_None, { MCK_GR16, MCK_GR16 }, },
{ 1151 /* cmove */, X86::CMOV16rm, Convert__Reg1_0__Tie0_1_1__Mem165_1__imm_95_4, AMFBS_None, { MCK_GR16, MCK_Mem16 }, },
{ 1151 /* cmove */, X86::CMOV32rr, Convert__Reg1_0__Tie0_1_1__Reg1_1__imm_95_4, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 1151 /* cmove */, X86::CMOV32rm, Convert__Reg1_0__Tie0_1_1__Mem325_1__imm_95_4, AMFBS_None, { MCK_GR32, MCK_Mem32 }, },
{ 1151 /* cmove */, X86::CMOV64rr, Convert__Reg1_0__Tie0_1_1__Reg1_1__imm_95_4, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 1151 /* cmove */, X86::CMOV64rm, Convert__Reg1_0__Tie0_1_1__Mem645_1__imm_95_4, AMFBS_None, { MCK_GR64, MCK_Mem64 }, },
{ 1178 /* cmovg */, X86::CMOV16rr, Convert__Reg1_0__Tie0_1_1__Reg1_1__imm_95_15, AMFBS_None, { MCK_GR16, MCK_GR16 }, },
{ 1178 /* cmovg */, X86::CMOV16rm, Convert__Reg1_0__Tie0_1_1__Mem165_1__imm_95_15, AMFBS_None, { MCK_GR16, MCK_Mem16 }, },
{ 1178 /* cmovg */, X86::CMOV32rr, Convert__Reg1_0__Tie0_1_1__Reg1_1__imm_95_15, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 1178 /* cmovg */, X86::CMOV32rm, Convert__Reg1_0__Tie0_1_1__Mem325_1__imm_95_15, AMFBS_None, { MCK_GR32, MCK_Mem32 }, },
{ 1178 /* cmovg */, X86::CMOV64rr, Convert__Reg1_0__Tie0_1_1__Reg1_1__imm_95_15, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 1178 /* cmovg */, X86::CMOV64rm, Convert__Reg1_0__Tie0_1_1__Mem645_1__imm_95_15, AMFBS_None, { MCK_GR64, MCK_Mem64 }, },
{ 1184 /* cmovge */, X86::CMOV16rr, Convert__Reg1_0__Tie0_1_1__Reg1_1__imm_95_13, AMFBS_None, { MCK_GR16, MCK_GR16 }, },
{ 1184 /* cmovge */, X86::CMOV16rm, Convert__Reg1_0__Tie0_1_1__Mem165_1__imm_95_13, AMFBS_None, { MCK_GR16, MCK_Mem16 }, },
{ 1184 /* cmovge */, X86::CMOV32rr, Convert__Reg1_0__Tie0_1_1__Reg1_1__imm_95_13, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 1184 /* cmovge */, X86::CMOV32rm, Convert__Reg1_0__Tie0_1_1__Mem325_1__imm_95_13, AMFBS_None, { MCK_GR32, MCK_Mem32 }, },
{ 1184 /* cmovge */, X86::CMOV64rr, Convert__Reg1_0__Tie0_1_1__Reg1_1__imm_95_13, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 1184 /* cmovge */, X86::CMOV64rm, Convert__Reg1_0__Tie0_1_1__Mem645_1__imm_95_13, AMFBS_None, { MCK_GR64, MCK_Mem64 }, },
{ 1236 /* cmovl */, X86::CMOV16rr, Convert__Reg1_0__Tie0_1_1__Reg1_1__imm_95_12, AMFBS_None, { MCK_GR16, MCK_GR16 }, },
{ 1236 /* cmovl */, X86::CMOV16rm, Convert__Reg1_0__Tie0_1_1__Mem165_1__imm_95_12, AMFBS_None, { MCK_GR16, MCK_Mem16 }, },
{ 1236 /* cmovl */, X86::CMOV32rr, Convert__Reg1_0__Tie0_1_1__Reg1_1__imm_95_12, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 1236 /* cmovl */, X86::CMOV32rm, Convert__Reg1_0__Tie0_1_1__Mem325_1__imm_95_12, AMFBS_None, { MCK_GR32, MCK_Mem32 }, },
{ 1236 /* cmovl */, X86::CMOV64rr, Convert__Reg1_0__Tie0_1_1__Reg1_1__imm_95_12, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 1236 /* cmovl */, X86::CMOV64rm, Convert__Reg1_0__Tie0_1_1__Mem645_1__imm_95_12, AMFBS_None, { MCK_GR64, MCK_Mem64 }, },
{ 1242 /* cmovle */, X86::CMOV16rr, Convert__Reg1_0__Tie0_1_1__Reg1_1__imm_95_14, AMFBS_None, { MCK_GR16, MCK_GR16 }, },
{ 1242 /* cmovle */, X86::CMOV16rm, Convert__Reg1_0__Tie0_1_1__Mem165_1__imm_95_14, AMFBS_None, { MCK_GR16, MCK_Mem16 }, },
{ 1242 /* cmovle */, X86::CMOV32rr, Convert__Reg1_0__Tie0_1_1__Reg1_1__imm_95_14, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 1242 /* cmovle */, X86::CMOV32rm, Convert__Reg1_0__Tie0_1_1__Mem325_1__imm_95_14, AMFBS_None, { MCK_GR32, MCK_Mem32 }, },
{ 1242 /* cmovle */, X86::CMOV64rr, Convert__Reg1_0__Tie0_1_1__Reg1_1__imm_95_14, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 1242 /* cmovle */, X86::CMOV64rm, Convert__Reg1_0__Tie0_1_1__Mem645_1__imm_95_14, AMFBS_None, { MCK_GR64, MCK_Mem64 }, },
{ 1294 /* cmovne */, X86::CMOV16rr, Convert__Reg1_0__Tie0_1_1__Reg1_1__imm_95_5, AMFBS_None, { MCK_GR16, MCK_GR16 }, },
{ 1294 /* cmovne */, X86::CMOV16rm, Convert__Reg1_0__Tie0_1_1__Mem165_1__imm_95_5, AMFBS_None, { MCK_GR16, MCK_Mem16 }, },
{ 1294 /* cmovne */, X86::CMOV32rr, Convert__Reg1_0__Tie0_1_1__Reg1_1__imm_95_5, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 1294 /* cmovne */, X86::CMOV32rm, Convert__Reg1_0__Tie0_1_1__Mem325_1__imm_95_5, AMFBS_None, { MCK_GR32, MCK_Mem32 }, },
{ 1294 /* cmovne */, X86::CMOV64rr, Convert__Reg1_0__Tie0_1_1__Reg1_1__imm_95_5, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 1294 /* cmovne */, X86::CMOV64rm, Convert__Reg1_0__Tie0_1_1__Mem645_1__imm_95_5, AMFBS_None, { MCK_GR64, MCK_Mem64 }, },
{ 1325 /* cmovno */, X86::CMOV16rr, Convert__Reg1_0__Tie0_1_1__Reg1_1__imm_95_1, AMFBS_None, { MCK_GR16, MCK_GR16 }, },
{ 1325 /* cmovno */, X86::CMOV16rm, Convert__Reg1_0__Tie0_1_1__Mem165_1__imm_95_1, AMFBS_None, { MCK_GR16, MCK_Mem16 }, },
{ 1325 /* cmovno */, X86::CMOV32rr, Convert__Reg1_0__Tie0_1_1__Reg1_1__imm_95_1, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 1325 /* cmovno */, X86::CMOV32rm, Convert__Reg1_0__Tie0_1_1__Mem325_1__imm_95_1, AMFBS_None, { MCK_GR32, MCK_Mem32 }, },
{ 1325 /* cmovno */, X86::CMOV64rr, Convert__Reg1_0__Tie0_1_1__Reg1_1__imm_95_1, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 1325 /* cmovno */, X86::CMOV64rm, Convert__Reg1_0__Tie0_1_1__Mem645_1__imm_95_1, AMFBS_None, { MCK_GR64, MCK_Mem64 }, },
{ 1356 /* cmovnp */, X86::CMOV16rr, Convert__Reg1_0__Tie0_1_1__Reg1_1__imm_95_11, AMFBS_None, { MCK_GR16, MCK_GR16 }, },
{ 1356 /* cmovnp */, X86::CMOV16rm, Convert__Reg1_0__Tie0_1_1__Mem165_1__imm_95_11, AMFBS_None, { MCK_GR16, MCK_Mem16 }, },
{ 1356 /* cmovnp */, X86::CMOV32rr, Convert__Reg1_0__Tie0_1_1__Reg1_1__imm_95_11, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 1356 /* cmovnp */, X86::CMOV32rm, Convert__Reg1_0__Tie0_1_1__Mem325_1__imm_95_11, AMFBS_None, { MCK_GR32, MCK_Mem32 }, },
{ 1356 /* cmovnp */, X86::CMOV64rr, Convert__Reg1_0__Tie0_1_1__Reg1_1__imm_95_11, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 1356 /* cmovnp */, X86::CMOV64rm, Convert__Reg1_0__Tie0_1_1__Mem645_1__imm_95_11, AMFBS_None, { MCK_GR64, MCK_Mem64 }, },
{ 1387 /* cmovns */, X86::CMOV16rr, Convert__Reg1_0__Tie0_1_1__Reg1_1__imm_95_9, AMFBS_None, { MCK_GR16, MCK_GR16 }, },
{ 1387 /* cmovns */, X86::CMOV16rm, Convert__Reg1_0__Tie0_1_1__Mem165_1__imm_95_9, AMFBS_None, { MCK_GR16, MCK_Mem16 }, },
{ 1387 /* cmovns */, X86::CMOV32rr, Convert__Reg1_0__Tie0_1_1__Reg1_1__imm_95_9, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 1387 /* cmovns */, X86::CMOV32rm, Convert__Reg1_0__Tie0_1_1__Mem325_1__imm_95_9, AMFBS_None, { MCK_GR32, MCK_Mem32 }, },
{ 1387 /* cmovns */, X86::CMOV64rr, Convert__Reg1_0__Tie0_1_1__Reg1_1__imm_95_9, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 1387 /* cmovns */, X86::CMOV64rm, Convert__Reg1_0__Tie0_1_1__Mem645_1__imm_95_9, AMFBS_None, { MCK_GR64, MCK_Mem64 }, },
{ 1418 /* cmovo */, X86::CMOV16rr, Convert__Reg1_0__Tie0_1_1__Reg1_1__imm_95_0, AMFBS_None, { MCK_GR16, MCK_GR16 }, },
{ 1418 /* cmovo */, X86::CMOV16rm, Convert__Reg1_0__Tie0_1_1__Mem165_1__imm_95_0, AMFBS_None, { MCK_GR16, MCK_Mem16 }, },
{ 1418 /* cmovo */, X86::CMOV32rr, Convert__Reg1_0__Tie0_1_1__Reg1_1__imm_95_0, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 1418 /* cmovo */, X86::CMOV32rm, Convert__Reg1_0__Tie0_1_1__Mem325_1__imm_95_0, AMFBS_None, { MCK_GR32, MCK_Mem32 }, },
{ 1418 /* cmovo */, X86::CMOV64rr, Convert__Reg1_0__Tie0_1_1__Reg1_1__imm_95_0, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 1418 /* cmovo */, X86::CMOV64rm, Convert__Reg1_0__Tie0_1_1__Mem645_1__imm_95_0, AMFBS_None, { MCK_GR64, MCK_Mem64 }, },
{ 1445 /* cmovp */, X86::CMOV16rr, Convert__Reg1_0__Tie0_1_1__Reg1_1__imm_95_10, AMFBS_None, { MCK_GR16, MCK_GR16 }, },
{ 1445 /* cmovp */, X86::CMOV16rm, Convert__Reg1_0__Tie0_1_1__Mem165_1__imm_95_10, AMFBS_None, { MCK_GR16, MCK_Mem16 }, },
{ 1445 /* cmovp */, X86::CMOV32rr, Convert__Reg1_0__Tie0_1_1__Reg1_1__imm_95_10, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 1445 /* cmovp */, X86::CMOV32rm, Convert__Reg1_0__Tie0_1_1__Mem325_1__imm_95_10, AMFBS_None, { MCK_GR32, MCK_Mem32 }, },
{ 1445 /* cmovp */, X86::CMOV64rr, Convert__Reg1_0__Tie0_1_1__Reg1_1__imm_95_10, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 1445 /* cmovp */, X86::CMOV64rm, Convert__Reg1_0__Tie0_1_1__Mem645_1__imm_95_10, AMFBS_None, { MCK_GR64, MCK_Mem64 }, },
{ 1472 /* cmovs */, X86::CMOV16rr, Convert__Reg1_0__Tie0_1_1__Reg1_1__imm_95_8, AMFBS_None, { MCK_GR16, MCK_GR16 }, },
{ 1472 /* cmovs */, X86::CMOV16rm, Convert__Reg1_0__Tie0_1_1__Mem165_1__imm_95_8, AMFBS_None, { MCK_GR16, MCK_Mem16 }, },
{ 1472 /* cmovs */, X86::CMOV32rr, Convert__Reg1_0__Tie0_1_1__Reg1_1__imm_95_8, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 1472 /* cmovs */, X86::CMOV32rm, Convert__Reg1_0__Tie0_1_1__Mem325_1__imm_95_8, AMFBS_None, { MCK_GR32, MCK_Mem32 }, },
{ 1472 /* cmovs */, X86::CMOV64rr, Convert__Reg1_0__Tie0_1_1__Reg1_1__imm_95_8, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 1472 /* cmovs */, X86::CMOV64rm, Convert__Reg1_0__Tie0_1_1__Mem645_1__imm_95_8, AMFBS_None, { MCK_GR64, MCK_Mem64 }, },
{ 1499 /* cmp */, X86::CMP8i8, Convert__Imm1_1, AMFBS_None, { MCK_AL, MCK_Imm }, },
{ 1499 /* cmp */, X86::CMP16ri8, Convert__regAX__ImmSExti16i81_1, AMFBS_None, { MCK_AX, MCK_ImmSExti16i8 }, },
{ 1499 /* cmp */, X86::CMP16i16, Convert__Imm1_1, AMFBS_None, { MCK_AX, MCK_Imm }, },
{ 1499 /* cmp */, X86::CMP32ri8, Convert__regEAX__ImmSExti32i81_1, AMFBS_None, { MCK_EAX, MCK_ImmSExti32i8 }, },
{ 1499 /* cmp */, X86::CMP32i32, Convert__Imm1_1, AMFBS_None, { MCK_EAX, MCK_Imm }, },
{ 1499 /* cmp */, X86::CMP64ri8, Convert__regRAX__ImmSExti64i81_1, AMFBS_None, { MCK_RAX, MCK_ImmSExti64i8 }, },
{ 1499 /* cmp */, X86::CMP64i32, Convert__ImmSExti64i321_1, AMFBS_None, { MCK_RAX, MCK_ImmSExti64i32 }, },
{ 1499 /* cmp */, X86::CMP16rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR16, MCK_GR16 }, },
{ 1499 /* cmp */, X86::CMP16ri8, Convert__Reg1_0__ImmSExti16i81_1, AMFBS_None, { MCK_GR16, MCK_ImmSExti16i8 }, },
{ 1499 /* cmp */, X86::CMP16ri, Convert__Reg1_0__Imm1_1, AMFBS_None, { MCK_GR16, MCK_Imm }, },
{ 1499 /* cmp */, X86::CMP16rm, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_GR16, MCK_Mem16 }, },
{ 1499 /* cmp */, X86::CMP32rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 1499 /* cmp */, X86::CMP32ri8, Convert__Reg1_0__ImmSExti32i81_1, AMFBS_None, { MCK_GR32, MCK_ImmSExti32i8 }, },
{ 1499 /* cmp */, X86::CMP32ri, Convert__Reg1_0__Imm1_1, AMFBS_None, { MCK_GR32, MCK_Imm }, },
{ 1499 /* cmp */, X86::CMP32rm, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_GR32, MCK_Mem32 }, },
{ 1499 /* cmp */, X86::CMP64rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 1499 /* cmp */, X86::CMP64ri8, Convert__Reg1_0__ImmSExti64i81_1, AMFBS_None, { MCK_GR64, MCK_ImmSExti64i8 }, },
{ 1499 /* cmp */, X86::CMP64ri32, Convert__Reg1_0__ImmSExti64i321_1, AMFBS_None, { MCK_GR64, MCK_ImmSExti64i32 }, },
{ 1499 /* cmp */, X86::CMP64rm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_GR64, MCK_Mem64 }, },
{ 1499 /* cmp */, X86::CMP8rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR8, MCK_GR8 }, },
{ 1499 /* cmp */, X86::CMP8ri, Convert__Reg1_0__Imm1_1, AMFBS_None, { MCK_GR8, MCK_Imm }, },
{ 1499 /* cmp */, X86::CMP8rm, Convert__Reg1_0__Mem85_1, AMFBS_None, { MCK_GR8, MCK_Mem8 }, },
{ 1499 /* cmp */, X86::CMP16mr, Convert__Mem165_0__Reg1_1, AMFBS_None, { MCK_Mem16, MCK_GR16 }, },
{ 1499 /* cmp */, X86::CMP16mi8, Convert__Mem165_0__ImmSExti16i81_1, AMFBS_None, { MCK_Mem16, MCK_ImmSExti16i8 }, },
{ 1499 /* cmp */, X86::CMP16mi, Convert__Mem165_0__Imm1_1, AMFBS_None, { MCK_Mem16, MCK_Imm }, },
{ 1499 /* cmp */, X86::CMP32mr, Convert__Mem325_0__Reg1_1, AMFBS_None, { MCK_Mem32, MCK_GR32 }, },
{ 1499 /* cmp */, X86::CMP32mi8, Convert__Mem325_0__ImmSExti32i81_1, AMFBS_None, { MCK_Mem32, MCK_ImmSExti32i8 }, },
{ 1499 /* cmp */, X86::CMP32mi, Convert__Mem325_0__Imm1_1, AMFBS_None, { MCK_Mem32, MCK_Imm }, },
{ 1499 /* cmp */, X86::CMP64mr, Convert__Mem645_0__Reg1_1, AMFBS_None, { MCK_Mem64, MCK_GR64 }, },
{ 1499 /* cmp */, X86::CMP64mi8, Convert__Mem645_0__ImmSExti64i81_1, AMFBS_In64BitMode, { MCK_Mem64, MCK_ImmSExti64i8 }, },
{ 1499 /* cmp */, X86::CMP64mi32, Convert__Mem645_0__ImmSExti64i321_1, AMFBS_In64BitMode, { MCK_Mem64, MCK_ImmSExti64i32 }, },
{ 1499 /* cmp */, X86::CMP8mr, Convert__Mem85_0__Reg1_1, AMFBS_None, { MCK_Mem8, MCK_GR8 }, },
{ 1499 /* cmp */, X86::CMP8mi, Convert__Mem85_0__Imm1_1, AMFBS_None, { MCK_Mem8, MCK_Imm }, },
{ 1503 /* cmpaexadd */, X86::CMPCCXADDmr32, Convert__Reg1_1__Tie0_2_2__Mem325_0__Reg1_2__imm_95_3, AMFBS_None, { MCK_Mem32, MCK_GR32, MCK_GR32 }, },
{ 1503 /* cmpaexadd */, X86::CMPCCXADDmr64, Convert__Reg1_1__Tie0_2_2__Mem645_0__Reg1_2__imm_95_3, AMFBS_None, { MCK_Mem64, MCK_GR64, MCK_GR64 }, },
{ 1513 /* cmpaxadd */, X86::CMPCCXADDmr32, Convert__Reg1_1__Tie0_2_2__Mem325_0__Reg1_2__imm_95_7, AMFBS_None, { MCK_Mem32, MCK_GR32, MCK_GR32 }, },
{ 1513 /* cmpaxadd */, X86::CMPCCXADDmr64, Convert__Reg1_1__Tie0_2_2__Mem645_0__Reg1_2__imm_95_7, AMFBS_None, { MCK_Mem64, MCK_GR64, MCK_GR64 }, },
{ 1527 /* cmpbexadd */, X86::CMPCCXADDmr32, Convert__Reg1_1__Tie0_2_2__Mem325_0__Reg1_2__imm_95_6, AMFBS_None, { MCK_Mem32, MCK_GR32, MCK_GR32 }, },
{ 1527 /* cmpbexadd */, X86::CMPCCXADDmr64, Convert__Reg1_1__Tie0_2_2__Mem645_0__Reg1_2__imm_95_6, AMFBS_None, { MCK_Mem64, MCK_GR64, MCK_GR64 }, },
{ 1537 /* cmpbxadd */, X86::CMPCCXADDmr32, Convert__Reg1_1__Tie0_2_2__Mem325_0__Reg1_2__imm_95_2, AMFBS_None, { MCK_Mem32, MCK_GR32, MCK_GR32 }, },
{ 1537 /* cmpbxadd */, X86::CMPCCXADDmr64, Convert__Reg1_1__Tie0_2_2__Mem645_0__Reg1_2__imm_95_2, AMFBS_None, { MCK_Mem64, MCK_GR64, MCK_GR64 }, },
{ 1546 /* cmpexadd */, X86::CMPCCXADDmr32, Convert__Reg1_1__Tie0_2_2__Mem325_0__Reg1_2__imm_95_4, AMFBS_None, { MCK_Mem32, MCK_GR32, MCK_GR32 }, },
{ 1546 /* cmpexadd */, X86::CMPCCXADDmr64, Convert__Reg1_1__Tie0_2_2__Mem645_0__Reg1_2__imm_95_4, AMFBS_None, { MCK_Mem64, MCK_GR64, MCK_GR64 }, },
{ 1555 /* cmpgexadd */, X86::CMPCCXADDmr32, Convert__Reg1_1__Tie0_2_2__Mem325_0__Reg1_2__imm_95_13, AMFBS_None, { MCK_Mem32, MCK_GR32, MCK_GR32 }, },
{ 1555 /* cmpgexadd */, X86::CMPCCXADDmr64, Convert__Reg1_1__Tie0_2_2__Mem645_0__Reg1_2__imm_95_13, AMFBS_None, { MCK_Mem64, MCK_GR64, MCK_GR64 }, },
{ 1565 /* cmpgxadd */, X86::CMPCCXADDmr32, Convert__Reg1_1__Tie0_2_2__Mem325_0__Reg1_2__imm_95_15, AMFBS_None, { MCK_Mem32, MCK_GR32, MCK_GR32 }, },
{ 1565 /* cmpgxadd */, X86::CMPCCXADDmr64, Convert__Reg1_1__Tie0_2_2__Mem645_0__Reg1_2__imm_95_15, AMFBS_None, { MCK_Mem64, MCK_GR64, MCK_GR64 }, },
{ 1579 /* cmplexadd */, X86::CMPCCXADDmr32, Convert__Reg1_1__Tie0_2_2__Mem325_0__Reg1_2__imm_95_14, AMFBS_None, { MCK_Mem32, MCK_GR32, MCK_GR32 }, },
{ 1579 /* cmplexadd */, X86::CMPCCXADDmr64, Convert__Reg1_1__Tie0_2_2__Mem645_0__Reg1_2__imm_95_14, AMFBS_None, { MCK_Mem64, MCK_GR64, MCK_GR64 }, },
{ 1589 /* cmplxadd */, X86::CMPCCXADDmr32, Convert__Reg1_1__Tie0_2_2__Mem325_0__Reg1_2__imm_95_12, AMFBS_None, { MCK_Mem32, MCK_GR32, MCK_GR32 }, },
{ 1589 /* cmplxadd */, X86::CMPCCXADDmr64, Convert__Reg1_1__Tie0_2_2__Mem645_0__Reg1_2__imm_95_12, AMFBS_None, { MCK_Mem64, MCK_GR64, MCK_GR64 }, },
{ 1598 /* cmpnbexadd */, X86::CMPCCXADDmr32, Convert__Reg1_1__Tie0_2_2__Mem325_0__Reg1_2__imm_95_7, AMFBS_None, { MCK_Mem32, MCK_GR32, MCK_GR32 }, },
{ 1598 /* cmpnbexadd */, X86::CMPCCXADDmr64, Convert__Reg1_1__Tie0_2_2__Mem645_0__Reg1_2__imm_95_7, AMFBS_None, { MCK_Mem64, MCK_GR64, MCK_GR64 }, },
{ 1609 /* cmpnbxadd */, X86::CMPCCXADDmr32, Convert__Reg1_1__Tie0_2_2__Mem325_0__Reg1_2__imm_95_3, AMFBS_None, { MCK_Mem32, MCK_GR32, MCK_GR32 }, },
{ 1609 /* cmpnbxadd */, X86::CMPCCXADDmr64, Convert__Reg1_1__Tie0_2_2__Mem645_0__Reg1_2__imm_95_3, AMFBS_None, { MCK_Mem64, MCK_GR64, MCK_GR64 }, },
{ 1619 /* cmpnexadd */, X86::CMPCCXADDmr32, Convert__Reg1_1__Tie0_2_2__Mem325_0__Reg1_2__imm_95_5, AMFBS_None, { MCK_Mem32, MCK_GR32, MCK_GR32 }, },
{ 1619 /* cmpnexadd */, X86::CMPCCXADDmr64, Convert__Reg1_1__Tie0_2_2__Mem645_0__Reg1_2__imm_95_5, AMFBS_None, { MCK_Mem64, MCK_GR64, MCK_GR64 }, },
{ 1629 /* cmpnlexadd */, X86::CMPCCXADDmr32, Convert__Reg1_1__Tie0_2_2__Mem325_0__Reg1_2__imm_95_15, AMFBS_None, { MCK_Mem32, MCK_GR32, MCK_GR32 }, },
{ 1629 /* cmpnlexadd */, X86::CMPCCXADDmr64, Convert__Reg1_1__Tie0_2_2__Mem645_0__Reg1_2__imm_95_15, AMFBS_None, { MCK_Mem64, MCK_GR64, MCK_GR64 }, },
{ 1640 /* cmpnlxadd */, X86::CMPCCXADDmr32, Convert__Reg1_1__Tie0_2_2__Mem325_0__Reg1_2__imm_95_13, AMFBS_None, { MCK_Mem32, MCK_GR32, MCK_GR32 }, },
{ 1640 /* cmpnlxadd */, X86::CMPCCXADDmr64, Convert__Reg1_1__Tie0_2_2__Mem645_0__Reg1_2__imm_95_13, AMFBS_None, { MCK_Mem64, MCK_GR64, MCK_GR64 }, },
{ 1650 /* cmpnoxadd */, X86::CMPCCXADDmr32, Convert__Reg1_1__Tie0_2_2__Mem325_0__Reg1_2__imm_95_1, AMFBS_None, { MCK_Mem32, MCK_GR32, MCK_GR32 }, },
{ 1650 /* cmpnoxadd */, X86::CMPCCXADDmr64, Convert__Reg1_1__Tie0_2_2__Mem645_0__Reg1_2__imm_95_1, AMFBS_None, { MCK_Mem64, MCK_GR64, MCK_GR64 }, },
{ 1660 /* cmpnpxadd */, X86::CMPCCXADDmr32, Convert__Reg1_1__Tie0_2_2__Mem325_0__Reg1_2__imm_95_11, AMFBS_None, { MCK_Mem32, MCK_GR32, MCK_GR32 }, },
{ 1660 /* cmpnpxadd */, X86::CMPCCXADDmr64, Convert__Reg1_1__Tie0_2_2__Mem645_0__Reg1_2__imm_95_11, AMFBS_None, { MCK_Mem64, MCK_GR64, MCK_GR64 }, },
{ 1670 /* cmpnsxadd */, X86::CMPCCXADDmr32, Convert__Reg1_1__Tie0_2_2__Mem325_0__Reg1_2__imm_95_9, AMFBS_None, { MCK_Mem32, MCK_GR32, MCK_GR32 }, },
{ 1670 /* cmpnsxadd */, X86::CMPCCXADDmr64, Convert__Reg1_1__Tie0_2_2__Mem645_0__Reg1_2__imm_95_9, AMFBS_None, { MCK_Mem64, MCK_GR64, MCK_GR64 }, },
{ 1680 /* cmpnzxadd */, X86::CMPCCXADDmr32, Convert__Reg1_1__Tie0_2_2__Mem325_0__Reg1_2__imm_95_5, AMFBS_None, { MCK_Mem32, MCK_GR32, MCK_GR32 }, },
{ 1680 /* cmpnzxadd */, X86::CMPCCXADDmr64, Convert__Reg1_1__Tie0_2_2__Mem645_0__Reg1_2__imm_95_5, AMFBS_None, { MCK_Mem64, MCK_GR64, MCK_GR64 }, },
{ 1690 /* cmpoxadd */, X86::CMPCCXADDmr32, Convert__Reg1_1__Tie0_2_2__Mem325_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_Mem32, MCK_GR32, MCK_GR32 }, },
{ 1690 /* cmpoxadd */, X86::CMPCCXADDmr64, Convert__Reg1_1__Tie0_2_2__Mem645_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_Mem64, MCK_GR64, MCK_GR64 }, },
{ 1699 /* cmppd */, X86::CMPPDrri, Convert__Reg1_0__Tie0_1_1__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 1699 /* cmppd */, X86::CMPPDrmi, Convert__Reg1_0__Tie0_1_1__Mem1285_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 1705 /* cmpps */, X86::CMPPSrri, Convert__Reg1_0__Tie0_1_1__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 1705 /* cmpps */, X86::CMPPSrmi, Convert__Reg1_0__Tie0_1_1__Mem1285_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 1711 /* cmppxadd */, X86::CMPCCXADDmr32, Convert__Reg1_1__Tie0_2_2__Mem325_0__Reg1_2__imm_95_10, AMFBS_None, { MCK_Mem32, MCK_GR32, MCK_GR32 }, },
{ 1711 /* cmppxadd */, X86::CMPCCXADDmr64, Convert__Reg1_1__Tie0_2_2__Mem645_0__Reg1_2__imm_95_10, AMFBS_None, { MCK_Mem64, MCK_GR64, MCK_GR64 }, },
{ 1725 /* cmps */, X86::CMPSW, Convert__DstIdx161_1__SrcIdx162_0, AMFBS_None, { MCK_SrcIdx16, MCK_DstIdx16 }, },
{ 1725 /* cmps */, X86::CMPSL, Convert__DstIdx321_1__SrcIdx322_0, AMFBS_None, { MCK_SrcIdx32, MCK_DstIdx32 }, },
{ 1725 /* cmps */, X86::CMPSQ, Convert__DstIdx641_1__SrcIdx642_0, AMFBS_In64BitMode, { MCK_SrcIdx64, MCK_DstIdx64 }, },
{ 1725 /* cmps */, X86::CMPSB, Convert__DstIdx81_1__SrcIdx82_0, AMFBS_None, { MCK_SrcIdx8, MCK_DstIdx8 }, },
{ 1730 /* cmpsb */, X86::CMPSB, Convert__DstIdx81_1__SrcIdx82_0, AMFBS_None, { MCK_SrcIdx8, MCK_DstIdx8 }, },
{ 1736 /* cmpsd */, X86::CMPSL, Convert__DstIdx321_1__SrcIdx322_0, AMFBS_None, { MCK_SrcIdx32, MCK_DstIdx32 }, },
{ 1736 /* cmpsd */, X86::CMPSDrr_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 1736 /* cmpsd */, X86::CMPSDrm_Int, Convert__Reg1_0__Tie0_1_1__Mem645_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_Mem64, MCK_ImmUnsignedi8 }, },
{ 1748 /* cmpsq */, X86::CMPSQ, Convert__DstIdx641_1__SrcIdx642_0, AMFBS_In64BitMode, { MCK_SrcIdx64, MCK_DstIdx64 }, },
{ 1754 /* cmpss */, X86::CMPSSrr_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 1754 /* cmpss */, X86::CMPSSrm_Int, Convert__Reg1_0__Tie0_1_1__Mem325_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_Mem32, MCK_ImmUnsignedi8 }, },
{ 1760 /* cmpsw */, X86::CMPSW, Convert__DstIdx161_1__SrcIdx162_0, AMFBS_None, { MCK_SrcIdx16, MCK_DstIdx16 }, },
{ 1766 /* cmpsxadd */, X86::CMPCCXADDmr32, Convert__Reg1_1__Tie0_2_2__Mem325_0__Reg1_2__imm_95_8, AMFBS_None, { MCK_Mem32, MCK_GR32, MCK_GR32 }, },
{ 1766 /* cmpsxadd */, X86::CMPCCXADDmr64, Convert__Reg1_1__Tie0_2_2__Mem645_0__Reg1_2__imm_95_8, AMFBS_None, { MCK_Mem64, MCK_GR64, MCK_GR64 }, },
{ 1780 /* cmpxchg */, X86::CMPXCHG16rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR16, MCK_GR16 }, },
{ 1780 /* cmpxchg */, X86::CMPXCHG32rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 1780 /* cmpxchg */, X86::CMPXCHG64rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 1780 /* cmpxchg */, X86::CMPXCHG8rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR8, MCK_GR8 }, },
{ 1780 /* cmpxchg */, X86::CMPXCHG16rm, Convert__Mem165_0__Reg1_1, AMFBS_None, { MCK_Mem16, MCK_GR16 }, },
{ 1780 /* cmpxchg */, X86::CMPXCHG32rm, Convert__Mem325_0__Reg1_1, AMFBS_None, { MCK_Mem32, MCK_GR32 }, },
{ 1780 /* cmpxchg */, X86::CMPXCHG64rm, Convert__Mem645_0__Reg1_1, AMFBS_None, { MCK_Mem64, MCK_GR64 }, },
{ 1780 /* cmpxchg */, X86::CMPXCHG8rm, Convert__Mem85_0__Reg1_1, AMFBS_None, { MCK_Mem8, MCK_GR8 }, },
{ 1788 /* cmpxchg16b */, X86::CMPXCHG16B, Convert__Mem1285_0, AMFBS_In64BitMode, { MCK_Mem128 }, },
{ 1799 /* cmpxchg8b */, X86::CMPXCHG8B, Convert__Mem645_0, AMFBS_None, { MCK_Mem64 }, },
{ 1845 /* cmpzxadd */, X86::CMPCCXADDmr32, Convert__Reg1_1__Tie0_2_2__Mem325_0__Reg1_2__imm_95_4, AMFBS_None, { MCK_Mem32, MCK_GR32, MCK_GR32 }, },
{ 1845 /* cmpzxadd */, X86::CMPCCXADDmr64, Convert__Reg1_1__Tie0_2_2__Mem645_0__Reg1_2__imm_95_4, AMFBS_None, { MCK_Mem64, MCK_GR64, MCK_GR64 }, },
{ 1854 /* comisd */, X86::COMISDrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 1854 /* comisd */, X86::COMISDrm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_FR16, MCK_Mem64 }, },
{ 1861 /* comiss */, X86::COMISSrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 1861 /* comiss */, X86::COMISSrm, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_FR16, MCK_Mem32 }, },
{ 1868 /* cpuid */, X86::CPUID, Convert_NoOperands, AMFBS_None, { }, },
{ 1874 /* cqo */, X86::CQO, Convert_NoOperands, AMFBS_In64BitMode, { }, },
{ 1883 /* crc32 */, X86::CRC32r32r16, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_GR32, MCK_GR16 }, },
{ 1883 /* crc32 */, X86::CRC32r32r32, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 1883 /* crc32 */, X86::CRC32r32r8, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_GR32, MCK_GR8 }, },
{ 1883 /* crc32 */, X86::CRC32r32m16, Convert__Reg1_0__Tie0_1_1__Mem165_1, AMFBS_None, { MCK_GR32, MCK_Mem16 }, },
{ 1883 /* crc32 */, X86::CRC32r32m32, Convert__Reg1_0__Tie0_1_1__Mem325_1, AMFBS_None, { MCK_GR32, MCK_Mem32 }, },
{ 1883 /* crc32 */, X86::CRC32r32m8, Convert__Reg1_0__Tie0_1_1__Mem85_1, AMFBS_None, { MCK_GR32, MCK_Mem8 }, },
{ 1883 /* crc32 */, X86::CRC32r64r64, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 1883 /* crc32 */, X86::CRC32r64r8, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_GR64, MCK_GR8 }, },
{ 1883 /* crc32 */, X86::CRC32r64m64, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_GR64, MCK_Mem64 }, },
{ 1883 /* crc32 */, X86::CRC32r64m8, Convert__Reg1_0__Tie0_1_1__Mem85_1, AMFBS_None, { MCK_GR64, MCK_Mem8 }, },
{ 1917 /* cs */, X86::CS_PREFIX, Convert_NoOperands, AMFBS_None, { }, },
{ 1920 /* cvtdq2pd */, X86::CVTDQ2PDrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 1920 /* cvtdq2pd */, X86::CVTDQ2PDrm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_FR16, MCK_Mem64 }, },
{ 1929 /* cvtdq2ps */, X86::CVTDQ2PSrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 1929 /* cvtdq2ps */, X86::CVTDQ2PSrm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 1938 /* cvtpd2dq */, X86::CVTPD2DQrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 1938 /* cvtpd2dq */, X86::CVTPD2DQrm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 1947 /* cvtpd2pi */, X86::MMX_CVTPD2PIrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR64, MCK_FR16 }, },
{ 1947 /* cvtpd2pi */, X86::MMX_CVTPD2PIrm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_VR64, MCK_Mem128 }, },
{ 1956 /* cvtpd2ps */, X86::CVTPD2PSrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 1956 /* cvtpd2ps */, X86::CVTPD2PSrm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 1965 /* cvtpi2pd */, X86::MMX_CVTPI2PDrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_VR64 }, },
{ 1965 /* cvtpi2pd */, X86::MMX_CVTPI2PDrm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_FR16, MCK_Mem64 }, },
{ 1974 /* cvtpi2ps */, X86::MMX_CVTPI2PSrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_VR64 }, },
{ 1974 /* cvtpi2ps */, X86::MMX_CVTPI2PSrm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_FR16, MCK_Mem64 }, },
{ 1983 /* cvtps2dq */, X86::CVTPS2DQrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 1983 /* cvtps2dq */, X86::CVTPS2DQrm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 1992 /* cvtps2pd */, X86::CVTPS2PDrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 1992 /* cvtps2pd */, X86::CVTPS2PDrm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_FR16, MCK_Mem64 }, },
{ 2001 /* cvtps2pi */, X86::MMX_CVTPS2PIrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR64, MCK_FR16 }, },
{ 2001 /* cvtps2pi */, X86::MMX_CVTPS2PIrm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_VR64, MCK_Mem64 }, },
{ 2010 /* cvtsd2si */, X86::CVTSD2SIrr_Int, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR32, MCK_FR16 }, },
{ 2010 /* cvtsd2si */, X86::CVTSD2SIrm_Int, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_GR32, MCK_Mem64 }, },
{ 2010 /* cvtsd2si */, X86::CVTSD2SI64rr_Int, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR64, MCK_FR16 }, },
{ 2010 /* cvtsd2si */, X86::CVTSD2SI64rm_Int, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_GR64, MCK_Mem64 }, },
{ 2039 /* cvtsd2ss */, X86::CVTSD2SSrr_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 2039 /* cvtsd2ss */, X86::CVTSD2SSrm_Int, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_FR16, MCK_Mem64 }, },
{ 2048 /* cvtsi2sd */, X86::CVTSI2SDrr_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_GR32 }, },
{ 2048 /* cvtsi2sd */, X86::CVTSI642SDrr_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_GR64 }, },
{ 2048 /* cvtsi2sd */, X86::CVTSI2SDrm_Int, Convert__Reg1_0__Tie0_1_1__Mem325_1, AMFBS_None, { MCK_FR16, MCK_Mem32 }, },
{ 2048 /* cvtsi2sd */, X86::CVTSI642SDrm_Int, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_FR16, MCK_Mem64 }, },
{ 2077 /* cvtsi2ss */, X86::CVTSI2SSrr_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_GR32 }, },
{ 2077 /* cvtsi2ss */, X86::CVTSI642SSrr_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_GR64 }, },
{ 2077 /* cvtsi2ss */, X86::CVTSI2SSrm_Int, Convert__Reg1_0__Tie0_1_1__Mem325_1, AMFBS_None, { MCK_FR16, MCK_Mem32 }, },
{ 2077 /* cvtsi2ss */, X86::CVTSI642SSrm_Int, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_FR16, MCK_Mem64 }, },
{ 2106 /* cvtss2sd */, X86::CVTSS2SDrr_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 2106 /* cvtss2sd */, X86::CVTSS2SDrm_Int, Convert__Reg1_0__Tie0_1_1__Mem325_1, AMFBS_None, { MCK_FR16, MCK_Mem32 }, },
{ 2115 /* cvtss2si */, X86::CVTSS2SIrr_Int, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR32, MCK_FR16 }, },
{ 2115 /* cvtss2si */, X86::CVTSS2SIrm_Int, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_GR32, MCK_Mem32 }, },
{ 2115 /* cvtss2si */, X86::CVTSS2SI64rr_Int, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR64, MCK_FR16 }, },
{ 2115 /* cvtss2si */, X86::CVTSS2SI64rm_Int, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_GR64, MCK_Mem32 }, },
{ 2144 /* cvttpd2dq */, X86::CVTTPD2DQrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 2144 /* cvttpd2dq */, X86::CVTTPD2DQrm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 2154 /* cvttpd2pi */, X86::MMX_CVTTPD2PIrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR64, MCK_FR16 }, },
{ 2154 /* cvttpd2pi */, X86::MMX_CVTTPD2PIrm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_VR64, MCK_Mem128 }, },
{ 2164 /* cvttps2dq */, X86::CVTTPS2DQrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 2164 /* cvttps2dq */, X86::CVTTPS2DQrm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 2174 /* cvttps2pi */, X86::MMX_CVTTPS2PIrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR64, MCK_FR16 }, },
{ 2174 /* cvttps2pi */, X86::MMX_CVTTPS2PIrm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_VR64, MCK_Mem64 }, },
{ 2184 /* cvttsd2si */, X86::CVTTSD2SIrr_Int, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR32, MCK_FR16 }, },
{ 2184 /* cvttsd2si */, X86::CVTTSD2SIrm_Int, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_GR32, MCK_Mem64 }, },
{ 2184 /* cvttsd2si */, X86::CVTTSD2SI64rr_Int, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR64, MCK_FR16 }, },
{ 2184 /* cvttsd2si */, X86::CVTTSD2SI64rm_Int, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_GR64, MCK_Mem64 }, },
{ 2216 /* cvttss2si */, X86::CVTTSS2SIrr_Int, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR32, MCK_FR16 }, },
{ 2216 /* cvttss2si */, X86::CVTTSS2SIrm_Int, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_GR32, MCK_Mem32 }, },
{ 2216 /* cvttss2si */, X86::CVTTSS2SI64rr_Int, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR64, MCK_FR16 }, },
{ 2216 /* cvttss2si */, X86::CVTTSS2SI64rm_Int, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_GR64, MCK_Mem32 }, },
{ 2248 /* cwd */, X86::CWD, Convert_NoOperands, AMFBS_None, { }, },
{ 2252 /* cwde */, X86::CWDE, Convert_NoOperands, AMFBS_None, { }, },
{ 2267 /* daa */, X86::DAA, Convert_NoOperands, AMFBS_Not64BitMode, { }, },
{ 2271 /* das */, X86::DAS, Convert_NoOperands, AMFBS_Not64BitMode, { }, },
{ 2275 /* data16 */, X86::DATA16_PREFIX, Convert_NoOperands, AMFBS_None, { }, },
{ 2282 /* dec */, X86::DEC16r_alt, Convert__Reg1_0__Tie0_1_1, AMFBS_Not64BitMode, { MCK_GR16 }, },
{ 2282 /* dec */, X86::DEC16r, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR16 }, },
{ 2282 /* dec */, X86::DEC32r_alt, Convert__Reg1_0__Tie0_1_1, AMFBS_Not64BitMode, { MCK_GR32 }, },
{ 2282 /* dec */, X86::DEC32r, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR32 }, },
{ 2282 /* dec */, X86::DEC64r, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR64 }, },
{ 2282 /* dec */, X86::DEC8r, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR8 }, },
{ 2282 /* dec */, X86::DEC16m, Convert__Mem165_0, AMFBS_None, { MCK_Mem16 }, },
{ 2282 /* dec */, X86::DEC32m, Convert__Mem325_0, AMFBS_None, { MCK_Mem32 }, },
{ 2282 /* dec */, X86::DEC64m, Convert__Mem645_0, AMFBS_In64BitMode, { MCK_Mem64 }, },
{ 2282 /* dec */, X86::DEC8m, Convert__Mem85_0, AMFBS_None, { MCK_Mem8 }, },
{ 2306 /* div */, X86::DIV16r, Convert__Reg1_0, AMFBS_None, { MCK_GR16 }, },
{ 2306 /* div */, X86::DIV32r, Convert__Reg1_0, AMFBS_None, { MCK_GR32 }, },
{ 2306 /* div */, X86::DIV64r, Convert__Reg1_0, AMFBS_None, { MCK_GR64 }, },
{ 2306 /* div */, X86::DIV8r, Convert__Reg1_0, AMFBS_None, { MCK_GR8 }, },
{ 2306 /* div */, X86::DIV16m, Convert__Mem165_0, AMFBS_None, { MCK_Mem16 }, },
{ 2306 /* div */, X86::DIV32m, Convert__Mem325_0, AMFBS_None, { MCK_Mem32 }, },
{ 2306 /* div */, X86::DIV64m, Convert__Mem645_0, AMFBS_In64BitMode, { MCK_Mem64 }, },
{ 2306 /* div */, X86::DIV8m, Convert__Mem85_0, AMFBS_None, { MCK_Mem8 }, },
{ 2306 /* div */, X86::DIV8r, Convert__Reg1_1, AMFBS_None, { MCK_AL, MCK_GR8 }, },
{ 2306 /* div */, X86::DIV8m, Convert__Mem85_1, AMFBS_None, { MCK_AL, MCK_Mem8 }, },
{ 2306 /* div */, X86::DIV16r, Convert__Reg1_1, AMFBS_None, { MCK_AX, MCK_GR16 }, },
{ 2306 /* div */, X86::DIV16m, Convert__Mem165_1, AMFBS_None, { MCK_AX, MCK_Mem16 }, },
{ 2306 /* div */, X86::DIV32r, Convert__Reg1_1, AMFBS_None, { MCK_EAX, MCK_GR32 }, },
{ 2306 /* div */, X86::DIV32m, Convert__Mem325_1, AMFBS_None, { MCK_EAX, MCK_Mem32 }, },
{ 2306 /* div */, X86::DIV64r, Convert__Reg1_1, AMFBS_None, { MCK_RAX, MCK_GR64 }, },
{ 2306 /* div */, X86::DIV64m, Convert__Mem645_1, AMFBS_None, { MCK_RAX, MCK_Mem64 }, },
{ 2320 /* divpd */, X86::DIVPDrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 2320 /* divpd */, X86::DIVPDrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 2326 /* divps */, X86::DIVPSrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 2326 /* divps */, X86::DIVPSrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 2337 /* divsd */, X86::DIVSDrr_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 2337 /* divsd */, X86::DIVSDrm_Int, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_FR16, MCK_Mem64 }, },
{ 2343 /* divss */, X86::DIVSSrr_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 2343 /* divss */, X86::DIVSSrm_Int, Convert__Reg1_0__Tie0_1_1__Mem325_1, AMFBS_None, { MCK_FR16, MCK_Mem32 }, },
{ 2354 /* dppd */, X86::DPPDrri, Convert__Reg1_0__Tie0_1_1__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 2354 /* dppd */, X86::DPPDrmi, Convert__Reg1_0__Tie0_1_1__Mem1285_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 2359 /* dpps */, X86::DPPSrri, Convert__Reg1_0__Tie0_1_1__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 2359 /* dpps */, X86::DPPSrmi, Convert__Reg1_0__Tie0_1_1__Mem1285_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 2364 /* ds */, X86::DS_PREFIX, Convert_NoOperands, AMFBS_None, { }, },
{ 2367 /* emms */, X86::MMX_EMMS, Convert_NoOperands, AMFBS_None, { }, },
{ 2372 /* encls */, X86::ENCLS, Convert_NoOperands, AMFBS_None, { }, },
{ 2378 /* enclu */, X86::ENCLU, Convert_NoOperands, AMFBS_None, { }, },
{ 2384 /* enclv */, X86::ENCLV, Convert_NoOperands, AMFBS_None, { }, },
{ 2390 /* encodekey128 */, X86::ENCODEKEY128, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 2403 /* encodekey256 */, X86::ENCODEKEY256, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 2416 /* endbr32 */, X86::ENDBR32, Convert_NoOperands, AMFBS_None, { }, },
{ 2424 /* endbr64 */, X86::ENDBR64, Convert_NoOperands, AMFBS_None, { }, },
{ 2432 /* enqcmd */, X86::ENQCMD16, Convert__Reg1_0__Mem5125_1, AMFBS_Not64BitMode, { MCK_GR16, MCK_Mem512 }, },
{ 2432 /* enqcmd */, X86::ENQCMD32, Convert__Reg1_0__Mem5125_1, AMFBS_None, { MCK_GR32, MCK_Mem512 }, },
{ 2432 /* enqcmd */, X86::ENQCMD64, Convert__Reg1_0__Mem5125_1, AMFBS_In64BitMode, { MCK_GR64, MCK_Mem512 }, },
{ 2439 /* enqcmds */, X86::ENQCMDS16, Convert__Reg1_0__Mem5125_1, AMFBS_Not64BitMode, { MCK_GR16, MCK_Mem512 }, },
{ 2439 /* enqcmds */, X86::ENQCMDS32, Convert__Reg1_0__Mem5125_1, AMFBS_None, { MCK_GR32, MCK_Mem512 }, },
{ 2439 /* enqcmds */, X86::ENQCMDS64, Convert__Reg1_0__Mem5125_1, AMFBS_In64BitMode, { MCK_GR64, MCK_Mem512 }, },
{ 2447 /* enter */, X86::ENTER, Convert__Imm1_0__Imm1_1, AMFBS_None, { MCK_Imm, MCK_Imm }, },
{ 2453 /* es */, X86::ES_PREFIX, Convert_NoOperands, AMFBS_None, { }, },
{ 2456 /* extractps */, X86::EXTRACTPSrr, Convert__GR32orGR641_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_GR32orGR64, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 2456 /* extractps */, X86::EXTRACTPSmr, Convert__Mem325_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_Mem32, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 2466 /* extrq */, X86::EXTRQ, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 2466 /* extrq */, X86::EXTRQI, Convert__Reg1_0__Tie0_1_1__ImmUnsignedi81_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_ImmUnsignedi8, MCK_ImmUnsignedi8 }, },
{ 2472 /* f2xm1 */, X86::F2XM1, Convert_NoOperands, AMFBS_None, { }, },
{ 2478 /* fabs */, X86::ABS_F, Convert_NoOperands, AMFBS_None, { }, },
{ 2483 /* fadd */, X86::ADD_FPrST0, Convert__regST1, AMFBS_None, { }, },
{ 2483 /* fadd */, X86::ADD_FST0r, Convert__Reg1_0, AMFBS_None, { MCK_RST }, },
{ 2483 /* fadd */, X86::ADD_F32m, Convert__Mem325_0, AMFBS_None, { MCK_Mem32 }, },
{ 2483 /* fadd */, X86::ADD_F64m, Convert__Mem645_0, AMFBS_None, { MCK_Mem64 }, },
{ 2483 /* fadd */, X86::ADD_FST0r, Convert__regST0, AMFBS_None, { MCK_ST0, MCK_ST0 }, },
{ 2483 /* fadd */, X86::ADD_FST0r, Convert__Reg1_1, AMFBS_None, { MCK_ST0, MCK_RST }, },
{ 2483 /* fadd */, X86::ADD_FrST0, Convert__Reg1_0, AMFBS_None, { MCK_RST, MCK_ST0 }, },
{ 2494 /* faddp */, X86::ADD_FPrST0, Convert__regST1, AMFBS_None, { }, },
{ 2494 /* faddp */, X86::ADD_FPrST0, Convert__Reg1_0, AMFBS_None, { MCK_RST }, },
{ 2494 /* faddp */, X86::ADD_FPrST0, Convert__regST0, AMFBS_None, { MCK_ST0, MCK_ST0 }, },
{ 2494 /* faddp */, X86::ADD_FPrST0, Convert__Reg1_1, AMFBS_None, { MCK_ST0, MCK_RST }, },
{ 2494 /* faddp */, X86::ADD_FPrST0, Convert__Reg1_0, AMFBS_None, { MCK_RST, MCK_ST0 }, },
{ 2506 /* fbld */, X86::FBLDm, Convert__Mem805_0, AMFBS_None, { MCK_Mem80 }, },
{ 2511 /* fbstp */, X86::FBSTPm, Convert__Mem805_0, AMFBS_None, { MCK_Mem80 }, },
{ 2517 /* fchs */, X86::CHS_F, Convert_NoOperands, AMFBS_None, { }, },
{ 2522 /* fcmovb */, X86::CMOVB_F, Convert__Reg1_1, AMFBS_None, { MCK_ST0, MCK_RST }, },
{ 2529 /* fcmovbe */, X86::CMOVBE_F, Convert__Reg1_1, AMFBS_None, { MCK_ST0, MCK_RST }, },
{ 2537 /* fcmove */, X86::CMOVE_F, Convert__Reg1_1, AMFBS_None, { MCK_ST0, MCK_RST }, },
{ 2544 /* fcmovnb */, X86::CMOVNB_F, Convert__Reg1_1, AMFBS_None, { MCK_ST0, MCK_RST }, },
{ 2552 /* fcmovnbe */, X86::CMOVNBE_F, Convert__Reg1_1, AMFBS_None, { MCK_ST0, MCK_RST }, },
{ 2561 /* fcmovne */, X86::CMOVNE_F, Convert__Reg1_1, AMFBS_None, { MCK_ST0, MCK_RST }, },
{ 2569 /* fcmovnu */, X86::CMOVNP_F, Convert__Reg1_1, AMFBS_None, { MCK_ST0, MCK_RST }, },
{ 2577 /* fcmovu */, X86::CMOVP_F, Convert__Reg1_1, AMFBS_None, { MCK_ST0, MCK_RST }, },
{ 2584 /* fcom */, X86::COM_FST0r, Convert__regST1, AMFBS_None, { }, },
{ 2584 /* fcom */, X86::COM_FST0r, Convert__Reg1_0, AMFBS_None, { MCK_RST }, },
{ 2584 /* fcom */, X86::FCOM32m, Convert__Mem325_0, AMFBS_None, { MCK_Mem32 }, },
{ 2584 /* fcom */, X86::FCOM64m, Convert__Mem645_0, AMFBS_None, { MCK_Mem64 }, },
{ 2589 /* fcomi */, X86::COM_FIr, Convert__regST1, AMFBS_None, { }, },
{ 2589 /* fcomi */, X86::COM_FIr, Convert__Reg1_0, AMFBS_None, { MCK_RST }, },
{ 2589 /* fcomi */, X86::COM_FIr, Convert__regST0, AMFBS_None, { MCK_ST0, MCK_ST0 }, },
{ 2589 /* fcomi */, X86::COM_FIr, Convert__Reg1_1, AMFBS_None, { MCK_ST0, MCK_RST }, },
{ 2601 /* fcomp */, X86::COMP_FST0r, Convert__regST1, AMFBS_None, { }, },
{ 2601 /* fcomp */, X86::COMP_FST0r, Convert__Reg1_0, AMFBS_None, { MCK_RST }, },
{ 2601 /* fcomp */, X86::FCOMP32m, Convert__Mem325_0, AMFBS_None, { MCK_Mem32 }, },
{ 2601 /* fcomp */, X86::FCOMP64m, Convert__Mem645_0, AMFBS_None, { MCK_Mem64 }, },
{ 2607 /* fcompi */, X86::COM_FIPr, Convert__regST1, AMFBS_None, { }, },
{ 2607 /* fcompi */, X86::COM_FIPr, Convert__Reg1_0, AMFBS_None, { MCK_RST }, },
{ 2607 /* fcompi */, X86::COM_FIPr, Convert__regST0, AMFBS_None, { MCK_ST0, MCK_ST0 }, },
{ 2607 /* fcompi */, X86::COM_FIPr, Convert__Reg1_1, AMFBS_None, { MCK_ST0, MCK_RST }, },
{ 2621 /* fcompp */, X86::FCOMPP, Convert_NoOperands, AMFBS_None, { }, },
{ 2641 /* fcos */, X86::FCOS, Convert_NoOperands, AMFBS_None, { }, },
{ 2646 /* fdecstp */, X86::FDECSTP, Convert_NoOperands, AMFBS_None, { }, },
{ 2654 /* fdiv */, X86::DIV_FST0r, Convert__Reg1_0, AMFBS_None, { MCK_RST }, },
{ 2654 /* fdiv */, X86::DIV_F32m, Convert__Mem325_0, AMFBS_None, { MCK_Mem32 }, },
{ 2654 /* fdiv */, X86::DIV_F64m, Convert__Mem645_0, AMFBS_None, { MCK_Mem64 }, },
{ 2654 /* fdiv */, X86::DIV_FST0r, Convert__regST0, AMFBS_None, { MCK_ST0, MCK_ST0 }, },
{ 2654 /* fdiv */, X86::DIV_FST0r, Convert__Reg1_1, AMFBS_None, { MCK_ST0, MCK_RST }, },
{ 2654 /* fdiv */, X86::DIV_FrST0, Convert__Reg1_0, AMFBS_None, { MCK_RST, MCK_ST0 }, },
{ 2665 /* fdivp */, X86::DIV_FPrST0, Convert__regST1, AMFBS_None, { }, },
{ 2665 /* fdivp */, X86::DIV_FPrST0, Convert__Reg1_0, AMFBS_None, { MCK_RST }, },
{ 2665 /* fdivp */, X86::DIV_FPrST0, Convert__regST0, AMFBS_None, { MCK_ST0, MCK_ST0 }, },
{ 2665 /* fdivp */, X86::DIV_FPrST0, Convert__Reg1_1, AMFBS_None, { MCK_ST0, MCK_RST }, },
{ 2665 /* fdivp */, X86::DIV_FPrST0, Convert__Reg1_0, AMFBS_None, { MCK_RST, MCK_ST0 }, },
{ 2671 /* fdivr */, X86::DIVR_FST0r, Convert__Reg1_0, AMFBS_None, { MCK_RST }, },
{ 2671 /* fdivr */, X86::DIVR_F32m, Convert__Mem325_0, AMFBS_None, { MCK_Mem32 }, },
{ 2671 /* fdivr */, X86::DIVR_F64m, Convert__Mem645_0, AMFBS_None, { MCK_Mem64 }, },
{ 2671 /* fdivr */, X86::DIVR_FST0r, Convert__regST0, AMFBS_None, { MCK_ST0, MCK_ST0 }, },
{ 2671 /* fdivr */, X86::DIVR_FST0r, Convert__Reg1_1, AMFBS_None, { MCK_ST0, MCK_RST }, },
{ 2671 /* fdivr */, X86::DIVR_FrST0, Convert__Reg1_0, AMFBS_None, { MCK_RST, MCK_ST0 }, },
{ 2684 /* fdivrp */, X86::DIVR_FPrST0, Convert__regST1, AMFBS_None, { }, },
{ 2684 /* fdivrp */, X86::DIVR_FPrST0, Convert__Reg1_0, AMFBS_None, { MCK_RST }, },
{ 2684 /* fdivrp */, X86::DIVR_FPrST0, Convert__regST0, AMFBS_None, { MCK_ST0, MCK_ST0 }, },
{ 2684 /* fdivrp */, X86::DIVR_FPrST0, Convert__Reg1_1, AMFBS_None, { MCK_ST0, MCK_RST }, },
{ 2684 /* fdivrp */, X86::DIVR_FPrST0, Convert__Reg1_0, AMFBS_None, { MCK_RST, MCK_ST0 }, },
{ 2704 /* femms */, X86::FEMMS, Convert_NoOperands, AMFBS_None, { }, },
{ 2710 /* ffree */, X86::FFREE, Convert__Reg1_0, AMFBS_None, { MCK_RST }, },
{ 2716 /* ffreep */, X86::FFREEP, Convert__Reg1_0, AMFBS_None, { MCK_RST }, },
{ 2723 /* fiadd */, X86::ADD_FI16m, Convert__Mem165_0, AMFBS_None, { MCK_Mem16 }, },
{ 2723 /* fiadd */, X86::ADD_FI32m, Convert__Mem325_0, AMFBS_None, { MCK_Mem32 }, },
{ 2743 /* ficom */, X86::FICOM16m, Convert__Mem165_0, AMFBS_None, { MCK_Mem16 }, },
{ 2743 /* ficom */, X86::FICOM32m, Convert__Mem325_0, AMFBS_None, { MCK_Mem32 }, },
{ 2756 /* ficomp */, X86::FICOMP16m, Convert__Mem165_0, AMFBS_None, { MCK_Mem16 }, },
{ 2756 /* ficomp */, X86::FICOMP32m, Convert__Mem325_0, AMFBS_None, { MCK_Mem32 }, },
{ 2786 /* fidiv */, X86::DIV_FI16m, Convert__Mem165_0, AMFBS_None, { MCK_Mem16 }, },
{ 2786 /* fidiv */, X86::DIV_FI32m, Convert__Mem325_0, AMFBS_None, { MCK_Mem32 }, },
{ 2799 /* fidivr */, X86::DIVR_FI16m, Convert__Mem165_0, AMFBS_None, { MCK_Mem16 }, },
{ 2799 /* fidivr */, X86::DIVR_FI32m, Convert__Mem325_0, AMFBS_None, { MCK_Mem32 }, },
{ 2829 /* fild */, X86::ILD_F16m, Convert__Mem165_0, AMFBS_None, { MCK_Mem16 }, },
{ 2829 /* fild */, X86::ILD_F32m, Convert__Mem325_0, AMFBS_None, { MCK_Mem32 }, },
{ 2829 /* fild */, X86::ILD_F64m, Convert__Mem645_0, AMFBS_None, { MCK_Mem64 }, },
{ 2853 /* fimul */, X86::MUL_FI16m, Convert__Mem165_0, AMFBS_None, { MCK_Mem16 }, },
{ 2853 /* fimul */, X86::MUL_FI32m, Convert__Mem325_0, AMFBS_None, { MCK_Mem32 }, },
{ 2873 /* fincstp */, X86::FINCSTP, Convert_NoOperands, AMFBS_None, { }, },
{ 2881 /* fist */, X86::IST_F16m, Convert__Mem165_0, AMFBS_None, { MCK_Mem16 }, },
{ 2881 /* fist */, X86::IST_F32m, Convert__Mem325_0, AMFBS_None, { MCK_Mem32 }, },
{ 2892 /* fistp */, X86::IST_FP16m, Convert__Mem165_0, AMFBS_None, { MCK_Mem16 }, },
{ 2892 /* fistp */, X86::IST_FP32m, Convert__Mem325_0, AMFBS_None, { MCK_Mem32 }, },
{ 2892 /* fistp */, X86::IST_FP64m, Convert__Mem645_0, AMFBS_None, { MCK_Mem64 }, },
{ 2926 /* fisttp */, X86::ISTT_FP16m, Convert__Mem165_0, AMFBS_None, { MCK_Mem16 }, },
{ 2926 /* fisttp */, X86::ISTT_FP32m, Convert__Mem325_0, AMFBS_None, { MCK_Mem32 }, },
{ 2926 /* fisttp */, X86::ISTT_FP64m, Convert__Mem645_0, AMFBS_None, { MCK_Mem64 }, },
{ 2958 /* fisub */, X86::SUB_FI16m, Convert__Mem165_0, AMFBS_None, { MCK_Mem16 }, },
{ 2958 /* fisub */, X86::SUB_FI32m, Convert__Mem325_0, AMFBS_None, { MCK_Mem32 }, },
{ 2971 /* fisubr */, X86::SUBR_FI16m, Convert__Mem165_0, AMFBS_None, { MCK_Mem16 }, },
{ 2971 /* fisubr */, X86::SUBR_FI32m, Convert__Mem325_0, AMFBS_None, { MCK_Mem32 }, },
{ 3001 /* fld */, X86::LD_Frr, Convert__Reg1_0, AMFBS_None, { MCK_RST }, },
{ 3001 /* fld */, X86::LD_F32m, Convert__Mem325_0, AMFBS_None, { MCK_Mem32 }, },
{ 3001 /* fld */, X86::LD_F64m, Convert__Mem645_0, AMFBS_None, { MCK_Mem64 }, },
{ 3001 /* fld */, X86::LD_F80m, Convert__Mem805_0, AMFBS_None, { MCK_Mem80 }, },
{ 3005 /* fld1 */, X86::LD_F1, Convert_NoOperands, AMFBS_None, { }, },
{ 3010 /* fldcw */, X86::FLDCW16m, Convert__Mem165_0, AMFBS_None, { MCK_Mem16 }, },
{ 3016 /* fldenv */, X86::FLDENVm, Convert__Mem5_0, AMFBS_None, { MCK_Mem }, },
{ 3028 /* fldl2e */, X86::FLDL2E, Convert_NoOperands, AMFBS_None, { }, },
{ 3035 /* fldl2t */, X86::FLDL2T, Convert_NoOperands, AMFBS_None, { }, },
{ 3042 /* fldlg2 */, X86::FLDLG2, Convert_NoOperands, AMFBS_None, { }, },
{ 3049 /* fldln2 */, X86::FLDLN2, Convert_NoOperands, AMFBS_None, { }, },
{ 3056 /* fldpi */, X86::FLDPI, Convert_NoOperands, AMFBS_None, { }, },
{ 3072 /* fldz */, X86::LD_F0, Convert_NoOperands, AMFBS_None, { }, },
{ 3077 /* fmul */, X86::MUL_FPrST0, Convert__regST1, AMFBS_None, { }, },
{ 3077 /* fmul */, X86::MUL_FST0r, Convert__Reg1_0, AMFBS_None, { MCK_RST }, },
{ 3077 /* fmul */, X86::MUL_F32m, Convert__Mem325_0, AMFBS_None, { MCK_Mem32 }, },
{ 3077 /* fmul */, X86::MUL_F64m, Convert__Mem645_0, AMFBS_None, { MCK_Mem64 }, },
{ 3077 /* fmul */, X86::MUL_FST0r, Convert__regST0, AMFBS_None, { MCK_ST0, MCK_ST0 }, },
{ 3077 /* fmul */, X86::MUL_FST0r, Convert__Reg1_1, AMFBS_None, { MCK_ST0, MCK_RST }, },
{ 3077 /* fmul */, X86::MUL_FrST0, Convert__Reg1_0, AMFBS_None, { MCK_RST, MCK_ST0 }, },
{ 3088 /* fmulp */, X86::MUL_FPrST0, Convert__regST1, AMFBS_None, { }, },
{ 3088 /* fmulp */, X86::MUL_FPrST0, Convert__Reg1_0, AMFBS_None, { MCK_RST }, },
{ 3088 /* fmulp */, X86::MUL_FPrST0, Convert__regST0, AMFBS_None, { MCK_ST0, MCK_ST0 }, },
{ 3088 /* fmulp */, X86::MUL_FPrST0, Convert__Reg1_1, AMFBS_None, { MCK_ST0, MCK_RST }, },
{ 3088 /* fmulp */, X86::MUL_FPrST0, Convert__Reg1_0, AMFBS_None, { MCK_RST, MCK_ST0 }, },
{ 3100 /* fnclex */, X86::FNCLEX, Convert_NoOperands, AMFBS_None, { }, },
{ 3107 /* fninit */, X86::FNINIT, Convert_NoOperands, AMFBS_None, { }, },
{ 3114 /* fnop */, X86::FNOP, Convert_NoOperands, AMFBS_None, { }, },
{ 3119 /* fnsave */, X86::FSAVEm, Convert__Mem5_0, AMFBS_None, { MCK_Mem }, },
{ 3126 /* fnstcw */, X86::FNSTCW16m, Convert__Mem165_0, AMFBS_None, { MCK_Mem16 }, },
{ 3133 /* fnstenv */, X86::FSTENVm, Convert__Mem5_0, AMFBS_None, { MCK_Mem }, },
{ 3141 /* fnstsw */, X86::FNSTSW16r, Convert_NoOperands, AMFBS_None, { }, },
{ 3141 /* fnstsw */, X86::FNSTSW16r, Convert_NoOperands, AMFBS_None, { MCK_AX }, },
{ 3141 /* fnstsw */, X86::FNSTSWm, Convert__Mem165_0, AMFBS_None, { MCK_Mem16 }, },
{ 3148 /* fpatan */, X86::FPATAN, Convert_NoOperands, AMFBS_None, { }, },
{ 3155 /* fprem */, X86::FPREM, Convert_NoOperands, AMFBS_None, { }, },
{ 3161 /* fprem1 */, X86::FPREM1, Convert_NoOperands, AMFBS_None, { }, },
{ 3168 /* fptan */, X86::FPTAN, Convert_NoOperands, AMFBS_None, { }, },
{ 3174 /* frndint */, X86::FRNDINT, Convert_NoOperands, AMFBS_None, { }, },
{ 3182 /* frstor */, X86::FRSTORm, Convert__Mem5_0, AMFBS_None, { MCK_Mem }, },
{ 3189 /* fs */, X86::FS_PREFIX, Convert_NoOperands, AMFBS_None, { }, },
{ 3192 /* fscale */, X86::FSCALE, Convert_NoOperands, AMFBS_None, { }, },
{ 3199 /* fsin */, X86::FSIN, Convert_NoOperands, AMFBS_None, { }, },
{ 3204 /* fsincos */, X86::FSINCOS, Convert_NoOperands, AMFBS_None, { }, },
{ 3212 /* fsqrt */, X86::SQRT_F, Convert_NoOperands, AMFBS_None, { }, },
{ 3218 /* fst */, X86::ST_Frr, Convert__Reg1_0, AMFBS_None, { MCK_RST }, },
{ 3218 /* fst */, X86::ST_F32m, Convert__Mem325_0, AMFBS_None, { MCK_Mem32 }, },
{ 3218 /* fst */, X86::ST_F64m, Convert__Mem645_0, AMFBS_None, { MCK_Mem64 }, },
{ 3227 /* fstp */, X86::ST_FPrr, Convert__Reg1_0, AMFBS_None, { MCK_RST }, },
{ 3227 /* fstp */, X86::ST_FP32m, Convert__Mem325_0, AMFBS_None, { MCK_Mem32 }, },
{ 3227 /* fstp */, X86::ST_FP64m, Convert__Mem645_0, AMFBS_None, { MCK_Mem64 }, },
{ 3227 /* fstp */, X86::ST_FP80m, Convert__Mem805_0, AMFBS_None, { MCK_Mem80 }, },
{ 3255 /* fsub */, X86::SUB_FST0r, Convert__Reg1_0, AMFBS_None, { MCK_RST }, },
{ 3255 /* fsub */, X86::SUB_F32m, Convert__Mem325_0, AMFBS_None, { MCK_Mem32 }, },
{ 3255 /* fsub */, X86::SUB_F64m, Convert__Mem645_0, AMFBS_None, { MCK_Mem64 }, },
{ 3255 /* fsub */, X86::SUB_FST0r, Convert__regST0, AMFBS_None, { MCK_ST0, MCK_ST0 }, },
{ 3255 /* fsub */, X86::SUB_FST0r, Convert__Reg1_1, AMFBS_None, { MCK_ST0, MCK_RST }, },
{ 3255 /* fsub */, X86::SUB_FrST0, Convert__Reg1_0, AMFBS_None, { MCK_RST, MCK_ST0 }, },
{ 3266 /* fsubp */, X86::SUB_FPrST0, Convert__regST1, AMFBS_None, { }, },
{ 3266 /* fsubp */, X86::SUB_FPrST0, Convert__Reg1_0, AMFBS_None, { MCK_RST }, },
{ 3266 /* fsubp */, X86::SUB_FPrST0, Convert__regST0, AMFBS_None, { MCK_ST0, MCK_ST0 }, },
{ 3266 /* fsubp */, X86::SUB_FPrST0, Convert__Reg1_1, AMFBS_None, { MCK_ST0, MCK_RST }, },
{ 3266 /* fsubp */, X86::SUB_FPrST0, Convert__Reg1_0, AMFBS_None, { MCK_RST, MCK_ST0 }, },
{ 3272 /* fsubr */, X86::SUBR_FST0r, Convert__Reg1_0, AMFBS_None, { MCK_RST }, },
{ 3272 /* fsubr */, X86::SUBR_F32m, Convert__Mem325_0, AMFBS_None, { MCK_Mem32 }, },
{ 3272 /* fsubr */, X86::SUBR_F64m, Convert__Mem645_0, AMFBS_None, { MCK_Mem64 }, },
{ 3272 /* fsubr */, X86::SUBR_FST0r, Convert__regST0, AMFBS_None, { MCK_ST0, MCK_ST0 }, },
{ 3272 /* fsubr */, X86::SUBR_FST0r, Convert__Reg1_1, AMFBS_None, { MCK_ST0, MCK_RST }, },
{ 3272 /* fsubr */, X86::SUBR_FrST0, Convert__Reg1_0, AMFBS_None, { MCK_RST, MCK_ST0 }, },
{ 3285 /* fsubrp */, X86::SUBR_FPrST0, Convert__regST1, AMFBS_None, { }, },
{ 3285 /* fsubrp */, X86::SUBR_FPrST0, Convert__Reg1_0, AMFBS_None, { MCK_RST }, },
{ 3285 /* fsubrp */, X86::SUBR_FPrST0, Convert__regST0, AMFBS_None, { MCK_ST0, MCK_ST0 }, },
{ 3285 /* fsubrp */, X86::SUBR_FPrST0, Convert__Reg1_1, AMFBS_None, { MCK_ST0, MCK_RST }, },
{ 3285 /* fsubrp */, X86::SUBR_FPrST0, Convert__Reg1_0, AMFBS_None, { MCK_RST, MCK_ST0 }, },
{ 3305 /* ftst */, X86::TST_F, Convert_NoOperands, AMFBS_None, { }, },
{ 3310 /* fucom */, X86::UCOM_Fr, Convert__regST1, AMFBS_None, { }, },
{ 3310 /* fucom */, X86::UCOM_Fr, Convert__Reg1_0, AMFBS_None, { MCK_RST }, },
{ 3316 /* fucomi */, X86::UCOM_FIr, Convert__regST1, AMFBS_None, { }, },
{ 3316 /* fucomi */, X86::UCOM_FIr, Convert__Reg1_0, AMFBS_None, { MCK_RST }, },
{ 3316 /* fucomi */, X86::UCOM_FIr, Convert__regST0, AMFBS_None, { MCK_ST0, MCK_ST0 }, },
{ 3316 /* fucomi */, X86::UCOM_FIr, Convert__Reg1_1, AMFBS_None, { MCK_ST0, MCK_RST }, },
{ 3323 /* fucomp */, X86::UCOM_FPr, Convert__regST1, AMFBS_None, { }, },
{ 3323 /* fucomp */, X86::UCOM_FPr, Convert__Reg1_0, AMFBS_None, { MCK_RST }, },
{ 3330 /* fucompi */, X86::UCOM_FIPr, Convert__regST1, AMFBS_None, { }, },
{ 3330 /* fucompi */, X86::UCOM_FIPr, Convert__Reg1_0, AMFBS_None, { MCK_RST }, },
{ 3330 /* fucompi */, X86::UCOM_FIPr, Convert__regST0, AMFBS_None, { MCK_ST0, MCK_ST0 }, },
{ 3330 /* fucompi */, X86::UCOM_FIPr, Convert__Reg1_1, AMFBS_None, { MCK_ST0, MCK_RST }, },
{ 3338 /* fucompp */, X86::UCOM_FPPr, Convert_NoOperands, AMFBS_None, { }, },
{ 3346 /* fxam */, X86::XAM_F, Convert_NoOperands, AMFBS_None, { }, },
{ 3351 /* fxch */, X86::XCH_F, Convert__regST1, AMFBS_None, { }, },
{ 3351 /* fxch */, X86::XCH_F, Convert__Reg1_0, AMFBS_None, { MCK_RST }, },
{ 3356 /* fxrstor */, X86::FXRSTOR, Convert__Mem5_0, AMFBS_None, { MCK_Mem }, },
{ 3364 /* fxrstor64 */, X86::FXRSTOR64, Convert__Mem5_0, AMFBS_In64BitMode, { MCK_Mem }, },
{ 3374 /* fxsave */, X86::FXSAVE, Convert__Mem5_0, AMFBS_None, { MCK_Mem }, },
{ 3381 /* fxsave64 */, X86::FXSAVE64, Convert__Mem5_0, AMFBS_In64BitMode, { MCK_Mem }, },
{ 3390 /* fxtract */, X86::FXTRACT, Convert_NoOperands, AMFBS_None, { }, },
{ 3398 /* fyl2x */, X86::FYL2X, Convert_NoOperands, AMFBS_None, { }, },
{ 3404 /* fyl2xp1 */, X86::FYL2XP1, Convert_NoOperands, AMFBS_None, { }, },
{ 3412 /* getsec */, X86::GETSEC, Convert_NoOperands, AMFBS_None, { }, },
{ 3419 /* gf2p8affineinvqb */, X86::GF2P8AFFINEINVQBrri, Convert__Reg1_0__Tie0_1_1__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 3419 /* gf2p8affineinvqb */, X86::GF2P8AFFINEINVQBrmi, Convert__Reg1_0__Tie0_1_1__Mem1285_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 3436 /* gf2p8affineqb */, X86::GF2P8AFFINEQBrri, Convert__Reg1_0__Tie0_1_1__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 3436 /* gf2p8affineqb */, X86::GF2P8AFFINEQBrmi, Convert__Reg1_0__Tie0_1_1__Mem1285_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 3450 /* gf2p8mulb */, X86::GF2P8MULBrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 3450 /* gf2p8mulb */, X86::GF2P8MULBrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 3460 /* gs */, X86::GS_PREFIX, Convert_NoOperands, AMFBS_None, { }, },
{ 3463 /* haddpd */, X86::HADDPDrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 3463 /* haddpd */, X86::HADDPDrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 3470 /* haddps */, X86::HADDPSrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 3470 /* haddps */, X86::HADDPSrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 3477 /* hlt */, X86::HLT, Convert_NoOperands, AMFBS_None, { }, },
{ 3481 /* hreset */, X86::HRESET, Convert__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8 }, },
{ 3488 /* hsubpd */, X86::HSUBPDrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 3488 /* hsubpd */, X86::HSUBPDrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 3495 /* hsubps */, X86::HSUBPSrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 3495 /* hsubps */, X86::HSUBPSrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 3502 /* idiv */, X86::IDIV16r, Convert__Reg1_0, AMFBS_None, { MCK_GR16 }, },
{ 3502 /* idiv */, X86::IDIV32r, Convert__Reg1_0, AMFBS_None, { MCK_GR32 }, },
{ 3502 /* idiv */, X86::IDIV64r, Convert__Reg1_0, AMFBS_None, { MCK_GR64 }, },
{ 3502 /* idiv */, X86::IDIV8r, Convert__Reg1_0, AMFBS_None, { MCK_GR8 }, },
{ 3502 /* idiv */, X86::IDIV16m, Convert__Mem165_0, AMFBS_None, { MCK_Mem16 }, },
{ 3502 /* idiv */, X86::IDIV32m, Convert__Mem325_0, AMFBS_None, { MCK_Mem32 }, },
{ 3502 /* idiv */, X86::IDIV64m, Convert__Mem645_0, AMFBS_In64BitMode, { MCK_Mem64 }, },
{ 3502 /* idiv */, X86::IDIV8m, Convert__Mem85_0, AMFBS_None, { MCK_Mem8 }, },
{ 3502 /* idiv */, X86::IDIV8r, Convert__Reg1_1, AMFBS_None, { MCK_AL, MCK_GR8 }, },
{ 3502 /* idiv */, X86::IDIV8m, Convert__Mem85_1, AMFBS_None, { MCK_AL, MCK_Mem8 }, },
{ 3502 /* idiv */, X86::IDIV16r, Convert__Reg1_1, AMFBS_None, { MCK_AX, MCK_GR16 }, },
{ 3502 /* idiv */, X86::IDIV16m, Convert__Mem165_1, AMFBS_None, { MCK_AX, MCK_Mem16 }, },
{ 3502 /* idiv */, X86::IDIV32r, Convert__Reg1_1, AMFBS_None, { MCK_EAX, MCK_GR32 }, },
{ 3502 /* idiv */, X86::IDIV32m, Convert__Mem325_1, AMFBS_None, { MCK_EAX, MCK_Mem32 }, },
{ 3502 /* idiv */, X86::IDIV64r, Convert__Reg1_1, AMFBS_None, { MCK_RAX, MCK_GR64 }, },
{ 3502 /* idiv */, X86::IDIV64m, Convert__Mem645_1, AMFBS_None, { MCK_RAX, MCK_Mem64 }, },
{ 3531 /* imul */, X86::IMUL16r, Convert__Reg1_0, AMFBS_None, { MCK_GR16 }, },
{ 3531 /* imul */, X86::IMUL32r, Convert__Reg1_0, AMFBS_None, { MCK_GR32 }, },
{ 3531 /* imul */, X86::IMUL64r, Convert__Reg1_0, AMFBS_None, { MCK_GR64 }, },
{ 3531 /* imul */, X86::IMUL8r, Convert__Reg1_0, AMFBS_None, { MCK_GR8 }, },
{ 3531 /* imul */, X86::IMUL16m, Convert__Mem165_0, AMFBS_None, { MCK_Mem16 }, },
{ 3531 /* imul */, X86::IMUL32m, Convert__Mem325_0, AMFBS_None, { MCK_Mem32 }, },
{ 3531 /* imul */, X86::IMUL64m, Convert__Mem645_0, AMFBS_In64BitMode, { MCK_Mem64 }, },
{ 3531 /* imul */, X86::IMUL8m, Convert__Mem85_0, AMFBS_None, { MCK_Mem8 }, },
{ 3531 /* imul */, X86::IMUL16rr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_GR16, MCK_GR16 }, },
{ 3531 /* imul */, X86::IMUL16rri8, Convert__Reg1_0__Reg1_0__ImmSExti16i81_1, AMFBS_None, { MCK_GR16, MCK_ImmSExti16i8 }, },
{ 3531 /* imul */, X86::IMUL16rri, Convert__Reg1_0__Reg1_0__Imm1_1, AMFBS_None, { MCK_GR16, MCK_Imm }, },
{ 3531 /* imul */, X86::IMUL16rm, Convert__Reg1_0__Tie0_1_1__Mem165_1, AMFBS_None, { MCK_GR16, MCK_Mem16 }, },
{ 3531 /* imul */, X86::IMUL32rr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 3531 /* imul */, X86::IMUL32rri8, Convert__Reg1_0__Reg1_0__ImmSExti32i81_1, AMFBS_None, { MCK_GR32, MCK_ImmSExti32i8 }, },
{ 3531 /* imul */, X86::IMUL32rri, Convert__Reg1_0__Reg1_0__Imm1_1, AMFBS_None, { MCK_GR32, MCK_Imm }, },
{ 3531 /* imul */, X86::IMUL32rm, Convert__Reg1_0__Tie0_1_1__Mem325_1, AMFBS_None, { MCK_GR32, MCK_Mem32 }, },
{ 3531 /* imul */, X86::IMUL64rr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 3531 /* imul */, X86::IMUL64rri8, Convert__Reg1_0__Reg1_0__ImmSExti64i81_1, AMFBS_None, { MCK_GR64, MCK_ImmSExti64i8 }, },
{ 3531 /* imul */, X86::IMUL64rri32, Convert__Reg1_0__Reg1_0__ImmSExti64i321_1, AMFBS_None, { MCK_GR64, MCK_ImmSExti64i32 }, },
{ 3531 /* imul */, X86::IMUL64rm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_GR64, MCK_Mem64 }, },
{ 3531 /* imul */, X86::IMUL16rri8, Convert__Reg1_0__Reg1_1__ImmSExti16i81_2, AMFBS_None, { MCK_GR16, MCK_GR16, MCK_ImmSExti16i8 }, },
{ 3531 /* imul */, X86::IMUL16rri, Convert__Reg1_0__Reg1_1__Imm1_2, AMFBS_None, { MCK_GR16, MCK_GR16, MCK_Imm }, },
{ 3531 /* imul */, X86::IMUL16rmi8, Convert__Reg1_0__Mem165_1__ImmSExti16i81_2, AMFBS_None, { MCK_GR16, MCK_Mem16, MCK_ImmSExti16i8 }, },
{ 3531 /* imul */, X86::IMUL16rmi, Convert__Reg1_0__Mem165_1__Imm1_2, AMFBS_None, { MCK_GR16, MCK_Mem16, MCK_Imm }, },
{ 3531 /* imul */, X86::IMUL32rri8, Convert__Reg1_0__Reg1_1__ImmSExti32i81_2, AMFBS_None, { MCK_GR32, MCK_GR32, MCK_ImmSExti32i8 }, },
{ 3531 /* imul */, X86::IMUL32rri, Convert__Reg1_0__Reg1_1__Imm1_2, AMFBS_None, { MCK_GR32, MCK_GR32, MCK_Imm }, },
{ 3531 /* imul */, X86::IMUL32rmi8, Convert__Reg1_0__Mem325_1__ImmSExti32i81_2, AMFBS_None, { MCK_GR32, MCK_Mem32, MCK_ImmSExti32i8 }, },
{ 3531 /* imul */, X86::IMUL32rmi, Convert__Reg1_0__Mem325_1__Imm1_2, AMFBS_None, { MCK_GR32, MCK_Mem32, MCK_Imm }, },
{ 3531 /* imul */, X86::IMUL64rri8, Convert__Reg1_0__Reg1_1__ImmSExti64i81_2, AMFBS_None, { MCK_GR64, MCK_GR64, MCK_ImmSExti64i8 }, },
{ 3531 /* imul */, X86::IMUL64rri32, Convert__Reg1_0__Reg1_1__ImmSExti64i321_2, AMFBS_None, { MCK_GR64, MCK_GR64, MCK_ImmSExti64i32 }, },
{ 3531 /* imul */, X86::IMUL64rmi8, Convert__Reg1_0__Mem645_1__ImmSExti64i81_2, AMFBS_None, { MCK_GR64, MCK_Mem64, MCK_ImmSExti64i8 }, },
{ 3531 /* imul */, X86::IMUL64rmi32, Convert__Reg1_0__Mem645_1__ImmSExti64i321_2, AMFBS_None, { MCK_GR64, MCK_Mem64, MCK_ImmSExti64i32 }, },
{ 3560 /* in */, X86::IN8rr, Convert_NoOperands, AMFBS_None, { MCK_AL, MCK_DX }, },
{ 3560 /* in */, X86::IN8ri, Convert__ImmUnsignedi81_1, AMFBS_None, { MCK_AL, MCK_ImmUnsignedi8 }, },
{ 3560 /* in */, X86::IN16rr, Convert_NoOperands, AMFBS_None, { MCK_AX, MCK_DX }, },
{ 3560 /* in */, X86::IN16ri, Convert__ImmUnsignedi81_1, AMFBS_None, { MCK_AX, MCK_ImmUnsignedi8 }, },
{ 3560 /* in */, X86::IN32rr, Convert_NoOperands, AMFBS_None, { MCK_EAX, MCK_DX }, },
{ 3560 /* in */, X86::IN32ri, Convert__ImmUnsignedi81_1, AMFBS_None, { MCK_EAX, MCK_ImmUnsignedi8 }, },
{ 3563 /* inb */, X86::IN8rr, Convert_NoOperands, AMFBS_None, { MCK_DX }, },
{ 3563 /* inb */, X86::IN8ri, Convert__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8 }, },
{ 3567 /* inc */, X86::INC16r_alt, Convert__Reg1_0__Tie0_1_1, AMFBS_Not64BitMode, { MCK_GR16 }, },
{ 3567 /* inc */, X86::INC16r, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR16 }, },
{ 3567 /* inc */, X86::INC32r_alt, Convert__Reg1_0__Tie0_1_1, AMFBS_Not64BitMode, { MCK_GR32 }, },
{ 3567 /* inc */, X86::INC32r, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR32 }, },
{ 3567 /* inc */, X86::INC64r, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR64 }, },
{ 3567 /* inc */, X86::INC8r, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR8 }, },
{ 3567 /* inc */, X86::INC16m, Convert__Mem165_0, AMFBS_None, { MCK_Mem16 }, },
{ 3567 /* inc */, X86::INC32m, Convert__Mem325_0, AMFBS_None, { MCK_Mem32 }, },
{ 3567 /* inc */, X86::INC64m, Convert__Mem645_0, AMFBS_In64BitMode, { MCK_Mem64 }, },
{ 3567 /* inc */, X86::INC8m, Convert__Mem85_0, AMFBS_None, { MCK_Mem8 }, },
{ 3586 /* incsspd */, X86::INCSSPD, Convert__Reg1_0, AMFBS_None, { MCK_GR32 }, },
{ 3594 /* incsspq */, X86::INCSSPQ, Convert__Reg1_0, AMFBS_None, { MCK_GR64 }, },
{ 3607 /* inl */, X86::IN32rr, Convert_NoOperands, AMFBS_None, { MCK_DX }, },
{ 3607 /* inl */, X86::IN32ri, Convert__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8 }, },
{ 3611 /* ins */, X86::INSW, Convert__DstIdx161_0, AMFBS_None, { MCK_DstIdx16, MCK_DX }, },
{ 3611 /* ins */, X86::INSL, Convert__DstIdx321_0, AMFBS_None, { MCK_DstIdx32, MCK_DX }, },
{ 3611 /* ins */, X86::INSB, Convert__DstIdx81_0, AMFBS_None, { MCK_DstIdx8, MCK_DX }, },
{ 3615 /* insb */, X86::INSB, Convert__DstIdx81_0, AMFBS_None, { MCK_DstIdx8, MCK_DX }, },
{ 3620 /* insd */, X86::INSL, Convert__DstIdx321_0, AMFBS_None, { MCK_DstIdx32, MCK_DX }, },
{ 3625 /* insertps */, X86::INSERTPSrr, Convert__Reg1_0__Tie0_1_1__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 3625 /* insertps */, X86::INSERTPSrm, Convert__Reg1_0__Tie0_1_1__Mem325_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_Mem32, MCK_ImmUnsignedi8 }, },
{ 3634 /* insertq */, X86::INSERTQ, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 3634 /* insertq */, X86::INSERTQI, Convert__Reg1_0__Tie0_1_1__Reg1_1__ImmUnsignedi81_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_ImmUnsignedi8, MCK_ImmUnsignedi8 }, },
{ 3647 /* insw */, X86::INSW, Convert__DstIdx161_0, AMFBS_None, { MCK_DstIdx16, MCK_DX }, },
{ 3652 /* int */, X86::INT, Convert__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8 }, },
{ 3656 /* int3 */, X86::INT3, Convert_NoOperands, AMFBS_None, { }, },
{ 3661 /* into */, X86::INTO, Convert_NoOperands, AMFBS_Not64BitMode, { }, },
{ 3666 /* invd */, X86::INVD, Convert_NoOperands, AMFBS_None, { }, },
{ 3671 /* invept */, X86::INVEPT32, Convert__Reg1_0__Mem1285_1, AMFBS_Not64BitMode, { MCK_GR32, MCK_Mem128 }, },
{ 3671 /* invept */, X86::INVEPT64, Convert__Reg1_0__Mem1285_1, AMFBS_In64BitMode, { MCK_GR64, MCK_Mem128 }, },
{ 3678 /* invlpg */, X86::INVLPG, Convert__Mem85_0, AMFBS_None, { MCK_Mem8 }, },
{ 3685 /* invlpga */, X86::INVLPGA32, Convert_NoOperands, AMFBS_Not64BitMode, { }, },
{ 3685 /* invlpga */, X86::INVLPGA64, Convert_NoOperands, AMFBS_In64BitMode, { }, },
{ 3685 /* invlpga */, X86::INVLPGA32, Convert_NoOperands, AMFBS_Not64BitMode, { MCK_EAX, MCK_ECX }, },
{ 3685 /* invlpga */, X86::INVLPGA64, Convert_NoOperands, AMFBS_In64BitMode, { MCK_RAX, MCK_ECX }, },
{ 3693 /* invlpgb */, X86::INVLPGB32, Convert_NoOperands, AMFBS_Not64BitMode, { }, },
{ 3693 /* invlpgb */, X86::INVLPGB64, Convert_NoOperands, AMFBS_In64BitMode, { }, },
{ 3693 /* invlpgb */, X86::INVLPGB32, Convert_NoOperands, AMFBS_Not64BitMode, { MCK_EAX, MCK_EDX }, },
{ 3693 /* invlpgb */, X86::INVLPGB64, Convert_NoOperands, AMFBS_In64BitMode, { MCK_RAX, MCK_EDX }, },
{ 3701 /* invpcid */, X86::INVPCID32, Convert__Reg1_0__Mem1285_1, AMFBS_Not64BitMode, { MCK_GR32, MCK_Mem128 }, },
{ 3701 /* invpcid */, X86::INVPCID64, Convert__Reg1_0__Mem1285_1, AMFBS_In64BitMode, { MCK_GR64, MCK_Mem128 }, },
{ 3709 /* invvpid */, X86::INVVPID32, Convert__Reg1_0__Mem1285_1, AMFBS_Not64BitMode, { MCK_GR32, MCK_Mem128 }, },
{ 3709 /* invvpid */, X86::INVVPID64, Convert__Reg1_0__Mem1285_1, AMFBS_In64BitMode, { MCK_GR64, MCK_Mem128 }, },
{ 3717 /* inw */, X86::IN16rr, Convert_NoOperands, AMFBS_None, { MCK_DX }, },
{ 3717 /* inw */, X86::IN16ri, Convert__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8 }, },
{ 3721 /* iret */, X86::IRET16, Convert_NoOperands, AMFBS_None, { }, },
{ 3726 /* iretd */, X86::IRET32, Convert_NoOperands, AMFBS_None, { }, },
{ 3738 /* iretq */, X86::IRET64, Convert_NoOperands, AMFBS_In64BitMode, { }, },
{ 3750 /* ja */, X86::JCC_1, Convert__AbsMem1_0__imm_95_7, AMFBS_None, { MCK_AbsMem }, },
{ 3753 /* jae */, X86::JCC_1, Convert__AbsMem1_0__imm_95_3, AMFBS_None, { MCK_AbsMem }, },
{ 3757 /* jb */, X86::JCC_1, Convert__AbsMem1_0__imm_95_2, AMFBS_None, { MCK_AbsMem }, },
{ 3760 /* jbe */, X86::JCC_1, Convert__AbsMem1_0__imm_95_6, AMFBS_None, { MCK_AbsMem }, },
{ 3764 /* jcxz */, X86::JCXZ, Convert__AbsMem1_0, AMFBS_Not64BitMode, { MCK_AbsMem }, },
{ 3769 /* je */, X86::JCC_1, Convert__AbsMem1_0__imm_95_4, AMFBS_None, { MCK_AbsMem }, },
{ 3772 /* jecxz */, X86::JECXZ, Convert__AbsMem1_0, AMFBS_None, { MCK_AbsMem }, },
{ 3778 /* jg */, X86::JCC_1, Convert__AbsMem1_0__imm_95_15, AMFBS_None, { MCK_AbsMem }, },
{ 3781 /* jge */, X86::JCC_1, Convert__AbsMem1_0__imm_95_13, AMFBS_None, { MCK_AbsMem }, },
{ 3785 /* jl */, X86::JCC_1, Convert__AbsMem1_0__imm_95_12, AMFBS_None, { MCK_AbsMem }, },
{ 3788 /* jle */, X86::JCC_1, Convert__AbsMem1_0__imm_95_14, AMFBS_None, { MCK_AbsMem }, },
{ 3792 /* jmp */, X86::JMP16r, Convert__Reg1_0, AMFBS_Not64BitMode, { MCK_GR16 }, },
{ 3792 /* jmp */, X86::JMP32r, Convert__Reg1_0, AMFBS_Not64BitMode, { MCK_GR32 }, },
{ 3792 /* jmp */, X86::JMP64r, Convert__Reg1_0, AMFBS_In64BitMode, { MCK_GR64 }, },
{ 3792 /* jmp */, X86::JMP_1, Convert__AbsMem1_0, AMFBS_None, { MCK_AbsMem }, },
{ 3792 /* jmp */, X86::JMP16m, Convert__Mem165_0, AMFBS_Not64BitMode, { MCK_Mem16 }, },
{ 3792 /* jmp */, X86::JMP32m, Convert__Mem325_0, AMFBS_Not64BitMode, { MCK_Mem32 }, },
{ 3792 /* jmp */, X86::JMP64m, Convert__Mem645_0, AMFBS_In64BitMode, { MCK_Mem64 }, },
{ 3792 /* jmp */, X86::FARJMP32m, Convert__Mem5_0, AMFBS_None, { MCK_Mem }, },
{ 3792 /* jmp */, X86::FARJMP16i, Convert__Imm1_1__Imm1_0, AMFBS_In16BitMode, { MCK_Imm, MCK_Imm }, },
{ 3792 /* jmp */, X86::FARJMP32i, Convert__Imm1_1__Imm1_0, AMFBS_In32BitMode, { MCK_Imm, MCK_Imm }, },
{ 3796 /* jmpl */, X86::FARJMP32i, Convert__Imm1_1__Imm1_0, AMFBS_Not64BitMode, { MCK_Imm, MCK_Imm }, },
{ 3806 /* jmpw */, X86::FARJMP16i, Convert__Imm1_1__Imm1_0, AMFBS_Not64BitMode, { MCK_Imm, MCK_Imm }, },
{ 3811 /* jne */, X86::JCC_1, Convert__AbsMem1_0__imm_95_5, AMFBS_None, { MCK_AbsMem }, },
{ 3815 /* jno */, X86::JCC_1, Convert__AbsMem1_0__imm_95_1, AMFBS_None, { MCK_AbsMem }, },
{ 3819 /* jnp */, X86::JCC_1, Convert__AbsMem1_0__imm_95_11, AMFBS_None, { MCK_AbsMem }, },
{ 3823 /* jns */, X86::JCC_1, Convert__AbsMem1_0__imm_95_9, AMFBS_None, { MCK_AbsMem }, },
{ 3827 /* jo */, X86::JCC_1, Convert__AbsMem1_0__imm_95_0, AMFBS_None, { MCK_AbsMem }, },
{ 3830 /* jp */, X86::JCC_1, Convert__AbsMem1_0__imm_95_10, AMFBS_None, { MCK_AbsMem }, },
{ 3833 /* jrcxz */, X86::JRCXZ, Convert__AbsMem1_0, AMFBS_In64BitMode, { MCK_AbsMem }, },
{ 3839 /* js */, X86::JCC_1, Convert__AbsMem1_0__imm_95_8, AMFBS_None, { MCK_AbsMem }, },
{ 3842 /* kaddb */, X86::KADDBrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VK1, MCK_VK1, MCK_VK1 }, },
{ 3848 /* kaddd */, X86::KADDDrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VK1, MCK_VK1, MCK_VK1 }, },
{ 3854 /* kaddq */, X86::KADDQrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VK1, MCK_VK1, MCK_VK1 }, },
{ 3860 /* kaddw */, X86::KADDWrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VK1, MCK_VK1, MCK_VK1 }, },
{ 3866 /* kandb */, X86::KANDBrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VK1, MCK_VK1, MCK_VK1 }, },
{ 3872 /* kandd */, X86::KANDDrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VK1, MCK_VK1, MCK_VK1 }, },
{ 3878 /* kandnb */, X86::KANDNBrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VK1, MCK_VK1, MCK_VK1 }, },
{ 3885 /* kandnd */, X86::KANDNDrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VK1, MCK_VK1, MCK_VK1 }, },
{ 3892 /* kandnq */, X86::KANDNQrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VK1, MCK_VK1, MCK_VK1 }, },
{ 3899 /* kandnw */, X86::KANDNWrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VK1, MCK_VK1, MCK_VK1 }, },
{ 3906 /* kandq */, X86::KANDQrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VK1, MCK_VK1, MCK_VK1 }, },
{ 3912 /* kandw */, X86::KANDWrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VK1, MCK_VK1, MCK_VK1 }, },
{ 3918 /* kmovb */, X86::KMOVBkk, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VK1, MCK_VK1 }, },
{ 3918 /* kmovb */, X86::KMOVBkr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VK1, MCK_GR32 }, },
{ 3918 /* kmovb */, X86::KMOVBkm, Convert__Reg1_0__Mem85_1, AMFBS_None, { MCK_VK1, MCK_Mem8 }, },
{ 3918 /* kmovb */, X86::KMOVBrk, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR32, MCK_VK1 }, },
{ 3918 /* kmovb */, X86::KMOVBmk, Convert__Mem85_0__Reg1_1, AMFBS_None, { MCK_Mem8, MCK_VK1 }, },
{ 3924 /* kmovd */, X86::KMOVDkk, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VK1, MCK_VK1 }, },
{ 3924 /* kmovd */, X86::KMOVDkr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VK1, MCK_GR32 }, },
{ 3924 /* kmovd */, X86::KMOVDkm, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_VK1, MCK_Mem32 }, },
{ 3924 /* kmovd */, X86::KMOVDrk, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR32, MCK_VK1 }, },
{ 3924 /* kmovd */, X86::KMOVDmk, Convert__Mem325_0__Reg1_1, AMFBS_None, { MCK_Mem32, MCK_VK1 }, },
{ 3930 /* kmovq */, X86::KMOVQkk, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VK1, MCK_VK1 }, },
{ 3930 /* kmovq */, X86::KMOVQkr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VK1, MCK_GR64 }, },
{ 3930 /* kmovq */, X86::KMOVQkm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_VK1, MCK_Mem64 }, },
{ 3930 /* kmovq */, X86::KMOVQrk, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR64, MCK_VK1 }, },
{ 3930 /* kmovq */, X86::KMOVQmk, Convert__Mem645_0__Reg1_1, AMFBS_None, { MCK_Mem64, MCK_VK1 }, },
{ 3936 /* kmovw */, X86::KMOVWkk, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VK1, MCK_VK1 }, },
{ 3936 /* kmovw */, X86::KMOVWkr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VK1, MCK_GR32 }, },
{ 3936 /* kmovw */, X86::KMOVWkm, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_VK1, MCK_Mem16 }, },
{ 3936 /* kmovw */, X86::KMOVWrk, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR32, MCK_VK1 }, },
{ 3936 /* kmovw */, X86::KMOVWmk, Convert__Mem165_0__Reg1_1, AMFBS_None, { MCK_Mem16, MCK_VK1 }, },
{ 3942 /* knotb */, X86::KNOTBrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VK1, MCK_VK1 }, },
{ 3948 /* knotd */, X86::KNOTDrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VK1, MCK_VK1 }, },
{ 3954 /* knotq */, X86::KNOTQrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VK1, MCK_VK1 }, },
{ 3960 /* knotw */, X86::KNOTWrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VK1, MCK_VK1 }, },
{ 3966 /* korb */, X86::KORBrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VK1, MCK_VK1, MCK_VK1 }, },
{ 3971 /* kord */, X86::KORDrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VK1, MCK_VK1, MCK_VK1 }, },
{ 3976 /* korq */, X86::KORQrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VK1, MCK_VK1, MCK_VK1 }, },
{ 3981 /* kortestb */, X86::KORTESTBrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VK1, MCK_VK1 }, },
{ 3990 /* kortestd */, X86::KORTESTDrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VK1, MCK_VK1 }, },
{ 3999 /* kortestq */, X86::KORTESTQrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VK1, MCK_VK1 }, },
{ 4008 /* kortestw */, X86::KORTESTWrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VK1, MCK_VK1 }, },
{ 4017 /* korw */, X86::KORWrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VK1, MCK_VK1, MCK_VK1 }, },
{ 4022 /* kshiftlb */, X86::KSHIFTLBri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VK1, MCK_VK1, MCK_ImmUnsignedi8 }, },
{ 4031 /* kshiftld */, X86::KSHIFTLDri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VK1, MCK_VK1, MCK_ImmUnsignedi8 }, },
{ 4040 /* kshiftlq */, X86::KSHIFTLQri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VK1, MCK_VK1, MCK_ImmUnsignedi8 }, },
{ 4049 /* kshiftlw */, X86::KSHIFTLWri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VK1, MCK_VK1, MCK_ImmUnsignedi8 }, },
{ 4058 /* kshiftrb */, X86::KSHIFTRBri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VK1, MCK_VK1, MCK_ImmUnsignedi8 }, },
{ 4067 /* kshiftrd */, X86::KSHIFTRDri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VK1, MCK_VK1, MCK_ImmUnsignedi8 }, },
{ 4076 /* kshiftrq */, X86::KSHIFTRQri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VK1, MCK_VK1, MCK_ImmUnsignedi8 }, },
{ 4085 /* kshiftrw */, X86::KSHIFTRWri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VK1, MCK_VK1, MCK_ImmUnsignedi8 }, },
{ 4094 /* ktestb */, X86::KTESTBrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VK1, MCK_VK1 }, },
{ 4101 /* ktestd */, X86::KTESTDrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VK1, MCK_VK1 }, },
{ 4108 /* ktestq */, X86::KTESTQrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VK1, MCK_VK1 }, },
{ 4115 /* ktestw */, X86::KTESTWrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VK1, MCK_VK1 }, },
{ 4122 /* kunpckbw */, X86::KUNPCKBWrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VK1, MCK_VK1, MCK_VK1 }, },
{ 4131 /* kunpckdq */, X86::KUNPCKDQrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VK1, MCK_VK1, MCK_VK1 }, },
{ 4140 /* kunpckwd */, X86::KUNPCKWDrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VK1, MCK_VK1, MCK_VK1 }, },
{ 4149 /* kxnorb */, X86::KXNORBrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VK1, MCK_VK1, MCK_VK1 }, },
{ 4156 /* kxnord */, X86::KXNORDrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VK1, MCK_VK1, MCK_VK1 }, },
{ 4163 /* kxnorq */, X86::KXNORQrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VK1, MCK_VK1, MCK_VK1 }, },
{ 4170 /* kxnorw */, X86::KXNORWrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VK1, MCK_VK1, MCK_VK1 }, },
{ 4177 /* kxorb */, X86::KXORBrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VK1, MCK_VK1, MCK_VK1 }, },
{ 4183 /* kxord */, X86::KXORDrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VK1, MCK_VK1, MCK_VK1 }, },
{ 4189 /* kxorq */, X86::KXORQrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VK1, MCK_VK1, MCK_VK1 }, },
{ 4195 /* kxorw */, X86::KXORWrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VK1, MCK_VK1, MCK_VK1 }, },
{ 4201 /* lahf */, X86::LAHF, Convert_NoOperands, AMFBS_None, { }, },
{ 4206 /* lar */, X86::LAR16rr, Convert__Reg1_0__GR16orGR32orGR641_1, AMFBS_None, { MCK_GR16, MCK_GR16orGR32orGR64 }, },
{ 4206 /* lar */, X86::LAR16rm, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_GR16, MCK_Mem16 }, },
{ 4206 /* lar */, X86::LAR32rr, Convert__Reg1_0__GR16orGR32orGR641_1, AMFBS_None, { MCK_GR32, MCK_GR16orGR32orGR64 }, },
{ 4206 /* lar */, X86::LAR32rm, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_GR32, MCK_Mem16 }, },
{ 4206 /* lar */, X86::LAR64rr, Convert__Reg1_0__GR16orGR32orGR641_1, AMFBS_None, { MCK_GR64, MCK_GR16orGR32orGR64 }, },
{ 4206 /* lar */, X86::LAR64rm, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_GR64, MCK_Mem16 }, },
{ 4225 /* lcall */, X86::FARCALL32m, Convert__Mem5_0, AMFBS_Not16BitMode, { MCK_Mem }, },
{ 4225 /* lcall */, X86::FARCALL16m, Convert__Mem5_0, AMFBS_In16BitMode, { MCK_Mem }, },
{ 4225 /* lcall */, X86::FARCALL16m, Convert__Mem5_0, AMFBS_None, { MCK_Mem }, },
{ 4225 /* lcall */, X86::FARCALL64m, Convert__Mem5_0, AMFBS_None, { MCK_Mem }, },
{ 4225 /* lcall */, X86::FARCALL32i, Convert__Imm1_1__Imm1_0, AMFBS_In32BitMode, { MCK_Imm, MCK_Imm }, },
{ 4225 /* lcall */, X86::FARCALL16i, Convert__Imm1_1__Imm1_0, AMFBS_In16BitMode, { MCK_Imm, MCK_Imm }, },
{ 4252 /* lddqu */, X86::LDDQUrm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 4258 /* ldmxcsr */, X86::LDMXCSR, Convert__Mem325_0, AMFBS_None, { MCK_Mem32 }, },
{ 4266 /* lds */, X86::LDS16rm, Convert__Reg1_0__Mem5_1, AMFBS_Not64BitMode, { MCK_GR16, MCK_Mem }, },
{ 4266 /* lds */, X86::LDS32rm, Convert__Reg1_0__Mem5_1, AMFBS_Not64BitMode, { MCK_GR32, MCK_Mem }, },
{ 4280 /* ldtilecfg */, X86::LDTILECFG, Convert__Mem5_0, AMFBS_In64BitMode, { MCK_Mem }, },
{ 4290 /* lea */, X86::LEA16r, Convert__Reg1_0__Mem5_1, AMFBS_None, { MCK_GR16, MCK_Mem }, },
{ 4290 /* lea */, X86::LEA32r, Convert__Reg1_0__Mem5_1, AMFBS_Not64BitMode, { MCK_GR32, MCK_Mem }, },
{ 4290 /* lea */, X86::LEA64_32r, Convert__Reg1_0__Mem5_1, AMFBS_In64BitMode, { MCK_GR32, MCK_Mem }, },
{ 4290 /* lea */, X86::LEA64r, Convert__Reg1_0__Mem5_1, AMFBS_None, { MCK_GR64, MCK_Mem }, },
{ 4304 /* leave */, X86::LEAVE, Convert_NoOperands, AMFBS_Not64BitMode, { }, },
{ 4304 /* leave */, X86::LEAVE64, Convert_NoOperands, AMFBS_In64BitMode, { }, },
{ 4315 /* les */, X86::LES16rm, Convert__Reg1_0__Mem5_1, AMFBS_Not64BitMode, { MCK_GR16, MCK_Mem }, },
{ 4315 /* les */, X86::LES32rm, Convert__Reg1_0__Mem5_1, AMFBS_Not64BitMode, { MCK_GR32, MCK_Mem }, },
{ 4329 /* lfence */, X86::LFENCE, Convert_NoOperands, AMFBS_None, { }, },
{ 4336 /* lfs */, X86::LFS16rm, Convert__Reg1_0__Mem5_1, AMFBS_None, { MCK_GR16, MCK_Mem }, },
{ 4336 /* lfs */, X86::LFS32rm, Convert__Reg1_0__Mem5_1, AMFBS_None, { MCK_GR32, MCK_Mem }, },
{ 4336 /* lfs */, X86::LFS64rm, Convert__Reg1_0__Mem5_1, AMFBS_None, { MCK_GR64, MCK_Mem }, },
{ 4355 /* lgdt */, X86::LGDT64m, Convert__Mem5_0, AMFBS_In64BitMode, { MCK_Mem }, },
{ 4360 /* lgdtd */, X86::LGDT32m, Convert__Mem5_0, AMFBS_Not64BitMode, { MCK_Mem }, },
{ 4378 /* lgdtw */, X86::LGDT16m, Convert__Mem5_0, AMFBS_Not64BitMode, { MCK_Mem }, },
{ 4384 /* lgs */, X86::LGS16rm, Convert__Reg1_0__Mem5_1, AMFBS_None, { MCK_GR16, MCK_Mem }, },
{ 4384 /* lgs */, X86::LGS32rm, Convert__Reg1_0__Mem5_1, AMFBS_None, { MCK_GR32, MCK_Mem }, },
{ 4384 /* lgs */, X86::LGS64rm, Convert__Reg1_0__Mem5_1, AMFBS_None, { MCK_GR64, MCK_Mem }, },
{ 4403 /* lidt */, X86::LIDT64m, Convert__Mem5_0, AMFBS_In64BitMode, { MCK_Mem }, },
{ 4408 /* lidtd */, X86::LIDT32m, Convert__Mem5_0, AMFBS_Not64BitMode, { MCK_Mem }, },
{ 4426 /* lidtw */, X86::LIDT16m, Convert__Mem5_0, AMFBS_Not64BitMode, { MCK_Mem }, },
{ 4432 /* ljmp */, X86::FARJMP64m, Convert__Mem5_0, AMFBS_In64BitMode, { MCK_Mem }, },
{ 4432 /* ljmp */, X86::FARJMP32m, Convert__Mem5_0, AMFBS_Not16BitMode, { MCK_Mem }, },
{ 4432 /* ljmp */, X86::FARJMP16m, Convert__Mem5_0, AMFBS_In16BitMode, { MCK_Mem }, },
{ 4432 /* ljmp */, X86::FARJMP32i, Convert__Imm1_1__Imm1_0, AMFBS_In32BitMode, { MCK_Imm, MCK_Imm }, },
{ 4432 /* ljmp */, X86::FARJMP16i, Convert__Imm1_1__Imm1_0, AMFBS_In16BitMode, { MCK_Imm, MCK_Imm }, },
{ 4455 /* lldt */, X86::LLDT16r, Convert__Reg1_0, AMFBS_None, { MCK_GR16 }, },
{ 4455 /* lldt */, X86::LLDT16m, Convert__Mem165_0, AMFBS_None, { MCK_Mem16 }, },
{ 4466 /* llwpcb */, X86::LLWPCB, Convert__Reg1_0, AMFBS_None, { MCK_GR32 }, },
{ 4466 /* llwpcb */, X86::LLWPCB64, Convert__Reg1_0, AMFBS_None, { MCK_GR64 }, },
{ 4473 /* lmsw */, X86::LMSW16r, Convert__Reg1_0, AMFBS_None, { MCK_GR16 }, },
{ 4473 /* lmsw */, X86::LMSW16m, Convert__Mem165_0, AMFBS_None, { MCK_Mem16 }, },
{ 4484 /* loadiwkey */, X86::LOADIWKEY, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 4494 /* lock */, X86::LOCK_PREFIX, Convert_NoOperands, AMFBS_None, { }, },
{ 4499 /* lods */, X86::LODSW, Convert__SrcIdx162_0, AMFBS_None, { MCK_SrcIdx16 }, },
{ 4499 /* lods */, X86::LODSL, Convert__SrcIdx322_0, AMFBS_None, { MCK_SrcIdx32 }, },
{ 4499 /* lods */, X86::LODSQ, Convert__SrcIdx642_0, AMFBS_In64BitMode, { MCK_SrcIdx64 }, },
{ 4499 /* lods */, X86::LODSB, Convert__SrcIdx82_0, AMFBS_None, { MCK_SrcIdx8 }, },
{ 4499 /* lods */, X86::LODSB, Convert__SrcIdx82_1, AMFBS_None, { MCK_AL, MCK_SrcIdx8 }, },
{ 4499 /* lods */, X86::LODSW, Convert__SrcIdx162_1, AMFBS_None, { MCK_AX, MCK_SrcIdx16 }, },
{ 4499 /* lods */, X86::LODSL, Convert__SrcIdx322_1, AMFBS_None, { MCK_EAX, MCK_SrcIdx32 }, },
{ 4499 /* lods */, X86::LODSQ, Convert__SrcIdx642_1, AMFBS_In64BitMode, { MCK_RAX, MCK_SrcIdx64 }, },
{ 4504 /* lodsb */, X86::LODSB, Convert__SrcIdx82_0, AMFBS_None, { MCK_SrcIdx8 }, },
{ 4504 /* lodsb */, X86::LODSB, Convert__SrcIdx82_1, AMFBS_None, { MCK_AL, MCK_SrcIdx8 }, },
{ 4510 /* lodsd */, X86::LODSL, Convert__SrcIdx322_0, AMFBS_None, { MCK_SrcIdx32 }, },
{ 4510 /* lodsd */, X86::LODSL, Convert__SrcIdx322_1, AMFBS_None, { MCK_EAX, MCK_SrcIdx32 }, },
{ 4522 /* lodsq */, X86::LODSQ, Convert__SrcIdx642_0, AMFBS_In64BitMode, { MCK_SrcIdx64 }, },
{ 4522 /* lodsq */, X86::LODSQ, Convert__SrcIdx642_1, AMFBS_In64BitMode, { MCK_RAX, MCK_SrcIdx64 }, },
{ 4528 /* lodsw */, X86::LODSW, Convert__SrcIdx162_0, AMFBS_None, { MCK_SrcIdx16 }, },
{ 4528 /* lodsw */, X86::LODSW, Convert__SrcIdx162_1, AMFBS_None, { MCK_AX, MCK_SrcIdx16 }, },
{ 4534 /* loop */, X86::LOOP, Convert__AbsMem1_0, AMFBS_None, { MCK_AbsMem }, },
{ 4539 /* loope */, X86::LOOPE, Convert__AbsMem1_0, AMFBS_None, { MCK_AbsMem }, },
{ 4545 /* loopne */, X86::LOOPNE, Convert__AbsMem1_0, AMFBS_None, { MCK_AbsMem }, },
{ 4570 /* lsl */, X86::LSL16rr, Convert__Reg1_0__GR16orGR32orGR641_1, AMFBS_None, { MCK_GR16, MCK_GR16orGR32orGR64 }, },
{ 4570 /* lsl */, X86::LSL16rm, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_GR16, MCK_Mem16 }, },
{ 4570 /* lsl */, X86::LSL32rr, Convert__Reg1_0__GR16orGR32orGR641_1, AMFBS_None, { MCK_GR32, MCK_GR16orGR32orGR64 }, },
{ 4570 /* lsl */, X86::LSL32rm, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_GR32, MCK_Mem16 }, },
{ 4570 /* lsl */, X86::LSL64rr, Convert__Reg1_0__GR16orGR32orGR641_1, AMFBS_None, { MCK_GR64, MCK_GR16orGR32orGR64 }, },
{ 4570 /* lsl */, X86::LSL64rm, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_GR64, MCK_Mem16 }, },
{ 4589 /* lss */, X86::LSS16rm, Convert__Reg1_0__Mem5_1, AMFBS_None, { MCK_GR16, MCK_Mem }, },
{ 4589 /* lss */, X86::LSS32rm, Convert__Reg1_0__Mem5_1, AMFBS_None, { MCK_GR32, MCK_Mem }, },
{ 4589 /* lss */, X86::LSS64rm, Convert__Reg1_0__Mem5_1, AMFBS_None, { MCK_GR64, MCK_Mem }, },
{ 4608 /* ltr */, X86::LTRr, Convert__Reg1_0, AMFBS_None, { MCK_GR16 }, },
{ 4608 /* ltr */, X86::LTRm, Convert__Mem165_0, AMFBS_None, { MCK_Mem16 }, },
{ 4617 /* lwpins */, X86::LWPINS32rri, Convert__Reg1_0__Reg1_1__Imm1_2, AMFBS_None, { MCK_GR32, MCK_GR32, MCK_Imm }, },
{ 4617 /* lwpins */, X86::LWPINS32rmi, Convert__Reg1_0__Mem325_1__Imm1_2, AMFBS_None, { MCK_GR32, MCK_Mem32, MCK_Imm }, },
{ 4617 /* lwpins */, X86::LWPINS64rri, Convert__Reg1_0__Reg1_1__Imm1_2, AMFBS_None, { MCK_GR64, MCK_GR32, MCK_Imm }, },
{ 4617 /* lwpins */, X86::LWPINS64rmi, Convert__Reg1_0__Mem325_1__Imm1_2, AMFBS_None, { MCK_GR64, MCK_Mem32, MCK_Imm }, },
{ 4624 /* lwpval */, X86::LWPVAL32rri, Convert__Reg1_0__Reg1_1__Imm1_2, AMFBS_None, { MCK_GR32, MCK_GR32, MCK_Imm }, },
{ 4624 /* lwpval */, X86::LWPVAL32rmi, Convert__Reg1_0__Mem325_1__Imm1_2, AMFBS_None, { MCK_GR32, MCK_Mem32, MCK_Imm }, },
{ 4624 /* lwpval */, X86::LWPVAL64rri, Convert__Reg1_0__Reg1_1__Imm1_2, AMFBS_None, { MCK_GR64, MCK_GR32, MCK_Imm }, },
{ 4624 /* lwpval */, X86::LWPVAL64rmi, Convert__Reg1_0__Mem325_1__Imm1_2, AMFBS_None, { MCK_GR64, MCK_Mem32, MCK_Imm }, },
{ 4631 /* lzcnt */, X86::LZCNT16rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR16, MCK_GR16 }, },
{ 4631 /* lzcnt */, X86::LZCNT16rm, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_GR16, MCK_Mem16 }, },
{ 4631 /* lzcnt */, X86::LZCNT32rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 4631 /* lzcnt */, X86::LZCNT32rm, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_GR32, MCK_Mem32 }, },
{ 4631 /* lzcnt */, X86::LZCNT64rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 4631 /* lzcnt */, X86::LZCNT64rm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_GR64, MCK_Mem64 }, },
{ 4658 /* maskmovdqu */, X86::MASKMOVDQU64, Convert__Reg1_0__Reg1_1, AMFBS_In64BitMode, { MCK_FR16, MCK_FR16 }, },
{ 4658 /* maskmovdqu */, X86::MASKMOVDQU, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 4669 /* maskmovq */, X86::MMX_MASKMOVQ, Convert__Reg1_0__Reg1_1, AMFBS_Not64BitMode, { MCK_VR64, MCK_VR64 }, },
{ 4669 /* maskmovq */, X86::MMX_MASKMOVQ64, Convert__Reg1_0__Reg1_1, AMFBS_In64BitMode, { MCK_VR64, MCK_VR64 }, },
{ 4678 /* maxpd */, X86::MAXPDrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 4678 /* maxpd */, X86::MAXPDrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 4684 /* maxps */, X86::MAXPSrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 4684 /* maxps */, X86::MAXPSrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 4690 /* maxsd */, X86::MAXSDrr_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 4690 /* maxsd */, X86::MAXSDrm_Int, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_FR16, MCK_Mem64 }, },
{ 4696 /* maxss */, X86::MAXSSrr_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 4696 /* maxss */, X86::MAXSSrm_Int, Convert__Reg1_0__Tie0_1_1__Mem325_1, AMFBS_None, { MCK_FR16, MCK_Mem32 }, },
{ 4702 /* mfence */, X86::MFENCE, Convert_NoOperands, AMFBS_None, { }, },
{ 4709 /* minpd */, X86::MINPDrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 4709 /* minpd */, X86::MINPDrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 4715 /* minps */, X86::MINPSrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 4715 /* minps */, X86::MINPSrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 4721 /* minsd */, X86::MINSDrr_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 4721 /* minsd */, X86::MINSDrm_Int, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_FR16, MCK_Mem64 }, },
{ 4727 /* minss */, X86::MINSSrr_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 4727 /* minss */, X86::MINSSrm_Int, Convert__Reg1_0__Tie0_1_1__Mem325_1, AMFBS_None, { MCK_FR16, MCK_Mem32 }, },
{ 4733 /* monitor */, X86::MONITOR32rrr, Convert_NoOperands, AMFBS_Not64BitMode, { }, },
{ 4733 /* monitor */, X86::MONITOR64rrr, Convert_NoOperands, AMFBS_In64BitMode, { }, },
{ 4733 /* monitor */, X86::MONITOR32rrr, Convert_NoOperands, AMFBS_Not64BitMode, { MCK_EDX, MCK_ECX, MCK_EAX }, },
{ 4733 /* monitor */, X86::MONITOR64rrr, Convert_NoOperands, AMFBS_In64BitMode, { MCK_RDX, MCK_RCX, MCK_RAX }, },
{ 4741 /* monitorx */, X86::MONITORX32rrr, Convert_NoOperands, AMFBS_Not64BitMode, { }, },
{ 4741 /* monitorx */, X86::MONITORX64rrr, Convert_NoOperands, AMFBS_In64BitMode, { }, },
{ 4741 /* monitorx */, X86::MONITORX32rrr, Convert_NoOperands, AMFBS_Not64BitMode, { MCK_EDX, MCK_ECX, MCK_EAX }, },
{ 4741 /* monitorx */, X86::MONITORX64rrr, Convert_NoOperands, AMFBS_In64BitMode, { MCK_RDX, MCK_RCX, MCK_RAX }, },
{ 4750 /* montmul */, X86::MONTMUL, Convert_NoOperands, AMFBS_None, { }, },
{ 4758 /* mov */, X86::MOV8ao16, Convert__MemOffs16_82_1, AMFBS_None, { MCK_AL, MCK_MemOffs16_8 }, },
{ 4758 /* mov */, X86::MOV8ao32, Convert__MemOffs32_82_1, AMFBS_None, { MCK_AL, MCK_MemOffs32_8 }, },
{ 4758 /* mov */, X86::MOV16ao16, Convert__MemOffs16_162_1, AMFBS_None, { MCK_AX, MCK_MemOffs16_16 }, },
{ 4758 /* mov */, X86::MOV16ao32, Convert__MemOffs32_162_1, AMFBS_None, { MCK_AX, MCK_MemOffs32_16 }, },
{ 4758 /* mov */, X86::MOV32ao16, Convert__MemOffs16_322_1, AMFBS_None, { MCK_EAX, MCK_MemOffs16_32 }, },
{ 4758 /* mov */, X86::MOV32ao32, Convert__MemOffs32_322_1, AMFBS_None, { MCK_EAX, MCK_MemOffs32_32 }, },
{ 4758 /* mov */, X86::MOV64ao32, Convert__MemOffs32_642_1, AMFBS_None, { MCK_RAX, MCK_MemOffs32_64 }, },
{ 4758 /* mov */, X86::MOV16sr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_SEGMENT_REG, MCK_GR16 }, },
{ 4758 /* mov */, X86::MOV32sr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_SEGMENT_REG, MCK_GR32 }, },
{ 4758 /* mov */, X86::MOV64sr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_SEGMENT_REG, MCK_GR64 }, },
{ 4758 /* mov */, X86::MOV16sm, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_SEGMENT_REG, MCK_Mem16 }, },
{ 4758 /* mov */, X86::MOV32cr, Convert__Reg1_0__Reg1_1, AMFBS_Not64BitMode, { MCK_CONTROL_REG, MCK_GR32 }, },
{ 4758 /* mov */, X86::MOV64cr, Convert__Reg1_0__Reg1_1, AMFBS_In64BitMode, { MCK_CONTROL_REG, MCK_GR64 }, },
{ 4758 /* mov */, X86::MOV32dr, Convert__Reg1_0__Reg1_1, AMFBS_Not64BitMode, { MCK_DEBUG_REG, MCK_GR32 }, },
{ 4758 /* mov */, X86::MOV64dr, Convert__Reg1_0__Reg1_1, AMFBS_In64BitMode, { MCK_DEBUG_REG, MCK_GR64 }, },
{ 4758 /* mov */, X86::MOV16rs, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR16, MCK_SEGMENT_REG }, },
{ 4758 /* mov */, X86::MOV16rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR16, MCK_GR16 }, },
{ 4758 /* mov */, X86::MOV16ri, Convert__Reg1_0__Imm1_1, AMFBS_None, { MCK_GR16, MCK_Imm }, },
{ 4758 /* mov */, X86::MOV16rm, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_GR16, MCK_Mem16 }, },
{ 4758 /* mov */, X86::MOV32rs, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR32, MCK_SEGMENT_REG }, },
{ 4758 /* mov */, X86::MOV32rc, Convert__Reg1_0__Reg1_1, AMFBS_Not64BitMode, { MCK_GR32, MCK_CONTROL_REG }, },
{ 4758 /* mov */, X86::MOV32rd, Convert__Reg1_0__Reg1_1, AMFBS_Not64BitMode, { MCK_GR32, MCK_DEBUG_REG }, },
{ 4758 /* mov */, X86::MOV32rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 4758 /* mov */, X86::MOV32ri, Convert__Reg1_0__Imm1_1, AMFBS_None, { MCK_GR32, MCK_Imm }, },
{ 4758 /* mov */, X86::MOV32rm, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_GR32, MCK_Mem32 }, },
{ 4758 /* mov */, X86::MOV64rs, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR64, MCK_SEGMENT_REG }, },
{ 4758 /* mov */, X86::MOV64rc, Convert__Reg1_0__Reg1_1, AMFBS_In64BitMode, { MCK_GR64, MCK_CONTROL_REG }, },
{ 4758 /* mov */, X86::MOV64rd, Convert__Reg1_0__Reg1_1, AMFBS_In64BitMode, { MCK_GR64, MCK_DEBUG_REG }, },
{ 4758 /* mov */, X86::MOV64rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 4758 /* mov */, X86::MOV64ri32, Convert__Reg1_0__ImmSExti64i321_1, AMFBS_None, { MCK_GR64, MCK_ImmSExti64i32 }, },
{ 4758 /* mov */, X86::MOV64ri, Convert__Reg1_0__Imm1_1, AMFBS_None, { MCK_GR64, MCK_Imm }, },
{ 4758 /* mov */, X86::MOV64rm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_GR64, MCK_Mem64 }, },
{ 4758 /* mov */, X86::MOV8rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR8, MCK_GR8 }, },
{ 4758 /* mov */, X86::MOV8ri, Convert__Reg1_0__Imm1_1, AMFBS_None, { MCK_GR8, MCK_Imm }, },
{ 4758 /* mov */, X86::MOV8rm, Convert__Reg1_0__Mem85_1, AMFBS_None, { MCK_GR8, MCK_Mem8 }, },
{ 4758 /* mov */, X86::MOV16o16a, Convert__MemOffs16_162_0, AMFBS_None, { MCK_MemOffs16_16, MCK_AX }, },
{ 4758 /* mov */, X86::MOV32o16a, Convert__MemOffs16_322_0, AMFBS_None, { MCK_MemOffs16_32, MCK_EAX }, },
{ 4758 /* mov */, X86::MOV8o16a, Convert__MemOffs16_82_0, AMFBS_None, { MCK_MemOffs16_8, MCK_AL }, },
{ 4758 /* mov */, X86::MOV16o32a, Convert__MemOffs32_162_0, AMFBS_None, { MCK_MemOffs32_16, MCK_AX }, },
{ 4758 /* mov */, X86::MOV32o32a, Convert__MemOffs32_322_0, AMFBS_None, { MCK_MemOffs32_32, MCK_EAX }, },
{ 4758 /* mov */, X86::MOV64o32a, Convert__MemOffs32_642_0, AMFBS_None, { MCK_MemOffs32_64, MCK_RAX }, },
{ 4758 /* mov */, X86::MOV8o32a, Convert__MemOffs32_82_0, AMFBS_None, { MCK_MemOffs32_8, MCK_AL }, },
{ 4758 /* mov */, X86::MOV16ms, Convert__Mem165_0__Reg1_1, AMFBS_None, { MCK_Mem16, MCK_SEGMENT_REG }, },
{ 4758 /* mov */, X86::MOV16mr, Convert__Mem165_0__Reg1_1, AMFBS_None, { MCK_Mem16, MCK_GR16 }, },
{ 4758 /* mov */, X86::MOV16mi, Convert__Mem165_0__Imm1_1, AMFBS_None, { MCK_Mem16, MCK_Imm }, },
{ 4758 /* mov */, X86::MOV32mr, Convert__Mem325_0__Reg1_1, AMFBS_None, { MCK_Mem32, MCK_GR32 }, },
{ 4758 /* mov */, X86::MOV32mi, Convert__Mem325_0__Imm1_1, AMFBS_None, { MCK_Mem32, MCK_Imm }, },
{ 4758 /* mov */, X86::MOV64mr, Convert__Mem645_0__Reg1_1, AMFBS_None, { MCK_Mem64, MCK_GR64 }, },
{ 4758 /* mov */, X86::MOV64mi32, Convert__Mem645_0__ImmSExti64i321_1, AMFBS_In64BitMode, { MCK_Mem64, MCK_ImmSExti64i32 }, },
{ 4758 /* mov */, X86::MOV8mr, Convert__Mem85_0__Reg1_1, AMFBS_None, { MCK_Mem8, MCK_GR8 }, },
{ 4758 /* mov */, X86::MOV8mi, Convert__Mem85_0__Imm1_1, AMFBS_None, { MCK_Mem8, MCK_Imm }, },
{ 4762 /* mov.s */, X86::MOV16rr_REV, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR16, MCK_GR16 }, },
{ 4762 /* mov.s */, X86::MOV32rr_REV, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 4762 /* mov.s */, X86::MOV64rr_REV, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 4762 /* mov.s */, X86::MOV8rr_REV, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR8, MCK_GR8 }, },
{ 4768 /* movabs */, X86::MOV8ao64, Convert__MemOffs64_82_1, AMFBS_None, { MCK_AL, MCK_MemOffs64_8 }, },
{ 4768 /* movabs */, X86::MOV16ao64, Convert__MemOffs64_162_1, AMFBS_None, { MCK_AX, MCK_MemOffs64_16 }, },
{ 4768 /* movabs */, X86::MOV32ao64, Convert__MemOffs64_322_1, AMFBS_None, { MCK_EAX, MCK_MemOffs64_32 }, },
{ 4768 /* movabs */, X86::MOV64ao64, Convert__MemOffs64_642_1, AMFBS_None, { MCK_RAX, MCK_MemOffs64_64 }, },
{ 4768 /* movabs */, X86::MOV64ri, Convert__Reg1_0__Imm1_1, AMFBS_None, { MCK_GR64, MCK_Imm }, },
{ 4768 /* movabs */, X86::MOV16o64a, Convert__MemOffs64_162_0, AMFBS_None, { MCK_MemOffs64_16, MCK_AX }, },
{ 4768 /* movabs */, X86::MOV32o64a, Convert__MemOffs64_322_0, AMFBS_None, { MCK_MemOffs64_32, MCK_EAX }, },
{ 4768 /* movabs */, X86::MOV64o64a, Convert__MemOffs64_642_0, AMFBS_None, { MCK_MemOffs64_64, MCK_RAX }, },
{ 4768 /* movabs */, X86::MOV8o64a, Convert__MemOffs64_82_0, AMFBS_None, { MCK_MemOffs64_8, MCK_AL }, },
{ 4807 /* movapd */, X86::MOVAPDrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 4807 /* movapd */, X86::MOVAPDrm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 4807 /* movapd */, X86::MOVAPDmr, Convert__Mem1285_0__Reg1_1, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 4814 /* movapd.s */, X86::MOVAPDrr_REV, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 4823 /* movaps */, X86::MOVAPSrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 4823 /* movaps */, X86::MOVAPSrm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 4823 /* movaps */, X86::MOVAPSmr, Convert__Mem1285_0__Reg1_1, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 4830 /* movaps.s */, X86::MOVAPSrr_REV, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 4851 /* movbe */, X86::MOVBE16rm, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_GR16, MCK_Mem16 }, },
{ 4851 /* movbe */, X86::MOVBE32rm, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_GR32, MCK_Mem32 }, },
{ 4851 /* movbe */, X86::MOVBE64rm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_GR64, MCK_Mem64 }, },
{ 4851 /* movbe */, X86::MOVBE16mr, Convert__Mem165_0__Reg1_1, AMFBS_None, { MCK_Mem16, MCK_GR16 }, },
{ 4851 /* movbe */, X86::MOVBE32mr, Convert__Mem325_0__Reg1_1, AMFBS_None, { MCK_Mem32, MCK_GR32 }, },
{ 4851 /* movbe */, X86::MOVBE64mr, Convert__Mem645_0__Reg1_1, AMFBS_None, { MCK_Mem64, MCK_GR64 }, },
{ 4878 /* movd */, X86::MMX_MOVD64rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR64, MCK_GR32 }, },
{ 4878 /* movd */, X86::MMX_MOVD64to64rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR64, MCK_GR64 }, },
{ 4878 /* movd */, X86::MMX_MOVD64rm, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_VR64, MCK_Mem32 }, },
{ 4878 /* movd */, X86::MOVDI2PDIrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_GR32 }, },
{ 4878 /* movd */, X86::MOV64toPQIrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_GR64 }, },
{ 4878 /* movd */, X86::MOVDI2PDIrm, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_FR16, MCK_Mem32 }, },
{ 4878 /* movd */, X86::MMX_MOVD64grr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR32, MCK_VR64 }, },
{ 4878 /* movd */, X86::MOVPDI2DIrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR32, MCK_FR16 }, },
{ 4878 /* movd */, X86::MMX_MOVD64from64rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR64, MCK_VR64 }, },
{ 4878 /* movd */, X86::MOVPQIto64rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR64, MCK_FR16 }, },
{ 4878 /* movd */, X86::MMX_MOVD64mr, Convert__Mem325_0__Reg1_1, AMFBS_None, { MCK_Mem32, MCK_VR64 }, },
{ 4878 /* movd */, X86::MOVPDI2DImr, Convert__Mem325_0__Reg1_1, AMFBS_None, { MCK_Mem32, MCK_FR16 }, },
{ 4883 /* movddup */, X86::MOVDDUPrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 4883 /* movddup */, X86::MOVDDUPrm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_FR16, MCK_Mem64 }, },
{ 4891 /* movdir64b */, X86::MOVDIR64B16, Convert__Reg1_0__Mem5125_1, AMFBS_Not64BitMode, { MCK_GR16, MCK_Mem512 }, },
{ 4891 /* movdir64b */, X86::MOVDIR64B32, Convert__Reg1_0__Mem5125_1, AMFBS_None, { MCK_GR32, MCK_Mem512 }, },
{ 4891 /* movdir64b */, X86::MOVDIR64B64, Convert__Reg1_0__Mem5125_1, AMFBS_In64BitMode, { MCK_GR64, MCK_Mem512 }, },
{ 4901 /* movdiri */, X86::MOVDIRI32, Convert__Mem325_0__Reg1_1, AMFBS_None, { MCK_Mem32, MCK_GR32 }, },
{ 4901 /* movdiri */, X86::MOVDIRI64, Convert__Mem645_0__Reg1_1, AMFBS_In64BitMode, { MCK_Mem64, MCK_GR64 }, },
{ 4909 /* movdq2q */, X86::MMX_MOVDQ2Qrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR64, MCK_FR16 }, },
{ 4917 /* movdqa */, X86::MOVDQArr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 4917 /* movdqa */, X86::MOVDQArm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 4917 /* movdqa */, X86::MOVDQAmr, Convert__Mem1285_0__Reg1_1, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 4924 /* movdqa.s */, X86::MOVDQArr_REV, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 4933 /* movdqu */, X86::MOVDQUrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 4933 /* movdqu */, X86::MOVDQUrm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 4933 /* movdqu */, X86::MOVDQUmr, Convert__Mem1285_0__Reg1_1, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 4940 /* movdqu.s */, X86::MOVDQUrr_REV, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 4949 /* movhlps */, X86::MOVHLPSrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 4957 /* movhpd */, X86::MOVHPDrm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_FR16, MCK_Mem64 }, },
{ 4957 /* movhpd */, X86::MOVHPDmr, Convert__Mem645_0__Reg1_1, AMFBS_None, { MCK_Mem64, MCK_FR16 }, },
{ 4964 /* movhps */, X86::MOVHPSrm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_FR16, MCK_Mem64 }, },
{ 4964 /* movhps */, X86::MOVHPSmr, Convert__Mem645_0__Reg1_1, AMFBS_None, { MCK_Mem64, MCK_FR16 }, },
{ 4983 /* movlhps */, X86::MOVLHPSrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 4991 /* movlpd */, X86::MOVLPDrm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_FR16, MCK_Mem64 }, },
{ 4991 /* movlpd */, X86::MOVLPDmr, Convert__Mem645_0__Reg1_1, AMFBS_None, { MCK_Mem64, MCK_FR16 }, },
{ 4998 /* movlps */, X86::MOVLPSrm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_FR16, MCK_Mem64 }, },
{ 4998 /* movlps */, X86::MOVLPSmr, Convert__Mem645_0__Reg1_1, AMFBS_None, { MCK_Mem64, MCK_FR16 }, },
{ 5005 /* movmskpd */, X86::MOVMSKPDrr, Convert__GR32orGR641_0__Reg1_1, AMFBS_None, { MCK_GR32orGR64, MCK_FR16 }, },
{ 5014 /* movmskps */, X86::MOVMSKPSrr, Convert__GR32orGR641_0__Reg1_1, AMFBS_None, { MCK_GR32orGR64, MCK_FR16 }, },
{ 5023 /* movntdq */, X86::MOVNTDQmr, Convert__Mem1285_0__Reg1_1, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 5031 /* movntdqa */, X86::MOVNTDQArm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 5040 /* movnti */, X86::MOVNTImr, Convert__Mem325_0__Reg1_1, AMFBS_None, { MCK_Mem32, MCK_GR32 }, },
{ 5040 /* movnti */, X86::MOVNTI_64mr, Convert__Mem645_0__Reg1_1, AMFBS_None, { MCK_Mem64, MCK_GR64 }, },
{ 5063 /* movntpd */, X86::MOVNTPDmr, Convert__Mem1285_0__Reg1_1, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 5071 /* movntps */, X86::MOVNTPSmr, Convert__Mem1285_0__Reg1_1, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 5079 /* movntq */, X86::MMX_MOVNTQmr, Convert__Mem645_0__Reg1_1, AMFBS_None, { MCK_Mem64, MCK_VR64 }, },
{ 5086 /* movntsd */, X86::MOVNTSD, Convert__Mem645_0__Reg1_1, AMFBS_None, { MCK_Mem64, MCK_FR16 }, },
{ 5094 /* movntss */, X86::MOVNTSS, Convert__Mem325_0__Reg1_1, AMFBS_None, { MCK_Mem32, MCK_FR16 }, },
{ 5102 /* movq */, X86::MMX_MOVQ64rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 5102 /* movq */, X86::MMX_MOVD64to64rr, Convert__Reg1_0__Reg1_1, AMFBS_In64BitMode, { MCK_VR64, MCK_GR64 }, },
{ 5102 /* movq */, X86::MMX_MOVQ64rm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_VR64, MCK_Mem64 }, },
{ 5102 /* movq */, X86::MOVZPQILo2PQIrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5102 /* movq */, X86::MOV64toPQIrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_GR64 }, },
{ 5102 /* movq */, X86::MOVQI2PQIrm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_FR16, MCK_Mem64 }, },
{ 5102 /* movq */, X86::MMX_MOVD64from64rr, Convert__Reg1_0__Reg1_1, AMFBS_In64BitMode, { MCK_GR64, MCK_VR64 }, },
{ 5102 /* movq */, X86::MOVPQIto64rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR64, MCK_FR16 }, },
{ 5102 /* movq */, X86::MMX_MOVQ64mr, Convert__Mem645_0__Reg1_1, AMFBS_None, { MCK_Mem64, MCK_VR64 }, },
{ 5102 /* movq */, X86::MOVPQI2QImr, Convert__Mem645_0__Reg1_1, AMFBS_None, { MCK_Mem64, MCK_FR16 }, },
{ 5107 /* movq.s */, X86::MMX_MOVQ64rr_REV, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 5107 /* movq.s */, X86::MOVPQI2QIrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5114 /* movq2dq */, X86::MMX_MOVQ2DQrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_VR64 }, },
{ 5122 /* movs */, X86::MOVSW, Convert__DstIdx161_0__SrcIdx162_1, AMFBS_None, { MCK_DstIdx16, MCK_SrcIdx16 }, },
{ 5122 /* movs */, X86::MOVSL, Convert__DstIdx321_0__SrcIdx322_1, AMFBS_None, { MCK_DstIdx32, MCK_SrcIdx32 }, },
{ 5122 /* movs */, X86::MOVSQ, Convert__DstIdx641_0__SrcIdx642_1, AMFBS_In64BitMode, { MCK_DstIdx64, MCK_SrcIdx64 }, },
{ 5122 /* movs */, X86::MOVSB, Convert__DstIdx81_0__SrcIdx82_1, AMFBS_None, { MCK_DstIdx8, MCK_SrcIdx8 }, },
{ 5127 /* movsb */, X86::MOVSB, Convert__DstIdx81_0__SrcIdx82_1, AMFBS_None, { MCK_DstIdx8, MCK_SrcIdx8 }, },
{ 5154 /* movsd */, X86::MOVSDrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5154 /* movsd */, X86::MOVSDrm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_FR16, MCK_Mem64 }, },
{ 5154 /* movsd */, X86::MOVSL, Convert__DstIdx321_0__SrcIdx322_1, AMFBS_None, { MCK_DstIdx32, MCK_SrcIdx32 }, },
{ 5154 /* movsd */, X86::MOVSDmr, Convert__Mem645_0__Reg1_1, AMFBS_None, { MCK_Mem64, MCK_FR16 }, },
{ 5160 /* movsd.s */, X86::MOVSDrr_REV, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5168 /* movshdup */, X86::MOVSHDUPrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5168 /* movshdup */, X86::MOVSHDUPrm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 5183 /* movsldup */, X86::MOVSLDUPrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5183 /* movsldup */, X86::MOVSLDUPrm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 5199 /* movsq */, X86::MOVSQ, Convert__DstIdx641_0__SrcIdx642_1, AMFBS_In64BitMode, { MCK_DstIdx64, MCK_SrcIdx64 }, },
{ 5205 /* movss */, X86::MOVSSrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5205 /* movss */, X86::MOVSSrm, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_FR16, MCK_Mem32 }, },
{ 5205 /* movss */, X86::MOVSSmr, Convert__Mem325_0__Reg1_1, AMFBS_None, { MCK_Mem32, MCK_FR16 }, },
{ 5211 /* movss.s */, X86::MOVSSrr_REV, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5219 /* movsw */, X86::MOVSW, Convert__DstIdx161_0__SrcIdx162_1, AMFBS_None, { MCK_DstIdx16, MCK_SrcIdx16 }, },
{ 5239 /* movsx */, X86::MOVSX16rr8, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR16, MCK_GR8 }, },
{ 5239 /* movsx */, X86::MOVSX16rm8, Convert__Reg1_0__Mem85_1, AMFBS_None, { MCK_GR16, MCK_Mem8 }, },
{ 5239 /* movsx */, X86::MOVSX32rr16, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR32, MCK_GR16 }, },
{ 5239 /* movsx */, X86::MOVSX32rr8, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR32, MCK_GR8 }, },
{ 5239 /* movsx */, X86::MOVSX32rm16, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_GR32, MCK_Mem16 }, },
{ 5239 /* movsx */, X86::MOVSX32rm8, Convert__Reg1_0__Mem85_1, AMFBS_None, { MCK_GR32, MCK_Mem8 }, },
{ 5239 /* movsx */, X86::MOVSX64rr16, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR64, MCK_GR16 }, },
{ 5239 /* movsx */, X86::MOVSX64rr8, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR64, MCK_GR8 }, },
{ 5239 /* movsx */, X86::MOVSX64rm16, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_GR64, MCK_Mem16 }, },
{ 5239 /* movsx */, X86::MOVSX64rm8, Convert__Reg1_0__Mem85_1, AMFBS_None, { MCK_GR64, MCK_Mem8 }, },
{ 5245 /* movsxd */, X86::MOVSX64rr32, Convert__Reg1_0__Reg1_1, AMFBS_In64BitMode, { MCK_GR64, MCK_GR32 }, },
{ 5245 /* movsxd */, X86::MOVSX64rm32, Convert__Reg1_0__Mem325_1, AMFBS_In64BitMode, { MCK_GR64, MCK_Mem32 }, },
{ 5252 /* movupd */, X86::MOVUPDrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5252 /* movupd */, X86::MOVUPDrm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 5252 /* movupd */, X86::MOVUPDmr, Convert__Mem1285_0__Reg1_1, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 5259 /* movupd.s */, X86::MOVUPDrr_REV, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5268 /* movups */, X86::MOVUPSrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5268 /* movups */, X86::MOVUPSrm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 5268 /* movups */, X86::MOVUPSmr, Convert__Mem1285_0__Reg1_1, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 5275 /* movups.s */, X86::MOVUPSrr_REV, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5331 /* movzx */, X86::MOVZX16rr8, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR16, MCK_GR8 }, },
{ 5331 /* movzx */, X86::MOVZX16rm8, Convert__Reg1_0__Mem85_1, AMFBS_None, { MCK_GR16, MCK_Mem8 }, },
{ 5331 /* movzx */, X86::MOVZX32rr16, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR32, MCK_GR16 }, },
{ 5331 /* movzx */, X86::MOVZX32rr8, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR32, MCK_GR8 }, },
{ 5331 /* movzx */, X86::MOVZX32rm16, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_GR32, MCK_Mem16 }, },
{ 5331 /* movzx */, X86::MOVZX32rm8, Convert__Reg1_0__Mem85_1, AMFBS_None, { MCK_GR32, MCK_Mem8 }, },
{ 5331 /* movzx */, X86::MOVZX64rr16, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR64, MCK_GR16 }, },
{ 5331 /* movzx */, X86::MOVZX64rr8, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR64, MCK_GR8 }, },
{ 5331 /* movzx */, X86::MOVZX64rm16, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_GR64, MCK_Mem16 }, },
{ 5331 /* movzx */, X86::MOVZX64rm8, Convert__Reg1_0__Mem85_1, AMFBS_None, { MCK_GR64, MCK_Mem8 }, },
{ 5337 /* mpsadbw */, X86::MPSADBWrri, Convert__Reg1_0__Tie0_1_1__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 5337 /* mpsadbw */, X86::MPSADBWrmi, Convert__Reg1_0__Tie0_1_1__Mem1285_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 5345 /* mul */, X86::MUL16r, Convert__Reg1_0, AMFBS_None, { MCK_GR16 }, },
{ 5345 /* mul */, X86::MUL32r, Convert__Reg1_0, AMFBS_None, { MCK_GR32 }, },
{ 5345 /* mul */, X86::MUL64r, Convert__Reg1_0, AMFBS_None, { MCK_GR64 }, },
{ 5345 /* mul */, X86::MUL8r, Convert__Reg1_0, AMFBS_None, { MCK_GR8 }, },
{ 5345 /* mul */, X86::MUL16m, Convert__Mem165_0, AMFBS_None, { MCK_Mem16 }, },
{ 5345 /* mul */, X86::MUL32m, Convert__Mem325_0, AMFBS_None, { MCK_Mem32 }, },
{ 5345 /* mul */, X86::MUL64m, Convert__Mem645_0, AMFBS_In64BitMode, { MCK_Mem64 }, },
{ 5345 /* mul */, X86::MUL8m, Convert__Mem85_0, AMFBS_None, { MCK_Mem8 }, },
{ 5359 /* mulpd */, X86::MULPDrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5359 /* mulpd */, X86::MULPDrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 5365 /* mulps */, X86::MULPSrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5365 /* mulps */, X86::MULPSrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 5376 /* mulsd */, X86::MULSDrr_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5376 /* mulsd */, X86::MULSDrm_Int, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_FR16, MCK_Mem64 }, },
{ 5382 /* mulss */, X86::MULSSrr_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5382 /* mulss */, X86::MULSSrm_Int, Convert__Reg1_0__Tie0_1_1__Mem325_1, AMFBS_None, { MCK_FR16, MCK_Mem32 }, },
{ 5393 /* mulx */, X86::MULX32rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GR32, MCK_GR32, MCK_GR32 }, },
{ 5393 /* mulx */, X86::MULX32rm, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_GR32, MCK_GR32, MCK_Mem32 }, },
{ 5393 /* mulx */, X86::MULX64rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GR64, MCK_GR64, MCK_GR64 }, },
{ 5393 /* mulx */, X86::MULX64rm, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_GR64, MCK_GR64, MCK_Mem64 }, },
{ 5410 /* mwait */, X86::MWAITrr, Convert_NoOperands, AMFBS_None, { }, },
{ 5410 /* mwait */, X86::MWAITrr, Convert_NoOperands, AMFBS_Not64BitMode, { MCK_ECX, MCK_EAX }, },
{ 5410 /* mwait */, X86::MWAITrr, Convert_NoOperands, AMFBS_In64BitMode, { MCK_RCX, MCK_RAX }, },
{ 5416 /* mwaitx */, X86::MWAITXrrr, Convert_NoOperands, AMFBS_None, { }, },
{ 5416 /* mwaitx */, X86::MWAITXrrr, Convert_NoOperands, AMFBS_Not64BitMode, { MCK_EBX, MCK_ECX, MCK_EAX }, },
{ 5416 /* mwaitx */, X86::MWAITXrrr, Convert_NoOperands, AMFBS_In64BitMode, { MCK_RBX, MCK_RCX, MCK_RAX }, },
{ 5423 /* neg */, X86::NEG16r, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR16 }, },
{ 5423 /* neg */, X86::NEG32r, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR32 }, },
{ 5423 /* neg */, X86::NEG64r, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR64 }, },
{ 5423 /* neg */, X86::NEG8r, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR8 }, },
{ 5423 /* neg */, X86::NEG16m, Convert__Mem165_0, AMFBS_None, { MCK_Mem16 }, },
{ 5423 /* neg */, X86::NEG32m, Convert__Mem325_0, AMFBS_None, { MCK_Mem32 }, },
{ 5423 /* neg */, X86::NEG64m, Convert__Mem645_0, AMFBS_In64BitMode, { MCK_Mem64 }, },
{ 5423 /* neg */, X86::NEG8m, Convert__Mem85_0, AMFBS_None, { MCK_Mem8 }, },
{ 5447 /* nop */, X86::NOOP, Convert_NoOperands, AMFBS_None, { }, },
{ 5447 /* nop */, X86::NOOPWr, Convert__Reg1_0, AMFBS_None, { MCK_GR16 }, },
{ 5447 /* nop */, X86::NOOPLr, Convert__Reg1_0, AMFBS_None, { MCK_GR32 }, },
{ 5447 /* nop */, X86::NOOPQr, Convert__Reg1_0, AMFBS_In64BitMode, { MCK_GR64 }, },
{ 5447 /* nop */, X86::NOOPW, Convert__Mem165_0, AMFBS_None, { MCK_Mem16 }, },
{ 5447 /* nop */, X86::NOOPL, Convert__Mem325_0, AMFBS_None, { MCK_Mem32 }, },
{ 5447 /* nop */, X86::NOOPQ, Convert__Mem645_0, AMFBS_In64BitMode, { MCK_Mem64 }, },
{ 5466 /* not */, X86::NOT16r, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR16 }, },
{ 5466 /* not */, X86::NOT32r, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR32 }, },
{ 5466 /* not */, X86::NOT64r, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR64 }, },
{ 5466 /* not */, X86::NOT8r, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR8 }, },
{ 5466 /* not */, X86::NOT16m, Convert__Mem165_0, AMFBS_None, { MCK_Mem16 }, },
{ 5466 /* not */, X86::NOT32m, Convert__Mem325_0, AMFBS_None, { MCK_Mem32 }, },
{ 5466 /* not */, X86::NOT64m, Convert__Mem645_0, AMFBS_In64BitMode, { MCK_Mem64 }, },
{ 5466 /* not */, X86::NOT8m, Convert__Mem85_0, AMFBS_None, { MCK_Mem8 }, },
{ 5490 /* or */, X86::OR8i8, Convert__Imm1_1, AMFBS_None, { MCK_AL, MCK_Imm }, },
{ 5490 /* or */, X86::OR16ri8, Convert__regAX__Tie0_1_1__ImmSExti16i81_1, AMFBS_None, { MCK_AX, MCK_ImmSExti16i8 }, },
{ 5490 /* or */, X86::OR16i16, Convert__Imm1_1, AMFBS_None, { MCK_AX, MCK_Imm }, },
{ 5490 /* or */, X86::OR32ri8, Convert__regEAX__Tie0_1_1__ImmSExti32i81_1, AMFBS_None, { MCK_EAX, MCK_ImmSExti32i8 }, },
{ 5490 /* or */, X86::OR32i32, Convert__Imm1_1, AMFBS_None, { MCK_EAX, MCK_Imm }, },
{ 5490 /* or */, X86::OR64ri8, Convert__regRAX__Tie0_1_1__ImmSExti64i81_1, AMFBS_None, { MCK_RAX, MCK_ImmSExti64i8 }, },
{ 5490 /* or */, X86::OR64i32, Convert__ImmSExti64i321_1, AMFBS_None, { MCK_RAX, MCK_ImmSExti64i32 }, },
{ 5490 /* or */, X86::OR16rr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_GR16, MCK_GR16 }, },
{ 5490 /* or */, X86::OR16ri8, Convert__Reg1_0__Tie0_1_1__ImmSExti16i81_1, AMFBS_None, { MCK_GR16, MCK_ImmSExti16i8 }, },
{ 5490 /* or */, X86::OR16ri, Convert__Reg1_0__Tie0_1_1__Imm1_1, AMFBS_None, { MCK_GR16, MCK_Imm }, },
{ 5490 /* or */, X86::OR16rm, Convert__Reg1_0__Tie0_1_1__Mem165_1, AMFBS_None, { MCK_GR16, MCK_Mem16 }, },
{ 5490 /* or */, X86::OR32rr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 5490 /* or */, X86::OR32ri8, Convert__Reg1_0__Tie0_1_1__ImmSExti32i81_1, AMFBS_None, { MCK_GR32, MCK_ImmSExti32i8 }, },
{ 5490 /* or */, X86::OR32ri, Convert__Reg1_0__Tie0_1_1__Imm1_1, AMFBS_None, { MCK_GR32, MCK_Imm }, },
{ 5490 /* or */, X86::OR32rm, Convert__Reg1_0__Tie0_1_1__Mem325_1, AMFBS_None, { MCK_GR32, MCK_Mem32 }, },
{ 5490 /* or */, X86::OR64rr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 5490 /* or */, X86::OR64ri8, Convert__Reg1_0__Tie0_1_1__ImmSExti64i81_1, AMFBS_None, { MCK_GR64, MCK_ImmSExti64i8 }, },
{ 5490 /* or */, X86::OR64ri32, Convert__Reg1_0__Tie0_1_1__ImmSExti64i321_1, AMFBS_None, { MCK_GR64, MCK_ImmSExti64i32 }, },
{ 5490 /* or */, X86::OR64rm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_GR64, MCK_Mem64 }, },
{ 5490 /* or */, X86::OR8rr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_GR8, MCK_GR8 }, },
{ 5490 /* or */, X86::OR8ri, Convert__Reg1_0__Tie0_1_1__Imm1_1, AMFBS_None, { MCK_GR8, MCK_Imm }, },
{ 5490 /* or */, X86::OR8rm, Convert__Reg1_0__Tie0_1_1__Mem85_1, AMFBS_None, { MCK_GR8, MCK_Mem8 }, },
{ 5490 /* or */, X86::OR16mr, Convert__Mem165_0__Reg1_1, AMFBS_None, { MCK_Mem16, MCK_GR16 }, },
{ 5490 /* or */, X86::OR16mi8, Convert__Mem165_0__ImmSExti16i81_1, AMFBS_None, { MCK_Mem16, MCK_ImmSExti16i8 }, },
{ 5490 /* or */, X86::OR16mi, Convert__Mem165_0__Imm1_1, AMFBS_None, { MCK_Mem16, MCK_Imm }, },
{ 5490 /* or */, X86::OR32mr, Convert__Mem325_0__Reg1_1, AMFBS_None, { MCK_Mem32, MCK_GR32 }, },
{ 5490 /* or */, X86::OR32mi8, Convert__Mem325_0__ImmSExti32i81_1, AMFBS_None, { MCK_Mem32, MCK_ImmSExti32i8 }, },
{ 5490 /* or */, X86::OR32mi, Convert__Mem325_0__Imm1_1, AMFBS_None, { MCK_Mem32, MCK_Imm }, },
{ 5490 /* or */, X86::OR64mr, Convert__Mem645_0__Reg1_1, AMFBS_None, { MCK_Mem64, MCK_GR64 }, },
{ 5490 /* or */, X86::OR64mi8, Convert__Mem645_0__ImmSExti64i81_1, AMFBS_In64BitMode, { MCK_Mem64, MCK_ImmSExti64i8 }, },
{ 5490 /* or */, X86::OR64mi32, Convert__Mem645_0__ImmSExti64i321_1, AMFBS_In64BitMode, { MCK_Mem64, MCK_ImmSExti64i32 }, },
{ 5490 /* or */, X86::OR8mr, Convert__Mem85_0__Reg1_1, AMFBS_None, { MCK_Mem8, MCK_GR8 }, },
{ 5490 /* or */, X86::OR8mi, Convert__Mem85_0__Imm1_1, AMFBS_None, { MCK_Mem8, MCK_Imm }, },
{ 5501 /* orpd */, X86::ORPDrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5501 /* orpd */, X86::ORPDrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 5506 /* orps */, X86::ORPSrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5506 /* orps */, X86::ORPSrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 5519 /* out */, X86::OUT8rr, Convert_NoOperands, AMFBS_None, { MCK_DX, MCK_AL }, },
{ 5519 /* out */, X86::OUT16rr, Convert_NoOperands, AMFBS_None, { MCK_DX, MCK_AX }, },
{ 5519 /* out */, X86::OUT32rr, Convert_NoOperands, AMFBS_None, { MCK_DX, MCK_EAX }, },
{ 5519 /* out */, X86::OUT8ir, Convert__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_AL }, },
{ 5519 /* out */, X86::OUT16ir, Convert__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_AX }, },
{ 5519 /* out */, X86::OUT32ir, Convert__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8, MCK_EAX }, },
{ 5523 /* outb */, X86::OUT8rr, Convert_NoOperands, AMFBS_None, { MCK_DX }, },
{ 5523 /* outb */, X86::OUT8ir, Convert__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8 }, },
{ 5528 /* outl */, X86::OUT32rr, Convert_NoOperands, AMFBS_None, { MCK_DX }, },
{ 5528 /* outl */, X86::OUT32ir, Convert__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8 }, },
{ 5533 /* outs */, X86::OUTSW, Convert__SrcIdx162_1, AMFBS_None, { MCK_DX, MCK_SrcIdx16 }, },
{ 5533 /* outs */, X86::OUTSL, Convert__SrcIdx322_1, AMFBS_None, { MCK_DX, MCK_SrcIdx32 }, },
{ 5533 /* outs */, X86::OUTSB, Convert__SrcIdx82_1, AMFBS_None, { MCK_DX, MCK_SrcIdx8 }, },
{ 5538 /* outsb */, X86::OUTSB, Convert__SrcIdx82_1, AMFBS_None, { MCK_DX, MCK_SrcIdx8 }, },
{ 5544 /* outsd */, X86::OUTSL, Convert__SrcIdx322_1, AMFBS_None, { MCK_DX, MCK_SrcIdx32 }, },
{ 5556 /* outsw */, X86::OUTSW, Convert__SrcIdx162_1, AMFBS_None, { MCK_DX, MCK_SrcIdx16 }, },
{ 5562 /* outw */, X86::OUT16rr, Convert_NoOperands, AMFBS_None, { MCK_DX }, },
{ 5562 /* outw */, X86::OUT16ir, Convert__ImmUnsignedi81_0, AMFBS_None, { MCK_ImmUnsignedi8 }, },
{ 5567 /* pabsb */, X86::MMX_PABSBrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 5567 /* pabsb */, X86::MMX_PABSBrm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_VR64, MCK_Mem64 }, },
{ 5567 /* pabsb */, X86::PABSBrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5567 /* pabsb */, X86::PABSBrm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 5573 /* pabsd */, X86::MMX_PABSDrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 5573 /* pabsd */, X86::MMX_PABSDrm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_VR64, MCK_Mem64 }, },
{ 5573 /* pabsd */, X86::PABSDrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5573 /* pabsd */, X86::PABSDrm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 5579 /* pabsw */, X86::MMX_PABSWrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 5579 /* pabsw */, X86::MMX_PABSWrm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_VR64, MCK_Mem64 }, },
{ 5579 /* pabsw */, X86::PABSWrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5579 /* pabsw */, X86::PABSWrm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 5585 /* packssdw */, X86::MMX_PACKSSDWrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 5585 /* packssdw */, X86::MMX_PACKSSDWrm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_VR64, MCK_Mem64 }, },
{ 5585 /* packssdw */, X86::PACKSSDWrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5585 /* packssdw */, X86::PACKSSDWrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 5594 /* packsswb */, X86::MMX_PACKSSWBrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 5594 /* packsswb */, X86::MMX_PACKSSWBrm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_VR64, MCK_Mem64 }, },
{ 5594 /* packsswb */, X86::PACKSSWBrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5594 /* packsswb */, X86::PACKSSWBrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 5603 /* packusdw */, X86::PACKUSDWrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5603 /* packusdw */, X86::PACKUSDWrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 5612 /* packuswb */, X86::MMX_PACKUSWBrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 5612 /* packuswb */, X86::MMX_PACKUSWBrm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_VR64, MCK_Mem64 }, },
{ 5612 /* packuswb */, X86::PACKUSWBrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5612 /* packuswb */, X86::PACKUSWBrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 5621 /* paddb */, X86::MMX_PADDBrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 5621 /* paddb */, X86::MMX_PADDBrm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_VR64, MCK_Mem64 }, },
{ 5621 /* paddb */, X86::PADDBrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5621 /* paddb */, X86::PADDBrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 5627 /* paddd */, X86::MMX_PADDDrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 5627 /* paddd */, X86::MMX_PADDDrm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_VR64, MCK_Mem64 }, },
{ 5627 /* paddd */, X86::PADDDrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5627 /* paddd */, X86::PADDDrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 5633 /* paddq */, X86::MMX_PADDQrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 5633 /* paddq */, X86::MMX_PADDQrm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_VR64, MCK_Mem64 }, },
{ 5633 /* paddq */, X86::PADDQrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5633 /* paddq */, X86::PADDQrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 5639 /* paddsb */, X86::MMX_PADDSBrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 5639 /* paddsb */, X86::MMX_PADDSBrm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_VR64, MCK_Mem64 }, },
{ 5639 /* paddsb */, X86::PADDSBrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5639 /* paddsb */, X86::PADDSBrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 5646 /* paddsw */, X86::MMX_PADDSWrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 5646 /* paddsw */, X86::MMX_PADDSWrm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_VR64, MCK_Mem64 }, },
{ 5646 /* paddsw */, X86::PADDSWrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5646 /* paddsw */, X86::PADDSWrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 5653 /* paddusb */, X86::MMX_PADDUSBrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 5653 /* paddusb */, X86::MMX_PADDUSBrm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_VR64, MCK_Mem64 }, },
{ 5653 /* paddusb */, X86::PADDUSBrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5653 /* paddusb */, X86::PADDUSBrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 5661 /* paddusw */, X86::MMX_PADDUSWrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 5661 /* paddusw */, X86::MMX_PADDUSWrm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_VR64, MCK_Mem64 }, },
{ 5661 /* paddusw */, X86::PADDUSWrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5661 /* paddusw */, X86::PADDUSWrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 5669 /* paddw */, X86::MMX_PADDWrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 5669 /* paddw */, X86::MMX_PADDWrm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_VR64, MCK_Mem64 }, },
{ 5669 /* paddw */, X86::PADDWrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5669 /* paddw */, X86::PADDWrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 5675 /* palignr */, X86::MMX_PALIGNRrri, Convert__Reg1_0__Tie0_1_1__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR64, MCK_VR64, MCK_ImmUnsignedi8 }, },
{ 5675 /* palignr */, X86::MMX_PALIGNRrmi, Convert__Reg1_0__Tie0_1_1__Mem645_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR64, MCK_Mem64, MCK_ImmUnsignedi8 }, },
{ 5675 /* palignr */, X86::PALIGNRrri, Convert__Reg1_0__Tie0_1_1__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 5675 /* palignr */, X86::PALIGNRrmi, Convert__Reg1_0__Tie0_1_1__Mem1285_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 5683 /* pand */, X86::MMX_PANDrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 5683 /* pand */, X86::MMX_PANDrm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_VR64, MCK_Mem64 }, },
{ 5683 /* pand */, X86::PANDrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5683 /* pand */, X86::PANDrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 5688 /* pandn */, X86::MMX_PANDNrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 5688 /* pandn */, X86::MMX_PANDNrm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_VR64, MCK_Mem64 }, },
{ 5688 /* pandn */, X86::PANDNrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5688 /* pandn */, X86::PANDNrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 5694 /* pause */, X86::PAUSE, Convert_NoOperands, AMFBS_None, { }, },
{ 5700 /* pavgb */, X86::MMX_PAVGBrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 5700 /* pavgb */, X86::MMX_PAVGBrm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_VR64, MCK_Mem64 }, },
{ 5700 /* pavgb */, X86::PAVGBrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5700 /* pavgb */, X86::PAVGBrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 5706 /* pavgusb */, X86::PAVGUSBrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 5706 /* pavgusb */, X86::PAVGUSBrm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_VR64, MCK_Mem64 }, },
{ 5714 /* pavgw */, X86::MMX_PAVGWrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 5714 /* pavgw */, X86::MMX_PAVGWrm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_VR64, MCK_Mem64 }, },
{ 5714 /* pavgw */, X86::PAVGWrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5714 /* pavgw */, X86::PAVGWrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 5720 /* pblendvb */, X86::PBLENDVBrr0, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5720 /* pblendvb */, X86::PBLENDVBrm0, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 5720 /* pblendvb */, X86::PBLENDVBrr0, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_XMM0 }, },
{ 5720 /* pblendvb */, X86::PBLENDVBrm0, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_XMM0 }, },
{ 5729 /* pblendw */, X86::PBLENDWrri, Convert__Reg1_0__Tie0_1_1__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 5729 /* pblendw */, X86::PBLENDWrmi, Convert__Reg1_0__Tie0_1_1__Mem1285_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 5737 /* pclmulhqhqdq */, X86::PCLMULQDQrr, Convert__Reg1_0__Tie0_1_1__Reg1_1__imm_95_17, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5737 /* pclmulhqhqdq */, X86::PCLMULQDQrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1__imm_95_17, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 5750 /* pclmulhqlqdq */, X86::PCLMULQDQrr, Convert__Reg1_0__Tie0_1_1__Reg1_1__imm_95_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5750 /* pclmulhqlqdq */, X86::PCLMULQDQrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1__imm_95_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 5763 /* pclmullqhqdq */, X86::PCLMULQDQrr, Convert__Reg1_0__Tie0_1_1__Reg1_1__imm_95_16, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5763 /* pclmullqhqdq */, X86::PCLMULQDQrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1__imm_95_16, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 5776 /* pclmullqlqdq */, X86::PCLMULQDQrr, Convert__Reg1_0__Tie0_1_1__Reg1_1__imm_95_0, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5776 /* pclmullqlqdq */, X86::PCLMULQDQrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1__imm_95_0, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 5789 /* pclmulqdq */, X86::PCLMULQDQrr, Convert__Reg1_0__Tie0_1_1__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 5789 /* pclmulqdq */, X86::PCLMULQDQrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 5799 /* pcmpeqb */, X86::MMX_PCMPEQBrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 5799 /* pcmpeqb */, X86::MMX_PCMPEQBrm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_VR64, MCK_Mem64 }, },
{ 5799 /* pcmpeqb */, X86::PCMPEQBrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5799 /* pcmpeqb */, X86::PCMPEQBrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 5807 /* pcmpeqd */, X86::MMX_PCMPEQDrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 5807 /* pcmpeqd */, X86::MMX_PCMPEQDrm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_VR64, MCK_Mem64 }, },
{ 5807 /* pcmpeqd */, X86::PCMPEQDrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5807 /* pcmpeqd */, X86::PCMPEQDrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 5815 /* pcmpeqq */, X86::PCMPEQQrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5815 /* pcmpeqq */, X86::PCMPEQQrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 5823 /* pcmpeqw */, X86::MMX_PCMPEQWrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 5823 /* pcmpeqw */, X86::MMX_PCMPEQWrm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_VR64, MCK_Mem64 }, },
{ 5823 /* pcmpeqw */, X86::PCMPEQWrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5823 /* pcmpeqw */, X86::PCMPEQWrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 5831 /* pcmpestri */, X86::PCMPESTRIrr, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 5831 /* pcmpestri */, X86::PCMPESTRIrm, Convert__Reg1_0__Mem1285_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 5841 /* pcmpestrm */, X86::PCMPESTRMrr, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 5841 /* pcmpestrm */, X86::PCMPESTRMrm, Convert__Reg1_0__Mem1285_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 5851 /* pcmpgtb */, X86::MMX_PCMPGTBrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 5851 /* pcmpgtb */, X86::MMX_PCMPGTBrm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_VR64, MCK_Mem64 }, },
{ 5851 /* pcmpgtb */, X86::PCMPGTBrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5851 /* pcmpgtb */, X86::PCMPGTBrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 5859 /* pcmpgtd */, X86::MMX_PCMPGTDrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 5859 /* pcmpgtd */, X86::MMX_PCMPGTDrm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_VR64, MCK_Mem64 }, },
{ 5859 /* pcmpgtd */, X86::PCMPGTDrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5859 /* pcmpgtd */, X86::PCMPGTDrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 5867 /* pcmpgtq */, X86::PCMPGTQrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5867 /* pcmpgtq */, X86::PCMPGTQrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 5875 /* pcmpgtw */, X86::MMX_PCMPGTWrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 5875 /* pcmpgtw */, X86::MMX_PCMPGTWrm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_VR64, MCK_Mem64 }, },
{ 5875 /* pcmpgtw */, X86::PCMPGTWrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 5875 /* pcmpgtw */, X86::PCMPGTWrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 5883 /* pcmpistri */, X86::PCMPISTRIrr, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 5883 /* pcmpistri */, X86::PCMPISTRIrm, Convert__Reg1_0__Mem1285_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 5893 /* pcmpistrm */, X86::PCMPISTRMrr, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 5893 /* pcmpistrm */, X86::PCMPISTRMrm, Convert__Reg1_0__Mem1285_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 5903 /* pconfig */, X86::PCONFIG, Convert_NoOperands, AMFBS_None, { }, },
{ 5911 /* pdep */, X86::PDEP32rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GR32, MCK_GR32, MCK_GR32 }, },
{ 5911 /* pdep */, X86::PDEP32rm, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_GR32, MCK_GR32, MCK_Mem32 }, },
{ 5911 /* pdep */, X86::PDEP64rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GR64, MCK_GR64, MCK_GR64 }, },
{ 5911 /* pdep */, X86::PDEP64rm, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_GR64, MCK_GR64, MCK_Mem64 }, },
{ 5928 /* pext */, X86::PEXT32rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GR32, MCK_GR32, MCK_GR32 }, },
{ 5928 /* pext */, X86::PEXT32rm, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_GR32, MCK_GR32, MCK_Mem32 }, },
{ 5928 /* pext */, X86::PEXT64rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GR64, MCK_GR64, MCK_GR64 }, },
{ 5928 /* pext */, X86::PEXT64rm, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_GR64, MCK_GR64, MCK_Mem64 }, },
{ 5945 /* pextrb */, X86::PEXTRBrr, Convert__GR32orGR641_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_GR32orGR64, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 5945 /* pextrb */, X86::PEXTRBmr, Convert__Mem85_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_Mem8, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 5952 /* pextrd */, X86::PEXTRDrr, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_GR32, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 5952 /* pextrd */, X86::PEXTRDmr, Convert__Mem325_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_Mem32, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 5959 /* pextrq */, X86::PEXTRQrr, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_GR64, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 5959 /* pextrq */, X86::PEXTRQmr, Convert__Mem645_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_Mem64, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 5966 /* pextrw */, X86::MMX_PEXTRWrr, Convert__GR32orGR641_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_GR32orGR64, MCK_VR64, MCK_ImmUnsignedi8 }, },
{ 5966 /* pextrw */, X86::PEXTRWrr, Convert__GR32orGR641_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_GR32orGR64, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 5966 /* pextrw */, X86::PEXTRWmr, Convert__Mem165_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_Mem16, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 5973 /* pf2id */, X86::PF2IDrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 5973 /* pf2id */, X86::PF2IDrm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_VR64, MCK_Mem64 }, },
{ 5979 /* pf2iw */, X86::PF2IWrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 5979 /* pf2iw */, X86::PF2IWrm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_VR64, MCK_Mem64 }, },
{ 5985 /* pfacc */, X86::PFACCrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 5985 /* pfacc */, X86::PFACCrm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_VR64, MCK_Mem64 }, },
{ 5991 /* pfadd */, X86::PFADDrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 5991 /* pfadd */, X86::PFADDrm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_VR64, MCK_Mem64 }, },
{ 5997 /* pfcmpeq */, X86::PFCMPEQrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 5997 /* pfcmpeq */, X86::PFCMPEQrm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_VR64, MCK_Mem64 }, },
{ 6005 /* pfcmpge */, X86::PFCMPGErr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6005 /* pfcmpge */, X86::PFCMPGErm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_VR64, MCK_Mem64 }, },
{ 6013 /* pfcmpgt */, X86::PFCMPGTrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6013 /* pfcmpgt */, X86::PFCMPGTrm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_VR64, MCK_Mem64 }, },
{ 6021 /* pfmax */, X86::PFMAXrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6021 /* pfmax */, X86::PFMAXrm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_VR64, MCK_Mem64 }, },
{ 6027 /* pfmin */, X86::PFMINrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6027 /* pfmin */, X86::PFMINrm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_VR64, MCK_Mem64 }, },
{ 6033 /* pfmul */, X86::PFMULrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6033 /* pfmul */, X86::PFMULrm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_VR64, MCK_Mem64 }, },
{ 6039 /* pfnacc */, X86::PFNACCrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6039 /* pfnacc */, X86::PFNACCrm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_VR64, MCK_Mem64 }, },
{ 6046 /* pfpnacc */, X86::PFPNACCrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6046 /* pfpnacc */, X86::PFPNACCrm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_VR64, MCK_Mem64 }, },
{ 6054 /* pfrcp */, X86::PFRCPrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6054 /* pfrcp */, X86::PFRCPrm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_VR64, MCK_Mem64 }, },
{ 6060 /* pfrcpit1 */, X86::PFRCPIT1rr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6060 /* pfrcpit1 */, X86::PFRCPIT1rm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_VR64, MCK_Mem64 }, },
{ 6069 /* pfrcpit2 */, X86::PFRCPIT2rr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6069 /* pfrcpit2 */, X86::PFRCPIT2rm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_VR64, MCK_Mem64 }, },
{ 6078 /* pfrsqit1 */, X86::PFRSQIT1rr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6078 /* pfrsqit1 */, X86::PFRSQIT1rm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_VR64, MCK_Mem64 }, },
{ 6087 /* pfrsqrt */, X86::PFRSQRTrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6087 /* pfrsqrt */, X86::PFRSQRTrm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_VR64, MCK_Mem64 }, },
{ 6095 /* pfsub */, X86::PFSUBrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6095 /* pfsub */, X86::PFSUBrm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_VR64, MCK_Mem64 }, },
{ 6101 /* pfsubr */, X86::PFSUBRrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6101 /* pfsubr */, X86::PFSUBRrm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_VR64, MCK_Mem64 }, },
{ 6108 /* phaddd */, X86::MMX_PHADDDrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6108 /* phaddd */, X86::MMX_PHADDDrm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_VR64, MCK_Mem64 }, },
{ 6108 /* phaddd */, X86::PHADDDrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6108 /* phaddd */, X86::PHADDDrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 6115 /* phaddsw */, X86::MMX_PHADDSWrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6115 /* phaddsw */, X86::MMX_PHADDSWrm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_VR64, MCK_Mem64 }, },
{ 6115 /* phaddsw */, X86::PHADDSWrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6115 /* phaddsw */, X86::PHADDSWrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 6123 /* phaddw */, X86::MMX_PHADDWrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6123 /* phaddw */, X86::MMX_PHADDWrm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_VR64, MCK_Mem64 }, },
{ 6123 /* phaddw */, X86::PHADDWrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6123 /* phaddw */, X86::PHADDWrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 6130 /* phminposuw */, X86::PHMINPOSUWrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6130 /* phminposuw */, X86::PHMINPOSUWrm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 6141 /* phsubd */, X86::MMX_PHSUBDrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6141 /* phsubd */, X86::MMX_PHSUBDrm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_VR64, MCK_Mem64 }, },
{ 6141 /* phsubd */, X86::PHSUBDrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6141 /* phsubd */, X86::PHSUBDrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 6148 /* phsubsw */, X86::MMX_PHSUBSWrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6148 /* phsubsw */, X86::MMX_PHSUBSWrm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_VR64, MCK_Mem64 }, },
{ 6148 /* phsubsw */, X86::PHSUBSWrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6148 /* phsubsw */, X86::PHSUBSWrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 6156 /* phsubw */, X86::MMX_PHSUBWrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6156 /* phsubw */, X86::MMX_PHSUBWrm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_VR64, MCK_Mem64 }, },
{ 6156 /* phsubw */, X86::PHSUBWrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6156 /* phsubw */, X86::PHSUBWrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 6163 /* pi2fd */, X86::PI2FDrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6163 /* pi2fd */, X86::PI2FDrm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_VR64, MCK_Mem64 }, },
{ 6169 /* pi2fw */, X86::PI2FWrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6169 /* pi2fw */, X86::PI2FWrm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_VR64, MCK_Mem64 }, },
{ 6175 /* pinsrb */, X86::PINSRBrr, Convert__Reg1_0__Tie0_1_1__GR32orGR641_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_GR32orGR64, MCK_ImmUnsignedi8 }, },
{ 6175 /* pinsrb */, X86::PINSRBrm, Convert__Reg1_0__Tie0_1_1__Mem85_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_Mem8, MCK_ImmUnsignedi8 }, },
{ 6182 /* pinsrd */, X86::PINSRDrr, Convert__Reg1_0__Tie0_1_1__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_GR32, MCK_ImmUnsignedi8 }, },
{ 6182 /* pinsrd */, X86::PINSRDrm, Convert__Reg1_0__Tie0_1_1__Mem325_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_Mem32, MCK_ImmUnsignedi8 }, },
{ 6189 /* pinsrq */, X86::PINSRQrr, Convert__Reg1_0__Tie0_1_1__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_GR64, MCK_ImmUnsignedi8 }, },
{ 6189 /* pinsrq */, X86::PINSRQrm, Convert__Reg1_0__Tie0_1_1__Mem645_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_Mem64, MCK_ImmUnsignedi8 }, },
{ 6196 /* pinsrw */, X86::MMX_PINSRWrr, Convert__Reg1_0__Tie0_1_1__GR32orGR641_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR64, MCK_GR32orGR64, MCK_ImmUnsignedi8 }, },
{ 6196 /* pinsrw */, X86::MMX_PINSRWrm, Convert__Reg1_0__Tie0_1_1__Mem165_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR64, MCK_Mem16, MCK_ImmUnsignedi8 }, },
{ 6196 /* pinsrw */, X86::PINSRWrr, Convert__Reg1_0__Tie0_1_1__GR32orGR641_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_GR32orGR64, MCK_ImmUnsignedi8 }, },
{ 6196 /* pinsrw */, X86::PINSRWrm, Convert__Reg1_0__Tie0_1_1__Mem165_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_Mem16, MCK_ImmUnsignedi8 }, },
{ 6203 /* pmaddubsw */, X86::MMX_PMADDUBSWrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6203 /* pmaddubsw */, X86::MMX_PMADDUBSWrm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_VR64, MCK_Mem64 }, },
{ 6203 /* pmaddubsw */, X86::PMADDUBSWrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6203 /* pmaddubsw */, X86::PMADDUBSWrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 6213 /* pmaddwd */, X86::MMX_PMADDWDrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6213 /* pmaddwd */, X86::MMX_PMADDWDrm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_VR64, MCK_Mem64 }, },
{ 6213 /* pmaddwd */, X86::PMADDWDrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6213 /* pmaddwd */, X86::PMADDWDrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 6221 /* pmaxsb */, X86::PMAXSBrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6221 /* pmaxsb */, X86::PMAXSBrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 6228 /* pmaxsd */, X86::PMAXSDrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6228 /* pmaxsd */, X86::PMAXSDrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 6235 /* pmaxsw */, X86::MMX_PMAXSWrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6235 /* pmaxsw */, X86::MMX_PMAXSWrm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_VR64, MCK_Mem64 }, },
{ 6235 /* pmaxsw */, X86::PMAXSWrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6235 /* pmaxsw */, X86::PMAXSWrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 6242 /* pmaxub */, X86::MMX_PMAXUBrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6242 /* pmaxub */, X86::MMX_PMAXUBrm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_VR64, MCK_Mem64 }, },
{ 6242 /* pmaxub */, X86::PMAXUBrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6242 /* pmaxub */, X86::PMAXUBrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 6249 /* pmaxud */, X86::PMAXUDrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6249 /* pmaxud */, X86::PMAXUDrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 6256 /* pmaxuw */, X86::PMAXUWrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6256 /* pmaxuw */, X86::PMAXUWrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 6263 /* pminsb */, X86::PMINSBrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6263 /* pminsb */, X86::PMINSBrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 6270 /* pminsd */, X86::PMINSDrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6270 /* pminsd */, X86::PMINSDrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 6277 /* pminsw */, X86::MMX_PMINSWrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6277 /* pminsw */, X86::MMX_PMINSWrm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_VR64, MCK_Mem64 }, },
{ 6277 /* pminsw */, X86::PMINSWrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6277 /* pminsw */, X86::PMINSWrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 6284 /* pminub */, X86::MMX_PMINUBrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6284 /* pminub */, X86::MMX_PMINUBrm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_VR64, MCK_Mem64 }, },
{ 6284 /* pminub */, X86::PMINUBrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6284 /* pminub */, X86::PMINUBrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 6291 /* pminud */, X86::PMINUDrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6291 /* pminud */, X86::PMINUDrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 6298 /* pminuw */, X86::PMINUWrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6298 /* pminuw */, X86::PMINUWrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 6305 /* pmovmskb */, X86::MMX_PMOVMSKBrr, Convert__GR32orGR641_0__Reg1_1, AMFBS_None, { MCK_GR32orGR64, MCK_VR64 }, },
{ 6305 /* pmovmskb */, X86::PMOVMSKBrr, Convert__GR32orGR641_0__Reg1_1, AMFBS_None, { MCK_GR32orGR64, MCK_FR16 }, },
{ 6314 /* pmovsxbd */, X86::PMOVSXBDrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6314 /* pmovsxbd */, X86::PMOVSXBDrm, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_FR16, MCK_Mem32 }, },
{ 6323 /* pmovsxbq */, X86::PMOVSXBQrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6323 /* pmovsxbq */, X86::PMOVSXBQrm, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_FR16, MCK_Mem16 }, },
{ 6332 /* pmovsxbw */, X86::PMOVSXBWrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6332 /* pmovsxbw */, X86::PMOVSXBWrm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_FR16, MCK_Mem64 }, },
{ 6341 /* pmovsxdq */, X86::PMOVSXDQrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6341 /* pmovsxdq */, X86::PMOVSXDQrm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_FR16, MCK_Mem64 }, },
{ 6350 /* pmovsxwd */, X86::PMOVSXWDrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6350 /* pmovsxwd */, X86::PMOVSXWDrm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_FR16, MCK_Mem64 }, },
{ 6359 /* pmovsxwq */, X86::PMOVSXWQrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6359 /* pmovsxwq */, X86::PMOVSXWQrm, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_FR16, MCK_Mem32 }, },
{ 6368 /* pmovzxbd */, X86::PMOVZXBDrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6368 /* pmovzxbd */, X86::PMOVZXBDrm, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_FR16, MCK_Mem32 }, },
{ 6377 /* pmovzxbq */, X86::PMOVZXBQrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6377 /* pmovzxbq */, X86::PMOVZXBQrm, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_FR16, MCK_Mem16 }, },
{ 6386 /* pmovzxbw */, X86::PMOVZXBWrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6386 /* pmovzxbw */, X86::PMOVZXBWrm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_FR16, MCK_Mem64 }, },
{ 6395 /* pmovzxdq */, X86::PMOVZXDQrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6395 /* pmovzxdq */, X86::PMOVZXDQrm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_FR16, MCK_Mem64 }, },
{ 6404 /* pmovzxwd */, X86::PMOVZXWDrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6404 /* pmovzxwd */, X86::PMOVZXWDrm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_FR16, MCK_Mem64 }, },
{ 6413 /* pmovzxwq */, X86::PMOVZXWQrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6413 /* pmovzxwq */, X86::PMOVZXWQrm, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_FR16, MCK_Mem32 }, },
{ 6422 /* pmuldq */, X86::PMULDQrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6422 /* pmuldq */, X86::PMULDQrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 6429 /* pmulhrsw */, X86::MMX_PMULHRSWrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6429 /* pmulhrsw */, X86::MMX_PMULHRSWrm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_VR64, MCK_Mem64 }, },
{ 6429 /* pmulhrsw */, X86::PMULHRSWrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6429 /* pmulhrsw */, X86::PMULHRSWrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 6438 /* pmulhrw */, X86::PMULHRWrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6438 /* pmulhrw */, X86::PMULHRWrm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_VR64, MCK_Mem64 }, },
{ 6446 /* pmulhuw */, X86::MMX_PMULHUWrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6446 /* pmulhuw */, X86::MMX_PMULHUWrm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_VR64, MCK_Mem64 }, },
{ 6446 /* pmulhuw */, X86::PMULHUWrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6446 /* pmulhuw */, X86::PMULHUWrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 6454 /* pmulhw */, X86::MMX_PMULHWrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6454 /* pmulhw */, X86::MMX_PMULHWrm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_VR64, MCK_Mem64 }, },
{ 6454 /* pmulhw */, X86::PMULHWrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6454 /* pmulhw */, X86::PMULHWrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 6461 /* pmulld */, X86::PMULLDrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6461 /* pmulld */, X86::PMULLDrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 6468 /* pmullw */, X86::MMX_PMULLWrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6468 /* pmullw */, X86::MMX_PMULLWrm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_VR64, MCK_Mem64 }, },
{ 6468 /* pmullw */, X86::PMULLWrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6468 /* pmullw */, X86::PMULLWrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 6475 /* pmuludq */, X86::MMX_PMULUDQrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6475 /* pmuludq */, X86::MMX_PMULUDQrm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_VR64, MCK_Mem64 }, },
{ 6475 /* pmuludq */, X86::PMULUDQrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6475 /* pmuludq */, X86::PMULUDQrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 6483 /* pop */, X86::POPDS16, Convert_NoOperands, AMFBS_Not64BitMode, { MCK_DS }, },
{ 6483 /* pop */, X86::POPDS32, Convert_NoOperands, AMFBS_Not64BitMode, { MCK_DS }, },
{ 6483 /* pop */, X86::POPES16, Convert_NoOperands, AMFBS_Not64BitMode, { MCK_ES }, },
{ 6483 /* pop */, X86::POPES32, Convert_NoOperands, AMFBS_Not64BitMode, { MCK_ES }, },
{ 6483 /* pop */, X86::POPFS32, Convert_NoOperands, AMFBS_Not64BitMode, { MCK_FS }, },
{ 6483 /* pop */, X86::POPFS64, Convert_NoOperands, AMFBS_In64BitMode, { MCK_FS }, },
{ 6483 /* pop */, X86::POPFS16, Convert_NoOperands, AMFBS_None, { MCK_FS }, },
{ 6483 /* pop */, X86::POPGS32, Convert_NoOperands, AMFBS_Not64BitMode, { MCK_GS }, },
{ 6483 /* pop */, X86::POPGS64, Convert_NoOperands, AMFBS_In64BitMode, { MCK_GS }, },
{ 6483 /* pop */, X86::POPGS16, Convert_NoOperands, AMFBS_None, { MCK_GS }, },
{ 6483 /* pop */, X86::POPSS16, Convert_NoOperands, AMFBS_Not64BitMode, { MCK_SS }, },
{ 6483 /* pop */, X86::POPSS32, Convert_NoOperands, AMFBS_Not64BitMode, { MCK_SS }, },
{ 6483 /* pop */, X86::POP16r, Convert__Reg1_0, AMFBS_None, { MCK_GR16 }, },
{ 6483 /* pop */, X86::POP32r, Convert__Reg1_0, AMFBS_Not64BitMode, { MCK_GR32 }, },
{ 6483 /* pop */, X86::POP64r, Convert__Reg1_0, AMFBS_In64BitMode, { MCK_GR64 }, },
{ 6483 /* pop */, X86::POP16rmm, Convert__Mem165_0, AMFBS_None, { MCK_Mem16 }, },
{ 6483 /* pop */, X86::POP32rmm, Convert__Mem325_0, AMFBS_Not64BitMode, { MCK_Mem32 }, },
{ 6483 /* pop */, X86::POP64rmm, Convert__Mem645_0, AMFBS_In64BitMode, { MCK_Mem64 }, },
{ 6487 /* popal */, X86::POPA32, Convert_NoOperands, AMFBS_Not64BitMode, { }, },
{ 6493 /* popaw */, X86::POPA16, Convert_NoOperands, AMFBS_Not64BitMode, { }, },
{ 6499 /* popcnt */, X86::POPCNT16rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR16, MCK_GR16 }, },
{ 6499 /* popcnt */, X86::POPCNT16rm, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_GR16, MCK_Mem16 }, },
{ 6499 /* popcnt */, X86::POPCNT32rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 6499 /* popcnt */, X86::POPCNT32rm, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_GR32, MCK_Mem32 }, },
{ 6499 /* popcnt */, X86::POPCNT64rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 6499 /* popcnt */, X86::POPCNT64rm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_GR64, MCK_Mem64 }, },
{ 6530 /* popf */, X86::POPF16, Convert_NoOperands, AMFBS_None, { }, },
{ 6535 /* popfd */, X86::POPF32, Convert_NoOperands, AMFBS_Not64BitMode, { }, },
{ 6547 /* popfq */, X86::POPF64, Convert_NoOperands, AMFBS_In64BitMode, { }, },
{ 6574 /* por */, X86::MMX_PORrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6574 /* por */, X86::MMX_PORrm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_VR64, MCK_Mem64 }, },
{ 6574 /* por */, X86::PORrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6574 /* por */, X86::PORrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 6578 /* prefetch */, X86::PREFETCH, Convert__Mem85_0, AMFBS_None, { MCK_Mem8 }, },
{ 6587 /* prefetchit0 */, X86::PREFETCHIT0, Convert__Mem85_0, AMFBS_In64BitMode, { MCK_Mem8 }, },
{ 6599 /* prefetchit1 */, X86::PREFETCHIT1, Convert__Mem85_0, AMFBS_In64BitMode, { MCK_Mem8 }, },
{ 6611 /* prefetchnta */, X86::PREFETCHNTA, Convert__Mem85_0, AMFBS_None, { MCK_Mem8 }, },
{ 6623 /* prefetcht0 */, X86::PREFETCHT0, Convert__Mem85_0, AMFBS_None, { MCK_Mem8 }, },
{ 6634 /* prefetcht1 */, X86::PREFETCHT1, Convert__Mem85_0, AMFBS_None, { MCK_Mem8 }, },
{ 6645 /* prefetcht2 */, X86::PREFETCHT2, Convert__Mem85_0, AMFBS_None, { MCK_Mem8 }, },
{ 6656 /* prefetchw */, X86::PREFETCHW, Convert__Mem85_0, AMFBS_None, { MCK_Mem8 }, },
{ 6666 /* prefetchwt1 */, X86::PREFETCHWT1, Convert__Mem85_0, AMFBS_None, { MCK_Mem8 }, },
{ 6678 /* psadbw */, X86::MMX_PSADBWrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6678 /* psadbw */, X86::MMX_PSADBWrm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_VR64, MCK_Mem64 }, },
{ 6678 /* psadbw */, X86::PSADBWrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6678 /* psadbw */, X86::PSADBWrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 6685 /* pshufb */, X86::MMX_PSHUFBrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6685 /* pshufb */, X86::MMX_PSHUFBrm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_VR64, MCK_Mem64 }, },
{ 6685 /* pshufb */, X86::PSHUFBrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6685 /* pshufb */, X86::PSHUFBrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 6692 /* pshufd */, X86::PSHUFDri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 6692 /* pshufd */, X86::PSHUFDmi, Convert__Reg1_0__Mem1285_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 6699 /* pshufhw */, X86::PSHUFHWri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 6699 /* pshufhw */, X86::PSHUFHWmi, Convert__Reg1_0__Mem1285_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 6707 /* pshuflw */, X86::PSHUFLWri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 6707 /* pshuflw */, X86::PSHUFLWmi, Convert__Reg1_0__Mem1285_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 6715 /* pshufw */, X86::MMX_PSHUFWri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR64, MCK_VR64, MCK_ImmUnsignedi8 }, },
{ 6715 /* pshufw */, X86::MMX_PSHUFWmi, Convert__Reg1_0__Mem645_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR64, MCK_Mem64, MCK_ImmUnsignedi8 }, },
{ 6722 /* psignb */, X86::MMX_PSIGNBrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6722 /* psignb */, X86::MMX_PSIGNBrm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_VR64, MCK_Mem64 }, },
{ 6722 /* psignb */, X86::PSIGNBrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6722 /* psignb */, X86::PSIGNBrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 6729 /* psignd */, X86::MMX_PSIGNDrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6729 /* psignd */, X86::MMX_PSIGNDrm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_VR64, MCK_Mem64 }, },
{ 6729 /* psignd */, X86::PSIGNDrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6729 /* psignd */, X86::PSIGNDrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 6736 /* psignw */, X86::MMX_PSIGNWrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6736 /* psignw */, X86::MMX_PSIGNWrm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_VR64, MCK_Mem64 }, },
{ 6736 /* psignw */, X86::PSIGNWrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6736 /* psignw */, X86::PSIGNWrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 6743 /* pslld */, X86::MMX_PSLLDrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6743 /* pslld */, X86::MMX_PSLLDri, Convert__Reg1_0__Tie0_1_1__ImmUnsignedi81_1, AMFBS_None, { MCK_VR64, MCK_ImmUnsignedi8 }, },
{ 6743 /* pslld */, X86::MMX_PSLLDrm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_VR64, MCK_Mem64 }, },
{ 6743 /* pslld */, X86::PSLLDrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6743 /* pslld */, X86::PSLLDri, Convert__Reg1_0__Tie0_1_1__ImmUnsignedi81_1, AMFBS_None, { MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 6743 /* pslld */, X86::PSLLDrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 6749 /* pslldq */, X86::PSLLDQri, Convert__Reg1_0__Tie0_1_1__ImmUnsignedi81_1, AMFBS_None, { MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 6756 /* psllq */, X86::MMX_PSLLQrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6756 /* psllq */, X86::MMX_PSLLQri, Convert__Reg1_0__Tie0_1_1__ImmUnsignedi81_1, AMFBS_None, { MCK_VR64, MCK_ImmUnsignedi8 }, },
{ 6756 /* psllq */, X86::MMX_PSLLQrm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_VR64, MCK_Mem64 }, },
{ 6756 /* psllq */, X86::PSLLQrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6756 /* psllq */, X86::PSLLQri, Convert__Reg1_0__Tie0_1_1__ImmUnsignedi81_1, AMFBS_None, { MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 6756 /* psllq */, X86::PSLLQrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 6762 /* psllw */, X86::MMX_PSLLWrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6762 /* psllw */, X86::MMX_PSLLWri, Convert__Reg1_0__Tie0_1_1__ImmUnsignedi81_1, AMFBS_None, { MCK_VR64, MCK_ImmUnsignedi8 }, },
{ 6762 /* psllw */, X86::MMX_PSLLWrm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_VR64, MCK_Mem64 }, },
{ 6762 /* psllw */, X86::PSLLWrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6762 /* psllw */, X86::PSLLWri, Convert__Reg1_0__Tie0_1_1__ImmUnsignedi81_1, AMFBS_None, { MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 6762 /* psllw */, X86::PSLLWrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 6768 /* psmash */, X86::PSMASH, Convert_NoOperands, AMFBS_In64BitMode, { }, },
{ 6768 /* psmash */, X86::PSMASH, Convert_NoOperands, AMFBS_In64BitMode, { MCK_RAX }, },
{ 6775 /* psrad */, X86::MMX_PSRADrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6775 /* psrad */, X86::MMX_PSRADri, Convert__Reg1_0__Tie0_1_1__ImmUnsignedi81_1, AMFBS_None, { MCK_VR64, MCK_ImmUnsignedi8 }, },
{ 6775 /* psrad */, X86::MMX_PSRADrm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_VR64, MCK_Mem64 }, },
{ 6775 /* psrad */, X86::PSRADrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6775 /* psrad */, X86::PSRADri, Convert__Reg1_0__Tie0_1_1__ImmUnsignedi81_1, AMFBS_None, { MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 6775 /* psrad */, X86::PSRADrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 6781 /* psraw */, X86::MMX_PSRAWrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6781 /* psraw */, X86::MMX_PSRAWri, Convert__Reg1_0__Tie0_1_1__ImmUnsignedi81_1, AMFBS_None, { MCK_VR64, MCK_ImmUnsignedi8 }, },
{ 6781 /* psraw */, X86::MMX_PSRAWrm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_VR64, MCK_Mem64 }, },
{ 6781 /* psraw */, X86::PSRAWrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6781 /* psraw */, X86::PSRAWri, Convert__Reg1_0__Tie0_1_1__ImmUnsignedi81_1, AMFBS_None, { MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 6781 /* psraw */, X86::PSRAWrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 6787 /* psrld */, X86::MMX_PSRLDrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6787 /* psrld */, X86::MMX_PSRLDri, Convert__Reg1_0__Tie0_1_1__ImmUnsignedi81_1, AMFBS_None, { MCK_VR64, MCK_ImmUnsignedi8 }, },
{ 6787 /* psrld */, X86::MMX_PSRLDrm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_VR64, MCK_Mem64 }, },
{ 6787 /* psrld */, X86::PSRLDrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6787 /* psrld */, X86::PSRLDri, Convert__Reg1_0__Tie0_1_1__ImmUnsignedi81_1, AMFBS_None, { MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 6787 /* psrld */, X86::PSRLDrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 6793 /* psrldq */, X86::PSRLDQri, Convert__Reg1_0__Tie0_1_1__ImmUnsignedi81_1, AMFBS_None, { MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 6800 /* psrlq */, X86::MMX_PSRLQrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6800 /* psrlq */, X86::MMX_PSRLQri, Convert__Reg1_0__Tie0_1_1__ImmUnsignedi81_1, AMFBS_None, { MCK_VR64, MCK_ImmUnsignedi8 }, },
{ 6800 /* psrlq */, X86::MMX_PSRLQrm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_VR64, MCK_Mem64 }, },
{ 6800 /* psrlq */, X86::PSRLQrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6800 /* psrlq */, X86::PSRLQri, Convert__Reg1_0__Tie0_1_1__ImmUnsignedi81_1, AMFBS_None, { MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 6800 /* psrlq */, X86::PSRLQrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 6806 /* psrlw */, X86::MMX_PSRLWrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6806 /* psrlw */, X86::MMX_PSRLWri, Convert__Reg1_0__Tie0_1_1__ImmUnsignedi81_1, AMFBS_None, { MCK_VR64, MCK_ImmUnsignedi8 }, },
{ 6806 /* psrlw */, X86::MMX_PSRLWrm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_VR64, MCK_Mem64 }, },
{ 6806 /* psrlw */, X86::PSRLWrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6806 /* psrlw */, X86::PSRLWri, Convert__Reg1_0__Tie0_1_1__ImmUnsignedi81_1, AMFBS_None, { MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 6806 /* psrlw */, X86::PSRLWrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 6812 /* psubb */, X86::MMX_PSUBBrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6812 /* psubb */, X86::MMX_PSUBBrm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_VR64, MCK_Mem64 }, },
{ 6812 /* psubb */, X86::PSUBBrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6812 /* psubb */, X86::PSUBBrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 6818 /* psubd */, X86::MMX_PSUBDrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6818 /* psubd */, X86::MMX_PSUBDrm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_VR64, MCK_Mem64 }, },
{ 6818 /* psubd */, X86::PSUBDrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6818 /* psubd */, X86::PSUBDrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 6824 /* psubq */, X86::MMX_PSUBQrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6824 /* psubq */, X86::MMX_PSUBQrm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_VR64, MCK_Mem64 }, },
{ 6824 /* psubq */, X86::PSUBQrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6824 /* psubq */, X86::PSUBQrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 6830 /* psubsb */, X86::MMX_PSUBSBrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6830 /* psubsb */, X86::MMX_PSUBSBrm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_VR64, MCK_Mem64 }, },
{ 6830 /* psubsb */, X86::PSUBSBrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6830 /* psubsb */, X86::PSUBSBrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 6837 /* psubsw */, X86::MMX_PSUBSWrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6837 /* psubsw */, X86::MMX_PSUBSWrm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_VR64, MCK_Mem64 }, },
{ 6837 /* psubsw */, X86::PSUBSWrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6837 /* psubsw */, X86::PSUBSWrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 6844 /* psubusb */, X86::MMX_PSUBUSBrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6844 /* psubusb */, X86::MMX_PSUBUSBrm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_VR64, MCK_Mem64 }, },
{ 6844 /* psubusb */, X86::PSUBUSBrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6844 /* psubusb */, X86::PSUBUSBrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 6852 /* psubusw */, X86::MMX_PSUBUSWrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6852 /* psubusw */, X86::MMX_PSUBUSWrm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_VR64, MCK_Mem64 }, },
{ 6852 /* psubusw */, X86::PSUBUSWrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6852 /* psubusw */, X86::PSUBUSWrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 6860 /* psubw */, X86::MMX_PSUBWrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6860 /* psubw */, X86::MMX_PSUBWrm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_VR64, MCK_Mem64 }, },
{ 6860 /* psubw */, X86::PSUBWrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6860 /* psubw */, X86::PSUBWrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 6866 /* pswapd */, X86::PSWAPDrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6866 /* pswapd */, X86::PSWAPDrm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_VR64, MCK_Mem64 }, },
{ 6873 /* ptest */, X86::PTESTrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6873 /* ptest */, X86::PTESTrm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 6879 /* ptwrite */, X86::PTWRITEr, Convert__Reg1_0, AMFBS_None, { MCK_GR32 }, },
{ 6879 /* ptwrite */, X86::PTWRITE64r, Convert__Reg1_0, AMFBS_In64BitMode, { MCK_GR64 }, },
{ 6879 /* ptwrite */, X86::PTWRITEm, Convert__Mem325_0, AMFBS_None, { MCK_Mem32 }, },
{ 6879 /* ptwrite */, X86::PTWRITE64m, Convert__Mem645_0, AMFBS_In64BitMode, { MCK_Mem64 }, },
{ 6905 /* punpckhbw */, X86::MMX_PUNPCKHBWrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6905 /* punpckhbw */, X86::MMX_PUNPCKHBWrm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_VR64, MCK_Mem64 }, },
{ 6905 /* punpckhbw */, X86::PUNPCKHBWrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6905 /* punpckhbw */, X86::PUNPCKHBWrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 6915 /* punpckhdq */, X86::MMX_PUNPCKHDQrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6915 /* punpckhdq */, X86::MMX_PUNPCKHDQrm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_VR64, MCK_Mem64 }, },
{ 6915 /* punpckhdq */, X86::PUNPCKHDQrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6915 /* punpckhdq */, X86::PUNPCKHDQrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 6925 /* punpckhqdq */, X86::PUNPCKHQDQrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6925 /* punpckhqdq */, X86::PUNPCKHQDQrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 6936 /* punpckhwd */, X86::MMX_PUNPCKHWDrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6936 /* punpckhwd */, X86::MMX_PUNPCKHWDrm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_VR64, MCK_Mem64 }, },
{ 6936 /* punpckhwd */, X86::PUNPCKHWDrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6936 /* punpckhwd */, X86::PUNPCKHWDrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 6946 /* punpcklbw */, X86::MMX_PUNPCKLBWrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6946 /* punpcklbw */, X86::MMX_PUNPCKLBWrm, Convert__Reg1_0__Tie0_1_1__Mem325_1, AMFBS_None, { MCK_VR64, MCK_Mem32 }, },
{ 6946 /* punpcklbw */, X86::PUNPCKLBWrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6946 /* punpcklbw */, X86::PUNPCKLBWrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 6956 /* punpckldq */, X86::MMX_PUNPCKLDQrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6956 /* punpckldq */, X86::MMX_PUNPCKLDQrm, Convert__Reg1_0__Tie0_1_1__Mem325_1, AMFBS_None, { MCK_VR64, MCK_Mem32 }, },
{ 6956 /* punpckldq */, X86::PUNPCKLDQrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6956 /* punpckldq */, X86::PUNPCKLDQrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 6966 /* punpcklqdq */, X86::PUNPCKLQDQrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6966 /* punpcklqdq */, X86::PUNPCKLQDQrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 6977 /* punpcklwd */, X86::MMX_PUNPCKLWDrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 6977 /* punpcklwd */, X86::MMX_PUNPCKLWDrm, Convert__Reg1_0__Tie0_1_1__Mem325_1, AMFBS_None, { MCK_VR64, MCK_Mem32 }, },
{ 6977 /* punpcklwd */, X86::PUNPCKLWDrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 6977 /* punpcklwd */, X86::PUNPCKLWDrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 6987 /* push */, X86::PUSHCS16, Convert_NoOperands, AMFBS_Not64BitMode, { MCK_CS }, },
{ 6987 /* push */, X86::PUSHCS32, Convert_NoOperands, AMFBS_Not64BitMode, { MCK_CS }, },
{ 6987 /* push */, X86::PUSHDS16, Convert_NoOperands, AMFBS_Not64BitMode, { MCK_DS }, },
{ 6987 /* push */, X86::PUSHDS32, Convert_NoOperands, AMFBS_Not64BitMode, { MCK_DS }, },
{ 6987 /* push */, X86::PUSHES16, Convert_NoOperands, AMFBS_Not64BitMode, { MCK_ES }, },
{ 6987 /* push */, X86::PUSHES32, Convert_NoOperands, AMFBS_Not64BitMode, { MCK_ES }, },
{ 6987 /* push */, X86::PUSHFS32, Convert_NoOperands, AMFBS_Not64BitMode, { MCK_FS }, },
{ 6987 /* push */, X86::PUSHFS64, Convert_NoOperands, AMFBS_In64BitMode, { MCK_FS }, },
{ 6987 /* push */, X86::PUSHFS16, Convert_NoOperands, AMFBS_None, { MCK_FS }, },
{ 6987 /* push */, X86::PUSHGS32, Convert_NoOperands, AMFBS_Not64BitMode, { MCK_GS }, },
{ 6987 /* push */, X86::PUSHGS64, Convert_NoOperands, AMFBS_In64BitMode, { MCK_GS }, },
{ 6987 /* push */, X86::PUSHGS16, Convert_NoOperands, AMFBS_None, { MCK_GS }, },
{ 6987 /* push */, X86::PUSHSS16, Convert_NoOperands, AMFBS_Not64BitMode, { MCK_SS }, },
{ 6987 /* push */, X86::PUSHSS32, Convert_NoOperands, AMFBS_Not64BitMode, { MCK_SS }, },
{ 6987 /* push */, X86::PUSH16r, Convert__Reg1_0, AMFBS_None, { MCK_GR16 }, },
{ 6987 /* push */, X86::PUSH32r, Convert__Reg1_0, AMFBS_Not64BitMode, { MCK_GR32 }, },
{ 6987 /* push */, X86::PUSH64r, Convert__Reg1_0, AMFBS_In64BitMode, { MCK_GR64 }, },
{ 6987 /* push */, X86::PUSH64i8, Convert__ImmSExti64i81_0, AMFBS_In64BitMode, { MCK_ImmSExti64i8 }, },
{ 6987 /* push */, X86::PUSH16i8, Convert__ImmSExti16i81_0, AMFBS_None, { MCK_ImmSExti16i8 }, },
{ 6987 /* push */, X86::PUSH32i8, Convert__ImmSExti32i81_0, AMFBS_Not64BitMode, { MCK_ImmSExti32i8 }, },
{ 6987 /* push */, X86::PUSH64i32, Convert__ImmSExti64i321_0, AMFBS_In64BitMode, { MCK_ImmSExti64i32 }, },
{ 6987 /* push */, X86::PUSHi32, Convert__Imm1_0, AMFBS_Not64BitMode, { MCK_Imm }, },
{ 6987 /* push */, X86::PUSHi16, Convert__Imm1_0, AMFBS_None, { MCK_Imm }, },
{ 6987 /* push */, X86::PUSH16rmm, Convert__Mem165_0, AMFBS_None, { MCK_Mem16 }, },
{ 6987 /* push */, X86::PUSH32rmm, Convert__Mem325_0, AMFBS_Not64BitMode, { MCK_Mem32 }, },
{ 6987 /* push */, X86::PUSH64rmm, Convert__Mem645_0, AMFBS_In64BitMode, { MCK_Mem64 }, },
{ 6992 /* pushal */, X86::PUSHA32, Convert_NoOperands, AMFBS_Not64BitMode, { }, },
{ 6999 /* pushaw */, X86::PUSHA16, Convert_NoOperands, AMFBS_Not64BitMode, { }, },
{ 7006 /* pushf */, X86::PUSHF16, Convert_NoOperands, AMFBS_None, { }, },
{ 7012 /* pushfd */, X86::PUSHF32, Convert_NoOperands, AMFBS_Not64BitMode, { }, },
{ 7026 /* pushfq */, X86::PUSHF64, Convert_NoOperands, AMFBS_In64BitMode, { }, },
{ 7058 /* pvalidate */, X86::PVALIDATE64, Convert_NoOperands, AMFBS_In64BitMode, { }, },
{ 7058 /* pvalidate */, X86::PVALIDATE32, Convert_NoOperands, AMFBS_Not64BitMode, { }, },
{ 7058 /* pvalidate */, X86::PVALIDATE32, Convert_NoOperands, AMFBS_Not64BitMode, { MCK_EAX }, },
{ 7058 /* pvalidate */, X86::PVALIDATE64, Convert_NoOperands, AMFBS_In64BitMode, { MCK_RAX }, },
{ 7068 /* pxor */, X86::MMX_PXORrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_VR64, MCK_VR64 }, },
{ 7068 /* pxor */, X86::MMX_PXORrm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_VR64, MCK_Mem64 }, },
{ 7068 /* pxor */, X86::PXORrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 7068 /* pxor */, X86::PXORrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 7073 /* rcl */, X86::RCL16r1, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR16 }, },
{ 7073 /* rcl */, X86::RCL32r1, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR32 }, },
{ 7073 /* rcl */, X86::RCL64r1, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR64 }, },
{ 7073 /* rcl */, X86::RCL8r1, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR8 }, },
{ 7073 /* rcl */, X86::RCL16m1, Convert__Mem165_0, AMFBS_None, { MCK_Mem16 }, },
{ 7073 /* rcl */, X86::RCL32m1, Convert__Mem325_0, AMFBS_None, { MCK_Mem32 }, },
{ 7073 /* rcl */, X86::RCL64m1, Convert__Mem645_0, AMFBS_In64BitMode, { MCK_Mem64 }, },
{ 7073 /* rcl */, X86::RCL8m1, Convert__Mem85_0, AMFBS_None, { MCK_Mem8 }, },
{ 7073 /* rcl */, X86::RCL16rCL, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR16, MCK_CL }, },
{ 7073 /* rcl */, X86::RCL16ri, Convert__Reg1_0__Tie0_1_1__ImmUnsignedi81_1, AMFBS_None, { MCK_GR16, MCK_ImmUnsignedi8 }, },
{ 7073 /* rcl */, X86::RCL32rCL, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR32, MCK_CL }, },
{ 7073 /* rcl */, X86::RCL32ri, Convert__Reg1_0__Tie0_1_1__ImmUnsignedi81_1, AMFBS_None, { MCK_GR32, MCK_ImmUnsignedi8 }, },
{ 7073 /* rcl */, X86::RCL64rCL, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR64, MCK_CL }, },
{ 7073 /* rcl */, X86::RCL64ri, Convert__Reg1_0__Tie0_1_1__ImmUnsignedi81_1, AMFBS_None, { MCK_GR64, MCK_ImmUnsignedi8 }, },
{ 7073 /* rcl */, X86::RCL8rCL, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR8, MCK_CL }, },
{ 7073 /* rcl */, X86::RCL8ri, Convert__Reg1_0__Tie0_1_1__ImmUnsignedi81_1, AMFBS_None, { MCK_GR8, MCK_ImmUnsignedi8 }, },
{ 7073 /* rcl */, X86::RCL16mCL, Convert__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_CL }, },
{ 7073 /* rcl */, X86::RCL16mi, Convert__Mem165_0__ImmUnsignedi81_1, AMFBS_None, { MCK_Mem16, MCK_ImmUnsignedi8 }, },
{ 7073 /* rcl */, X86::RCL32mCL, Convert__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_CL }, },
{ 7073 /* rcl */, X86::RCL32mi, Convert__Mem325_0__ImmUnsignedi81_1, AMFBS_None, { MCK_Mem32, MCK_ImmUnsignedi8 }, },
{ 7073 /* rcl */, X86::RCL64mCL, Convert__Mem645_0, AMFBS_In64BitMode, { MCK_Mem64, MCK_CL }, },
{ 7073 /* rcl */, X86::RCL64mi, Convert__Mem645_0__ImmUnsignedi81_1, AMFBS_In64BitMode, { MCK_Mem64, MCK_ImmUnsignedi8 }, },
{ 7073 /* rcl */, X86::RCL8mCL, Convert__Mem85_0, AMFBS_None, { MCK_Mem8, MCK_CL }, },
{ 7073 /* rcl */, X86::RCL8mi, Convert__Mem85_0__ImmUnsignedi81_1, AMFBS_None, { MCK_Mem8, MCK_ImmUnsignedi8 }, },
{ 7097 /* rcpps */, X86::RCPPSr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 7097 /* rcpps */, X86::RCPPSm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 7103 /* rcpss */, X86::RCPSSr_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 7103 /* rcpss */, X86::RCPSSm_Int, Convert__Reg1_0__Tie0_1_1__Mem325_1, AMFBS_None, { MCK_FR16, MCK_Mem32 }, },
{ 7109 /* rcr */, X86::RCR16r1, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR16 }, },
{ 7109 /* rcr */, X86::RCR32r1, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR32 }, },
{ 7109 /* rcr */, X86::RCR64r1, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR64 }, },
{ 7109 /* rcr */, X86::RCR8r1, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR8 }, },
{ 7109 /* rcr */, X86::RCR16m1, Convert__Mem165_0, AMFBS_None, { MCK_Mem16 }, },
{ 7109 /* rcr */, X86::RCR32m1, Convert__Mem325_0, AMFBS_None, { MCK_Mem32 }, },
{ 7109 /* rcr */, X86::RCR64m1, Convert__Mem645_0, AMFBS_In64BitMode, { MCK_Mem64 }, },
{ 7109 /* rcr */, X86::RCR8m1, Convert__Mem85_0, AMFBS_None, { MCK_Mem8 }, },
{ 7109 /* rcr */, X86::RCR16rCL, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR16, MCK_CL }, },
{ 7109 /* rcr */, X86::RCR16ri, Convert__Reg1_0__Tie0_1_1__ImmUnsignedi81_1, AMFBS_None, { MCK_GR16, MCK_ImmUnsignedi8 }, },
{ 7109 /* rcr */, X86::RCR32rCL, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR32, MCK_CL }, },
{ 7109 /* rcr */, X86::RCR32ri, Convert__Reg1_0__Tie0_1_1__ImmUnsignedi81_1, AMFBS_None, { MCK_GR32, MCK_ImmUnsignedi8 }, },
{ 7109 /* rcr */, X86::RCR64rCL, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR64, MCK_CL }, },
{ 7109 /* rcr */, X86::RCR64ri, Convert__Reg1_0__Tie0_1_1__ImmUnsignedi81_1, AMFBS_None, { MCK_GR64, MCK_ImmUnsignedi8 }, },
{ 7109 /* rcr */, X86::RCR8rCL, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR8, MCK_CL }, },
{ 7109 /* rcr */, X86::RCR8ri, Convert__Reg1_0__Tie0_1_1__ImmUnsignedi81_1, AMFBS_None, { MCK_GR8, MCK_ImmUnsignedi8 }, },
{ 7109 /* rcr */, X86::RCR16mCL, Convert__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_CL }, },
{ 7109 /* rcr */, X86::RCR16mi, Convert__Mem165_0__ImmUnsignedi81_1, AMFBS_None, { MCK_Mem16, MCK_ImmUnsignedi8 }, },
{ 7109 /* rcr */, X86::RCR32mCL, Convert__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_CL }, },
{ 7109 /* rcr */, X86::RCR32mi, Convert__Mem325_0__ImmUnsignedi81_1, AMFBS_None, { MCK_Mem32, MCK_ImmUnsignedi8 }, },
{ 7109 /* rcr */, X86::RCR64mCL, Convert__Mem645_0, AMFBS_In64BitMode, { MCK_Mem64, MCK_CL }, },
{ 7109 /* rcr */, X86::RCR64mi, Convert__Mem645_0__ImmUnsignedi81_1, AMFBS_In64BitMode, { MCK_Mem64, MCK_ImmUnsignedi8 }, },
{ 7109 /* rcr */, X86::RCR8mCL, Convert__Mem85_0, AMFBS_None, { MCK_Mem8, MCK_CL }, },
{ 7109 /* rcr */, X86::RCR8mi, Convert__Mem85_0__ImmUnsignedi81_1, AMFBS_None, { MCK_Mem8, MCK_ImmUnsignedi8 }, },
{ 7133 /* rdfsbase */, X86::RDFSBASE, Convert__Reg1_0, AMFBS_In64BitMode, { MCK_GR32 }, },
{ 7133 /* rdfsbase */, X86::RDFSBASE64, Convert__Reg1_0, AMFBS_In64BitMode, { MCK_GR64 }, },
{ 7162 /* rdgsbase */, X86::RDGSBASE, Convert__Reg1_0, AMFBS_In64BitMode, { MCK_GR32 }, },
{ 7162 /* rdgsbase */, X86::RDGSBASE64, Convert__Reg1_0, AMFBS_In64BitMode, { MCK_GR64 }, },
{ 7191 /* rdmsr */, X86::RDMSR, Convert_NoOperands, AMFBS_None, { }, },
{ 7197 /* rdmsrlist */, X86::RDMSRLIST, Convert_NoOperands, AMFBS_In64BitMode, { }, },
{ 7207 /* rdpid */, X86::RDPID32, Convert__Reg1_0, AMFBS_Not64BitMode, { MCK_GR32 }, },
{ 7207 /* rdpid */, X86::RDPID64, Convert__Reg1_0, AMFBS_In64BitMode, { MCK_GR64 }, },
{ 7213 /* rdpkru */, X86::RDPKRUr, Convert_NoOperands, AMFBS_None, { }, },
{ 7220 /* rdpmc */, X86::RDPMC, Convert_NoOperands, AMFBS_None, { }, },
{ 7226 /* rdpru */, X86::RDPRU, Convert_NoOperands, AMFBS_None, { }, },
{ 7232 /* rdrand */, X86::RDRAND16r, Convert__Reg1_0, AMFBS_None, { MCK_GR16 }, },
{ 7232 /* rdrand */, X86::RDRAND32r, Convert__Reg1_0, AMFBS_None, { MCK_GR32 }, },
{ 7232 /* rdrand */, X86::RDRAND64r, Convert__Reg1_0, AMFBS_None, { MCK_GR64 }, },
{ 7263 /* rdseed */, X86::RDSEED16r, Convert__Reg1_0, AMFBS_None, { MCK_GR16 }, },
{ 7263 /* rdseed */, X86::RDSEED32r, Convert__Reg1_0, AMFBS_None, { MCK_GR32 }, },
{ 7263 /* rdseed */, X86::RDSEED64r, Convert__Reg1_0, AMFBS_None, { MCK_GR64 }, },
{ 7294 /* rdsspd */, X86::RDSSPD, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR32 }, },
{ 7301 /* rdsspq */, X86::RDSSPQ, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR64 }, },
{ 7308 /* rdtsc */, X86::RDTSC, Convert_NoOperands, AMFBS_None, { }, },
{ 7314 /* rdtscp */, X86::RDTSCP, Convert_NoOperands, AMFBS_None, { }, },
{ 7321 /* rep */, X86::REP_PREFIX, Convert_NoOperands, AMFBS_None, { }, },
{ 7325 /* repne */, X86::REPNE_PREFIX, Convert_NoOperands, AMFBS_None, { }, },
{ 7331 /* ret */, X86::RET32, Convert_NoOperands, AMFBS_Not64BitMode, { }, },
{ 7331 /* ret */, X86::RET64, Convert_NoOperands, AMFBS_In64BitMode, { }, },
{ 7331 /* ret */, X86::RET16, Convert_NoOperands, AMFBS_None, { }, },
{ 7331 /* ret */, X86::RETI32, Convert__Imm1_0, AMFBS_Not64BitMode, { MCK_Imm }, },
{ 7331 /* ret */, X86::RETI64, Convert__Imm1_0, AMFBS_In64BitMode, { MCK_Imm }, },
{ 7331 /* ret */, X86::RETI16, Convert__Imm1_0, AMFBS_None, { MCK_Imm }, },
{ 7335 /* retf */, X86::LRET32, Convert_NoOperands, AMFBS_None, { }, },
{ 7335 /* retf */, X86::LRET16, Convert_NoOperands, AMFBS_None, { }, },
{ 7335 /* retf */, X86::LRETI32, Convert__Imm1_0, AMFBS_None, { MCK_Imm }, },
{ 7335 /* retf */, X86::LRETI16, Convert__Imm1_0, AMFBS_None, { MCK_Imm }, },
{ 7340 /* retfq */, X86::LRET64, Convert_NoOperands, AMFBS_In64BitMode, { }, },
{ 7340 /* retfq */, X86::LRETI64, Convert__Imm1_0, AMFBS_In64BitMode, { MCK_Imm }, },
{ 7361 /* rex64 */, X86::REX64_PREFIX, Convert_NoOperands, AMFBS_In64BitMode, { }, },
{ 7367 /* rmpadjust */, X86::RMPADJUST, Convert_NoOperands, AMFBS_In64BitMode, { }, },
{ 7367 /* rmpadjust */, X86::RMPADJUST, Convert_NoOperands, AMFBS_In64BitMode, { MCK_RAX }, },
{ 7377 /* rmpupdate */, X86::RMPUPDATE, Convert_NoOperands, AMFBS_In64BitMode, { }, },
{ 7377 /* rmpupdate */, X86::RMPUPDATE, Convert_NoOperands, AMFBS_In64BitMode, { MCK_RAX }, },
{ 7387 /* rol */, X86::ROL16r1, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR16 }, },
{ 7387 /* rol */, X86::ROL32r1, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR32 }, },
{ 7387 /* rol */, X86::ROL64r1, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR64 }, },
{ 7387 /* rol */, X86::ROL8r1, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR8 }, },
{ 7387 /* rol */, X86::ROL16m1, Convert__Mem165_0, AMFBS_None, { MCK_Mem16 }, },
{ 7387 /* rol */, X86::ROL32m1, Convert__Mem325_0, AMFBS_None, { MCK_Mem32 }, },
{ 7387 /* rol */, X86::ROL64m1, Convert__Mem645_0, AMFBS_In64BitMode, { MCK_Mem64 }, },
{ 7387 /* rol */, X86::ROL8m1, Convert__Mem85_0, AMFBS_None, { MCK_Mem8 }, },
{ 7387 /* rol */, X86::ROL16rCL, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR16, MCK_CL }, },
{ 7387 /* rol */, X86::ROL16ri, Convert__Reg1_0__Tie0_1_1__ImmUnsignedi81_1, AMFBS_None, { MCK_GR16, MCK_ImmUnsignedi8 }, },
{ 7387 /* rol */, X86::ROL32rCL, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR32, MCK_CL }, },
{ 7387 /* rol */, X86::ROL32ri, Convert__Reg1_0__Tie0_1_1__ImmUnsignedi81_1, AMFBS_None, { MCK_GR32, MCK_ImmUnsignedi8 }, },
{ 7387 /* rol */, X86::ROL64rCL, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR64, MCK_CL }, },
{ 7387 /* rol */, X86::ROL64ri, Convert__Reg1_0__Tie0_1_1__ImmUnsignedi81_1, AMFBS_None, { MCK_GR64, MCK_ImmUnsignedi8 }, },
{ 7387 /* rol */, X86::ROL8rCL, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR8, MCK_CL }, },
{ 7387 /* rol */, X86::ROL8ri, Convert__Reg1_0__Tie0_1_1__ImmUnsignedi81_1, AMFBS_None, { MCK_GR8, MCK_ImmUnsignedi8 }, },
{ 7387 /* rol */, X86::ROL16mCL, Convert__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_CL }, },
{ 7387 /* rol */, X86::ROL16mi, Convert__Mem165_0__ImmUnsignedi81_1, AMFBS_None, { MCK_Mem16, MCK_ImmUnsignedi8 }, },
{ 7387 /* rol */, X86::ROL32mCL, Convert__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_CL }, },
{ 7387 /* rol */, X86::ROL32mi, Convert__Mem325_0__ImmUnsignedi81_1, AMFBS_None, { MCK_Mem32, MCK_ImmUnsignedi8 }, },
{ 7387 /* rol */, X86::ROL64mCL, Convert__Mem645_0, AMFBS_In64BitMode, { MCK_Mem64, MCK_CL }, },
{ 7387 /* rol */, X86::ROL64mi, Convert__Mem645_0__ImmUnsignedi81_1, AMFBS_In64BitMode, { MCK_Mem64, MCK_ImmUnsignedi8 }, },
{ 7387 /* rol */, X86::ROL8mCL, Convert__Mem85_0, AMFBS_None, { MCK_Mem8, MCK_CL }, },
{ 7387 /* rol */, X86::ROL8mi, Convert__Mem85_0__ImmUnsignedi81_1, AMFBS_None, { MCK_Mem8, MCK_ImmUnsignedi8 }, },
{ 7411 /* ror */, X86::ROR16r1, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR16 }, },
{ 7411 /* ror */, X86::ROR32r1, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR32 }, },
{ 7411 /* ror */, X86::ROR64r1, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR64 }, },
{ 7411 /* ror */, X86::ROR8r1, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR8 }, },
{ 7411 /* ror */, X86::ROR16m1, Convert__Mem165_0, AMFBS_None, { MCK_Mem16 }, },
{ 7411 /* ror */, X86::ROR32m1, Convert__Mem325_0, AMFBS_None, { MCK_Mem32 }, },
{ 7411 /* ror */, X86::ROR64m1, Convert__Mem645_0, AMFBS_In64BitMode, { MCK_Mem64 }, },
{ 7411 /* ror */, X86::ROR8m1, Convert__Mem85_0, AMFBS_None, { MCK_Mem8 }, },
{ 7411 /* ror */, X86::ROR16rCL, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR16, MCK_CL }, },
{ 7411 /* ror */, X86::ROR16ri, Convert__Reg1_0__Tie0_1_1__ImmUnsignedi81_1, AMFBS_None, { MCK_GR16, MCK_ImmUnsignedi8 }, },
{ 7411 /* ror */, X86::ROR32rCL, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR32, MCK_CL }, },
{ 7411 /* ror */, X86::ROR32ri, Convert__Reg1_0__Tie0_1_1__ImmUnsignedi81_1, AMFBS_None, { MCK_GR32, MCK_ImmUnsignedi8 }, },
{ 7411 /* ror */, X86::ROR64rCL, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR64, MCK_CL }, },
{ 7411 /* ror */, X86::ROR64ri, Convert__Reg1_0__Tie0_1_1__ImmUnsignedi81_1, AMFBS_None, { MCK_GR64, MCK_ImmUnsignedi8 }, },
{ 7411 /* ror */, X86::ROR8rCL, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR8, MCK_CL }, },
{ 7411 /* ror */, X86::ROR8ri, Convert__Reg1_0__Tie0_1_1__ImmUnsignedi81_1, AMFBS_None, { MCK_GR8, MCK_ImmUnsignedi8 }, },
{ 7411 /* ror */, X86::ROR16mCL, Convert__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_CL }, },
{ 7411 /* ror */, X86::ROR16mi, Convert__Mem165_0__ImmUnsignedi81_1, AMFBS_None, { MCK_Mem16, MCK_ImmUnsignedi8 }, },
{ 7411 /* ror */, X86::ROR32mCL, Convert__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_CL }, },
{ 7411 /* ror */, X86::ROR32mi, Convert__Mem325_0__ImmUnsignedi81_1, AMFBS_None, { MCK_Mem32, MCK_ImmUnsignedi8 }, },
{ 7411 /* ror */, X86::ROR64mCL, Convert__Mem645_0, AMFBS_In64BitMode, { MCK_Mem64, MCK_CL }, },
{ 7411 /* ror */, X86::ROR64mi, Convert__Mem645_0__ImmUnsignedi81_1, AMFBS_In64BitMode, { MCK_Mem64, MCK_ImmUnsignedi8 }, },
{ 7411 /* ror */, X86::ROR8mCL, Convert__Mem85_0, AMFBS_None, { MCK_Mem8, MCK_CL }, },
{ 7411 /* ror */, X86::ROR8mi, Convert__Mem85_0__ImmUnsignedi81_1, AMFBS_None, { MCK_Mem8, MCK_ImmUnsignedi8 }, },
{ 7435 /* rorx */, X86::RORX32ri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_GR32, MCK_GR32, MCK_ImmUnsignedi8 }, },
{ 7435 /* rorx */, X86::RORX32mi, Convert__Reg1_0__Mem325_1__ImmUnsignedi81_2, AMFBS_None, { MCK_GR32, MCK_Mem32, MCK_ImmUnsignedi8 }, },
{ 7435 /* rorx */, X86::RORX64ri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_GR64, MCK_GR64, MCK_ImmUnsignedi8 }, },
{ 7435 /* rorx */, X86::RORX64mi, Convert__Reg1_0__Mem645_1__ImmUnsignedi81_2, AMFBS_None, { MCK_GR64, MCK_Mem64, MCK_ImmUnsignedi8 }, },
{ 7452 /* roundpd */, X86::ROUNDPDr, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 7452 /* roundpd */, X86::ROUNDPDm, Convert__Reg1_0__Mem1285_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 7460 /* roundps */, X86::ROUNDPSr, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 7460 /* roundps */, X86::ROUNDPSm, Convert__Reg1_0__Mem1285_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 7468 /* roundsd */, X86::ROUNDSDr_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 7468 /* roundsd */, X86::ROUNDSDm_Int, Convert__Reg1_0__Tie0_1_1__Mem645_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_Mem64, MCK_ImmUnsignedi8 }, },
{ 7476 /* roundss */, X86::ROUNDSSr_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 7476 /* roundss */, X86::ROUNDSSm_Int, Convert__Reg1_0__Tie0_1_1__Mem325_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_Mem32, MCK_ImmUnsignedi8 }, },
{ 7484 /* rsm */, X86::RSM, Convert_NoOperands, AMFBS_None, { }, },
{ 7488 /* rsqrtps */, X86::RSQRTPSr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 7488 /* rsqrtps */, X86::RSQRTPSm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 7496 /* rsqrtss */, X86::RSQRTSSr_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 7496 /* rsqrtss */, X86::RSQRTSSm_Int, Convert__Reg1_0__Tie0_1_1__Mem325_1, AMFBS_None, { MCK_FR16, MCK_Mem32 }, },
{ 7504 /* rstorssp */, X86::RSTORSSP, Convert__Mem325_0, AMFBS_None, { MCK_Mem32 }, },
{ 7513 /* sahf */, X86::SAHF, Convert_NoOperands, AMFBS_None, { }, },
{ 7518 /* salc */, X86::SALC, Convert_NoOperands, AMFBS_Not64BitMode, { }, },
{ 7523 /* sar */, X86::SAR16r1, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR16 }, },
{ 7523 /* sar */, X86::SAR32r1, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR32 }, },
{ 7523 /* sar */, X86::SAR64r1, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR64 }, },
{ 7523 /* sar */, X86::SAR8r1, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR8 }, },
{ 7523 /* sar */, X86::SAR16m1, Convert__Mem165_0, AMFBS_None, { MCK_Mem16 }, },
{ 7523 /* sar */, X86::SAR32m1, Convert__Mem325_0, AMFBS_None, { MCK_Mem32 }, },
{ 7523 /* sar */, X86::SAR64m1, Convert__Mem645_0, AMFBS_In64BitMode, { MCK_Mem64 }, },
{ 7523 /* sar */, X86::SAR8m1, Convert__Mem85_0, AMFBS_None, { MCK_Mem8 }, },
{ 7523 /* sar */, X86::SAR16rCL, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR16, MCK_CL }, },
{ 7523 /* sar */, X86::SAR16ri, Convert__Reg1_0__Tie0_1_1__ImmUnsignedi81_1, AMFBS_None, { MCK_GR16, MCK_ImmUnsignedi8 }, },
{ 7523 /* sar */, X86::SAR32rCL, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR32, MCK_CL }, },
{ 7523 /* sar */, X86::SAR32ri, Convert__Reg1_0__Tie0_1_1__ImmUnsignedi81_1, AMFBS_None, { MCK_GR32, MCK_ImmUnsignedi8 }, },
{ 7523 /* sar */, X86::SAR64rCL, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR64, MCK_CL }, },
{ 7523 /* sar */, X86::SAR64ri, Convert__Reg1_0__Tie0_1_1__ImmUnsignedi81_1, AMFBS_None, { MCK_GR64, MCK_ImmUnsignedi8 }, },
{ 7523 /* sar */, X86::SAR8rCL, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR8, MCK_CL }, },
{ 7523 /* sar */, X86::SAR8ri, Convert__Reg1_0__Tie0_1_1__ImmUnsignedi81_1, AMFBS_None, { MCK_GR8, MCK_ImmUnsignedi8 }, },
{ 7523 /* sar */, X86::SAR16mCL, Convert__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_CL }, },
{ 7523 /* sar */, X86::SAR16mi, Convert__Mem165_0__ImmUnsignedi81_1, AMFBS_None, { MCK_Mem16, MCK_ImmUnsignedi8 }, },
{ 7523 /* sar */, X86::SAR32mCL, Convert__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_CL }, },
{ 7523 /* sar */, X86::SAR32mi, Convert__Mem325_0__ImmUnsignedi81_1, AMFBS_None, { MCK_Mem32, MCK_ImmUnsignedi8 }, },
{ 7523 /* sar */, X86::SAR64mCL, Convert__Mem645_0, AMFBS_In64BitMode, { MCK_Mem64, MCK_CL }, },
{ 7523 /* sar */, X86::SAR64mi, Convert__Mem645_0__ImmUnsignedi81_1, AMFBS_In64BitMode, { MCK_Mem64, MCK_ImmUnsignedi8 }, },
{ 7523 /* sar */, X86::SAR8mCL, Convert__Mem85_0, AMFBS_None, { MCK_Mem8, MCK_CL }, },
{ 7523 /* sar */, X86::SAR8mi, Convert__Mem85_0__ImmUnsignedi81_1, AMFBS_None, { MCK_Mem8, MCK_ImmUnsignedi8 }, },
{ 7547 /* sarx */, X86::SARX32rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GR32, MCK_GR32, MCK_GR32 }, },
{ 7547 /* sarx */, X86::SARX32rm, Convert__Reg1_0__Mem325_1__Reg1_2, AMFBS_None, { MCK_GR32, MCK_Mem32, MCK_GR32 }, },
{ 7547 /* sarx */, X86::SARX64rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GR64, MCK_GR64, MCK_GR64 }, },
{ 7547 /* sarx */, X86::SARX64rm, Convert__Reg1_0__Mem645_1__Reg1_2, AMFBS_None, { MCK_GR64, MCK_Mem64, MCK_GR64 }, },
{ 7564 /* saveprevssp */, X86::SAVEPREVSSP, Convert_NoOperands, AMFBS_None, { }, },
{ 7576 /* sbb */, X86::SBB8i8, Convert__Imm1_1, AMFBS_None, { MCK_AL, MCK_Imm }, },
{ 7576 /* sbb */, X86::SBB16ri8, Convert__regAX__Tie0_1_1__ImmSExti16i81_1, AMFBS_None, { MCK_AX, MCK_ImmSExti16i8 }, },
{ 7576 /* sbb */, X86::SBB16i16, Convert__Imm1_1, AMFBS_None, { MCK_AX, MCK_Imm }, },
{ 7576 /* sbb */, X86::SBB32ri8, Convert__regEAX__Tie0_1_1__ImmSExti32i81_1, AMFBS_None, { MCK_EAX, MCK_ImmSExti32i8 }, },
{ 7576 /* sbb */, X86::SBB32i32, Convert__Imm1_1, AMFBS_None, { MCK_EAX, MCK_Imm }, },
{ 7576 /* sbb */, X86::SBB64ri8, Convert__regRAX__Tie0_1_1__ImmSExti64i81_1, AMFBS_None, { MCK_RAX, MCK_ImmSExti64i8 }, },
{ 7576 /* sbb */, X86::SBB64i32, Convert__ImmSExti64i321_1, AMFBS_None, { MCK_RAX, MCK_ImmSExti64i32 }, },
{ 7576 /* sbb */, X86::SBB16rr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_GR16, MCK_GR16 }, },
{ 7576 /* sbb */, X86::SBB16ri8, Convert__Reg1_0__Tie0_1_1__ImmSExti16i81_1, AMFBS_None, { MCK_GR16, MCK_ImmSExti16i8 }, },
{ 7576 /* sbb */, X86::SBB16ri, Convert__Reg1_0__Tie0_1_1__Imm1_1, AMFBS_None, { MCK_GR16, MCK_Imm }, },
{ 7576 /* sbb */, X86::SBB16rm, Convert__Reg1_0__Tie0_1_1__Mem165_1, AMFBS_None, { MCK_GR16, MCK_Mem16 }, },
{ 7576 /* sbb */, X86::SBB32rr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 7576 /* sbb */, X86::SBB32ri8, Convert__Reg1_0__Tie0_1_1__ImmSExti32i81_1, AMFBS_None, { MCK_GR32, MCK_ImmSExti32i8 }, },
{ 7576 /* sbb */, X86::SBB32ri, Convert__Reg1_0__Tie0_1_1__Imm1_1, AMFBS_None, { MCK_GR32, MCK_Imm }, },
{ 7576 /* sbb */, X86::SBB32rm, Convert__Reg1_0__Tie0_1_1__Mem325_1, AMFBS_None, { MCK_GR32, MCK_Mem32 }, },
{ 7576 /* sbb */, X86::SBB64rr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 7576 /* sbb */, X86::SBB64ri8, Convert__Reg1_0__Tie0_1_1__ImmSExti64i81_1, AMFBS_None, { MCK_GR64, MCK_ImmSExti64i8 }, },
{ 7576 /* sbb */, X86::SBB64ri32, Convert__Reg1_0__Tie0_1_1__ImmSExti64i321_1, AMFBS_None, { MCK_GR64, MCK_ImmSExti64i32 }, },
{ 7576 /* sbb */, X86::SBB64rm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_GR64, MCK_Mem64 }, },
{ 7576 /* sbb */, X86::SBB8rr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_GR8, MCK_GR8 }, },
{ 7576 /* sbb */, X86::SBB8ri, Convert__Reg1_0__Tie0_1_1__Imm1_1, AMFBS_None, { MCK_GR8, MCK_Imm }, },
{ 7576 /* sbb */, X86::SBB8rm, Convert__Reg1_0__Tie0_1_1__Mem85_1, AMFBS_None, { MCK_GR8, MCK_Mem8 }, },
{ 7576 /* sbb */, X86::SBB16mr, Convert__Mem165_0__Reg1_1, AMFBS_None, { MCK_Mem16, MCK_GR16 }, },
{ 7576 /* sbb */, X86::SBB16mi8, Convert__Mem165_0__ImmSExti16i81_1, AMFBS_None, { MCK_Mem16, MCK_ImmSExti16i8 }, },
{ 7576 /* sbb */, X86::SBB16mi, Convert__Mem165_0__Imm1_1, AMFBS_None, { MCK_Mem16, MCK_Imm }, },
{ 7576 /* sbb */, X86::SBB32mr, Convert__Mem325_0__Reg1_1, AMFBS_None, { MCK_Mem32, MCK_GR32 }, },
{ 7576 /* sbb */, X86::SBB32mi8, Convert__Mem325_0__ImmSExti32i81_1, AMFBS_None, { MCK_Mem32, MCK_ImmSExti32i8 }, },
{ 7576 /* sbb */, X86::SBB32mi, Convert__Mem325_0__Imm1_1, AMFBS_None, { MCK_Mem32, MCK_Imm }, },
{ 7576 /* sbb */, X86::SBB64mr, Convert__Mem645_0__Reg1_1, AMFBS_None, { MCK_Mem64, MCK_GR64 }, },
{ 7576 /* sbb */, X86::SBB64mi8, Convert__Mem645_0__ImmSExti64i81_1, AMFBS_In64BitMode, { MCK_Mem64, MCK_ImmSExti64i8 }, },
{ 7576 /* sbb */, X86::SBB64mi32, Convert__Mem645_0__ImmSExti64i321_1, AMFBS_In64BitMode, { MCK_Mem64, MCK_ImmSExti64i32 }, },
{ 7576 /* sbb */, X86::SBB8mr, Convert__Mem85_0__Reg1_1, AMFBS_None, { MCK_Mem8, MCK_GR8 }, },
{ 7576 /* sbb */, X86::SBB8mi, Convert__Mem85_0__Imm1_1, AMFBS_None, { MCK_Mem8, MCK_Imm }, },
{ 7600 /* scas */, X86::SCASW, Convert__DstIdx161_0, AMFBS_None, { MCK_DstIdx16 }, },
{ 7600 /* scas */, X86::SCASL, Convert__DstIdx321_0, AMFBS_None, { MCK_DstIdx32 }, },
{ 7600 /* scas */, X86::SCASQ, Convert__DstIdx641_0, AMFBS_In64BitMode, { MCK_DstIdx64 }, },
{ 7600 /* scas */, X86::SCASB, Convert__DstIdx81_0, AMFBS_None, { MCK_DstIdx8 }, },
{ 7600 /* scas */, X86::SCASB, Convert__DstIdx81_1, AMFBS_None, { MCK_AL, MCK_DstIdx8 }, },
{ 7600 /* scas */, X86::SCASW, Convert__DstIdx161_1, AMFBS_None, { MCK_AX, MCK_DstIdx16 }, },
{ 7600 /* scas */, X86::SCASL, Convert__DstIdx321_1, AMFBS_None, { MCK_EAX, MCK_DstIdx32 }, },
{ 7600 /* scas */, X86::SCASQ, Convert__DstIdx641_1, AMFBS_In64BitMode, { MCK_RAX, MCK_DstIdx64 }, },
{ 7605 /* scasb */, X86::SCASB, Convert__DstIdx81_0, AMFBS_None, { MCK_DstIdx8 }, },
{ 7605 /* scasb */, X86::SCASB, Convert__DstIdx81_1, AMFBS_None, { MCK_AL, MCK_DstIdx8 }, },
{ 7611 /* scasd */, X86::SCASL, Convert__DstIdx321_0, AMFBS_None, { MCK_DstIdx32 }, },
{ 7611 /* scasd */, X86::SCASL, Convert__DstIdx321_1, AMFBS_None, { MCK_EAX, MCK_DstIdx32 }, },
{ 7623 /* scasq */, X86::SCASQ, Convert__DstIdx641_0, AMFBS_In64BitMode, { MCK_DstIdx64 }, },
{ 7623 /* scasq */, X86::SCASQ, Convert__DstIdx641_1, AMFBS_In64BitMode, { MCK_RAX, MCK_DstIdx64 }, },
{ 7629 /* scasw */, X86::SCASW, Convert__DstIdx161_0, AMFBS_None, { MCK_DstIdx16 }, },
{ 7629 /* scasw */, X86::SCASW, Convert__DstIdx161_1, AMFBS_None, { MCK_AX, MCK_DstIdx16 }, },
{ 7635 /* seamcall */, X86::SEAMCALL, Convert_NoOperands, AMFBS_In64BitMode, { }, },
{ 7644 /* seamops */, X86::SEAMOPS, Convert_NoOperands, AMFBS_In64BitMode, { }, },
{ 7652 /* seamret */, X86::SEAMRET, Convert_NoOperands, AMFBS_In64BitMode, { }, },
{ 7660 /* senduipi */, X86::SENDUIPI, Convert__Reg1_0, AMFBS_In64BitMode, { MCK_GR64 }, },
{ 7669 /* serialize */, X86::SERIALIZE, Convert_NoOperands, AMFBS_None, { }, },
{ 7679 /* seta */, X86::SETCCr, Convert__Reg1_0__imm_95_7, AMFBS_None, { MCK_GR8 }, },
{ 7679 /* seta */, X86::SETCCm, Convert__Mem85_0__imm_95_7, AMFBS_None, { MCK_Mem8 }, },
{ 7684 /* setae */, X86::SETCCr, Convert__Reg1_0__imm_95_3, AMFBS_None, { MCK_GR8 }, },
{ 7684 /* setae */, X86::SETCCm, Convert__Mem85_0__imm_95_3, AMFBS_None, { MCK_Mem8 }, },
{ 7690 /* setb */, X86::SETCCr, Convert__Reg1_0__imm_95_2, AMFBS_None, { MCK_GR8 }, },
{ 7690 /* setb */, X86::SETCCm, Convert__Mem85_0__imm_95_2, AMFBS_None, { MCK_Mem8 }, },
{ 7695 /* setbe */, X86::SETCCr, Convert__Reg1_0__imm_95_6, AMFBS_None, { MCK_GR8 }, },
{ 7695 /* setbe */, X86::SETCCm, Convert__Mem85_0__imm_95_6, AMFBS_None, { MCK_Mem8 }, },
{ 7701 /* sete */, X86::SETCCr, Convert__Reg1_0__imm_95_4, AMFBS_None, { MCK_GR8 }, },
{ 7701 /* sete */, X86::SETCCm, Convert__Mem85_0__imm_95_4, AMFBS_None, { MCK_Mem8 }, },
{ 7706 /* setg */, X86::SETCCr, Convert__Reg1_0__imm_95_15, AMFBS_None, { MCK_GR8 }, },
{ 7706 /* setg */, X86::SETCCm, Convert__Mem85_0__imm_95_15, AMFBS_None, { MCK_Mem8 }, },
{ 7711 /* setge */, X86::SETCCr, Convert__Reg1_0__imm_95_13, AMFBS_None, { MCK_GR8 }, },
{ 7711 /* setge */, X86::SETCCm, Convert__Mem85_0__imm_95_13, AMFBS_None, { MCK_Mem8 }, },
{ 7717 /* setl */, X86::SETCCr, Convert__Reg1_0__imm_95_12, AMFBS_None, { MCK_GR8 }, },
{ 7717 /* setl */, X86::SETCCm, Convert__Mem85_0__imm_95_12, AMFBS_None, { MCK_Mem8 }, },
{ 7722 /* setle */, X86::SETCCr, Convert__Reg1_0__imm_95_14, AMFBS_None, { MCK_GR8 }, },
{ 7722 /* setle */, X86::SETCCm, Convert__Mem85_0__imm_95_14, AMFBS_None, { MCK_Mem8 }, },
{ 7728 /* setne */, X86::SETCCr, Convert__Reg1_0__imm_95_5, AMFBS_None, { MCK_GR8 }, },
{ 7728 /* setne */, X86::SETCCm, Convert__Mem85_0__imm_95_5, AMFBS_None, { MCK_Mem8 }, },
{ 7734 /* setno */, X86::SETCCr, Convert__Reg1_0__imm_95_1, AMFBS_None, { MCK_GR8 }, },
{ 7734 /* setno */, X86::SETCCm, Convert__Mem85_0__imm_95_1, AMFBS_None, { MCK_Mem8 }, },
{ 7740 /* setnp */, X86::SETCCr, Convert__Reg1_0__imm_95_11, AMFBS_None, { MCK_GR8 }, },
{ 7740 /* setnp */, X86::SETCCm, Convert__Mem85_0__imm_95_11, AMFBS_None, { MCK_Mem8 }, },
{ 7746 /* setns */, X86::SETCCr, Convert__Reg1_0__imm_95_9, AMFBS_None, { MCK_GR8 }, },
{ 7746 /* setns */, X86::SETCCm, Convert__Mem85_0__imm_95_9, AMFBS_None, { MCK_Mem8 }, },
{ 7752 /* seto */, X86::SETCCr, Convert__Reg1_0__imm_95_0, AMFBS_None, { MCK_GR8 }, },
{ 7752 /* seto */, X86::SETCCm, Convert__Mem85_0__imm_95_0, AMFBS_None, { MCK_Mem8 }, },
{ 7757 /* setp */, X86::SETCCr, Convert__Reg1_0__imm_95_10, AMFBS_None, { MCK_GR8 }, },
{ 7757 /* setp */, X86::SETCCm, Convert__Mem85_0__imm_95_10, AMFBS_None, { MCK_Mem8 }, },
{ 7762 /* sets */, X86::SETCCr, Convert__Reg1_0__imm_95_8, AMFBS_None, { MCK_GR8 }, },
{ 7762 /* sets */, X86::SETCCm, Convert__Mem85_0__imm_95_8, AMFBS_None, { MCK_Mem8 }, },
{ 7767 /* setssbsy */, X86::SETSSBSY, Convert_NoOperands, AMFBS_None, { }, },
{ 7776 /* sfence */, X86::SFENCE, Convert_NoOperands, AMFBS_None, { }, },
{ 7783 /* sgdt */, X86::SGDT64m, Convert__Mem5_0, AMFBS_In64BitMode, { MCK_Mem }, },
{ 7788 /* sgdtd */, X86::SGDT32m, Convert__Mem5_0, AMFBS_Not64BitMode, { MCK_Mem }, },
{ 7806 /* sgdtw */, X86::SGDT16m, Convert__Mem5_0, AMFBS_Not64BitMode, { MCK_Mem }, },
{ 7812 /* sha1msg1 */, X86::SHA1MSG1rr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 7812 /* sha1msg1 */, X86::SHA1MSG1rm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 7821 /* sha1msg2 */, X86::SHA1MSG2rr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 7821 /* sha1msg2 */, X86::SHA1MSG2rm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 7830 /* sha1nexte */, X86::SHA1NEXTErr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 7830 /* sha1nexte */, X86::SHA1NEXTErm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 7840 /* sha1rnds4 */, X86::SHA1RNDS4rri, Convert__Reg1_0__Tie0_1_1__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 7840 /* sha1rnds4 */, X86::SHA1RNDS4rmi, Convert__Reg1_0__Tie0_1_1__Mem1285_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 7850 /* sha256msg1 */, X86::SHA256MSG1rr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 7850 /* sha256msg1 */, X86::SHA256MSG1rm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 7861 /* sha256msg2 */, X86::SHA256MSG2rr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 7861 /* sha256msg2 */, X86::SHA256MSG2rm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 7872 /* sha256rnds2 */, X86::SHA256RNDS2rr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 7872 /* sha256rnds2 */, X86::SHA256RNDS2rm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 7872 /* sha256rnds2 */, X86::SHA256RNDS2rr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_XMM0 }, },
{ 7872 /* sha256rnds2 */, X86::SHA256RNDS2rm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_XMM0 }, },
{ 7884 /* shl */, X86::SHL16r1, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR16 }, },
{ 7884 /* shl */, X86::SHL32r1, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR32 }, },
{ 7884 /* shl */, X86::SHL64r1, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR64 }, },
{ 7884 /* shl */, X86::SHL8r1, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR8 }, },
{ 7884 /* shl */, X86::SHL16m1, Convert__Mem165_0, AMFBS_None, { MCK_Mem16 }, },
{ 7884 /* shl */, X86::SHL32m1, Convert__Mem325_0, AMFBS_None, { MCK_Mem32 }, },
{ 7884 /* shl */, X86::SHL64m1, Convert__Mem645_0, AMFBS_In64BitMode, { MCK_Mem64 }, },
{ 7884 /* shl */, X86::SHL8m1, Convert__Mem85_0, AMFBS_None, { MCK_Mem8 }, },
{ 7884 /* shl */, X86::SHL16rCL, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR16, MCK_CL }, },
{ 7884 /* shl */, X86::SHL16ri, Convert__Reg1_0__Tie0_1_1__ImmUnsignedi81_1, AMFBS_None, { MCK_GR16, MCK_ImmUnsignedi8 }, },
{ 7884 /* shl */, X86::SHL32rCL, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR32, MCK_CL }, },
{ 7884 /* shl */, X86::SHL32ri, Convert__Reg1_0__Tie0_1_1__ImmUnsignedi81_1, AMFBS_None, { MCK_GR32, MCK_ImmUnsignedi8 }, },
{ 7884 /* shl */, X86::SHL64rCL, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR64, MCK_CL }, },
{ 7884 /* shl */, X86::SHL64ri, Convert__Reg1_0__Tie0_1_1__ImmUnsignedi81_1, AMFBS_None, { MCK_GR64, MCK_ImmUnsignedi8 }, },
{ 7884 /* shl */, X86::SHL8rCL, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR8, MCK_CL }, },
{ 7884 /* shl */, X86::SHL8ri, Convert__Reg1_0__Tie0_1_1__ImmUnsignedi81_1, AMFBS_None, { MCK_GR8, MCK_ImmUnsignedi8 }, },
{ 7884 /* shl */, X86::SHL16mCL, Convert__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_CL }, },
{ 7884 /* shl */, X86::SHL16mi, Convert__Mem165_0__ImmUnsignedi81_1, AMFBS_None, { MCK_Mem16, MCK_ImmUnsignedi8 }, },
{ 7884 /* shl */, X86::SHL32mCL, Convert__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_CL }, },
{ 7884 /* shl */, X86::SHL32mi, Convert__Mem325_0__ImmUnsignedi81_1, AMFBS_None, { MCK_Mem32, MCK_ImmUnsignedi8 }, },
{ 7884 /* shl */, X86::SHL64mCL, Convert__Mem645_0, AMFBS_In64BitMode, { MCK_Mem64, MCK_CL }, },
{ 7884 /* shl */, X86::SHL64mi, Convert__Mem645_0__ImmUnsignedi81_1, AMFBS_In64BitMode, { MCK_Mem64, MCK_ImmUnsignedi8 }, },
{ 7884 /* shl */, X86::SHL8mCL, Convert__Mem85_0, AMFBS_None, { MCK_Mem8, MCK_CL }, },
{ 7884 /* shl */, X86::SHL8mi, Convert__Mem85_0__ImmUnsignedi81_1, AMFBS_None, { MCK_Mem8, MCK_ImmUnsignedi8 }, },
{ 7893 /* shld */, X86::SHLD16rrCL, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_GR16, MCK_GR16 }, },
{ 7893 /* shld */, X86::SHLD32rrCL, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 7893 /* shld */, X86::SHLD64rrCL, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 7893 /* shld */, X86::SHLD16mrCL, Convert__Mem165_0__Reg1_1, AMFBS_None, { MCK_Mem16, MCK_GR16 }, },
{ 7893 /* shld */, X86::SHLD32mrCL, Convert__Mem325_0__Reg1_1, AMFBS_None, { MCK_Mem32, MCK_GR32 }, },
{ 7893 /* shld */, X86::SHLD64mrCL, Convert__Mem645_0__Reg1_1, AMFBS_None, { MCK_Mem64, MCK_GR64 }, },
{ 7893 /* shld */, X86::SHLD16rrCL, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_GR16, MCK_GR16, MCK_CL }, },
{ 7893 /* shld */, X86::SHLD16rri8, Convert__Reg1_0__Tie0_1_1__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_GR16, MCK_GR16, MCK_ImmUnsignedi8 }, },
{ 7893 /* shld */, X86::SHLD32rrCL, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_GR32, MCK_GR32, MCK_CL }, },
{ 7893 /* shld */, X86::SHLD32rri8, Convert__Reg1_0__Tie0_1_1__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_GR32, MCK_GR32, MCK_ImmUnsignedi8 }, },
{ 7893 /* shld */, X86::SHLD64rrCL, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_GR64, MCK_GR64, MCK_CL }, },
{ 7893 /* shld */, X86::SHLD64rri8, Convert__Reg1_0__Tie0_1_1__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_GR64, MCK_GR64, MCK_ImmUnsignedi8 }, },
{ 7893 /* shld */, X86::SHLD16mrCL, Convert__Mem165_0__Reg1_1, AMFBS_None, { MCK_Mem16, MCK_GR16, MCK_CL }, },
{ 7893 /* shld */, X86::SHLD16mri8, Convert__Mem165_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_Mem16, MCK_GR16, MCK_ImmUnsignedi8 }, },
{ 7893 /* shld */, X86::SHLD32mrCL, Convert__Mem325_0__Reg1_1, AMFBS_None, { MCK_Mem32, MCK_GR32, MCK_CL }, },
{ 7893 /* shld */, X86::SHLD32mri8, Convert__Mem325_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_Mem32, MCK_GR32, MCK_ImmUnsignedi8 }, },
{ 7893 /* shld */, X86::SHLD64mrCL, Convert__Mem645_0__Reg1_1, AMFBS_None, { MCK_Mem64, MCK_GR64, MCK_CL }, },
{ 7893 /* shld */, X86::SHLD64mri8, Convert__Mem645_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_Mem64, MCK_GR64, MCK_ImmUnsignedi8 }, },
{ 7931 /* shlx */, X86::SHLX32rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GR32, MCK_GR32, MCK_GR32 }, },
{ 7931 /* shlx */, X86::SHLX32rm, Convert__Reg1_0__Mem325_1__Reg1_2, AMFBS_None, { MCK_GR32, MCK_Mem32, MCK_GR32 }, },
{ 7931 /* shlx */, X86::SHLX64rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GR64, MCK_GR64, MCK_GR64 }, },
{ 7931 /* shlx */, X86::SHLX64rm, Convert__Reg1_0__Mem645_1__Reg1_2, AMFBS_None, { MCK_GR64, MCK_Mem64, MCK_GR64 }, },
{ 7948 /* shr */, X86::SHR16r1, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR16 }, },
{ 7948 /* shr */, X86::SHR32r1, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR32 }, },
{ 7948 /* shr */, X86::SHR64r1, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR64 }, },
{ 7948 /* shr */, X86::SHR8r1, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR8 }, },
{ 7948 /* shr */, X86::SHR16m1, Convert__Mem165_0, AMFBS_None, { MCK_Mem16 }, },
{ 7948 /* shr */, X86::SHR32m1, Convert__Mem325_0, AMFBS_None, { MCK_Mem32 }, },
{ 7948 /* shr */, X86::SHR64m1, Convert__Mem645_0, AMFBS_In64BitMode, { MCK_Mem64 }, },
{ 7948 /* shr */, X86::SHR8m1, Convert__Mem85_0, AMFBS_None, { MCK_Mem8 }, },
{ 7948 /* shr */, X86::SHR16rCL, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR16, MCK_CL }, },
{ 7948 /* shr */, X86::SHR16ri, Convert__Reg1_0__Tie0_1_1__ImmUnsignedi81_1, AMFBS_None, { MCK_GR16, MCK_ImmUnsignedi8 }, },
{ 7948 /* shr */, X86::SHR32rCL, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR32, MCK_CL }, },
{ 7948 /* shr */, X86::SHR32ri, Convert__Reg1_0__Tie0_1_1__ImmUnsignedi81_1, AMFBS_None, { MCK_GR32, MCK_ImmUnsignedi8 }, },
{ 7948 /* shr */, X86::SHR64rCL, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR64, MCK_CL }, },
{ 7948 /* shr */, X86::SHR64ri, Convert__Reg1_0__Tie0_1_1__ImmUnsignedi81_1, AMFBS_None, { MCK_GR64, MCK_ImmUnsignedi8 }, },
{ 7948 /* shr */, X86::SHR8rCL, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR8, MCK_CL }, },
{ 7948 /* shr */, X86::SHR8ri, Convert__Reg1_0__Tie0_1_1__ImmUnsignedi81_1, AMFBS_None, { MCK_GR8, MCK_ImmUnsignedi8 }, },
{ 7948 /* shr */, X86::SHR16mCL, Convert__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_CL }, },
{ 7948 /* shr */, X86::SHR16mi, Convert__Mem165_0__ImmUnsignedi81_1, AMFBS_None, { MCK_Mem16, MCK_ImmUnsignedi8 }, },
{ 7948 /* shr */, X86::SHR32mCL, Convert__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_CL }, },
{ 7948 /* shr */, X86::SHR32mi, Convert__Mem325_0__ImmUnsignedi81_1, AMFBS_None, { MCK_Mem32, MCK_ImmUnsignedi8 }, },
{ 7948 /* shr */, X86::SHR64mCL, Convert__Mem645_0, AMFBS_In64BitMode, { MCK_Mem64, MCK_CL }, },
{ 7948 /* shr */, X86::SHR64mi, Convert__Mem645_0__ImmUnsignedi81_1, AMFBS_In64BitMode, { MCK_Mem64, MCK_ImmUnsignedi8 }, },
{ 7948 /* shr */, X86::SHR8mCL, Convert__Mem85_0, AMFBS_None, { MCK_Mem8, MCK_CL }, },
{ 7948 /* shr */, X86::SHR8mi, Convert__Mem85_0__ImmUnsignedi81_1, AMFBS_None, { MCK_Mem8, MCK_ImmUnsignedi8 }, },
{ 7957 /* shrd */, X86::SHRD16rrCL, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_GR16, MCK_GR16 }, },
{ 7957 /* shrd */, X86::SHRD32rrCL, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 7957 /* shrd */, X86::SHRD64rrCL, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 7957 /* shrd */, X86::SHRD16mrCL, Convert__Mem165_0__Reg1_1, AMFBS_None, { MCK_Mem16, MCK_GR16 }, },
{ 7957 /* shrd */, X86::SHRD32mrCL, Convert__Mem325_0__Reg1_1, AMFBS_None, { MCK_Mem32, MCK_GR32 }, },
{ 7957 /* shrd */, X86::SHRD64mrCL, Convert__Mem645_0__Reg1_1, AMFBS_None, { MCK_Mem64, MCK_GR64 }, },
{ 7957 /* shrd */, X86::SHRD16rrCL, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_GR16, MCK_GR16, MCK_CL }, },
{ 7957 /* shrd */, X86::SHRD16rri8, Convert__Reg1_0__Tie0_1_1__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_GR16, MCK_GR16, MCK_ImmUnsignedi8 }, },
{ 7957 /* shrd */, X86::SHRD32rrCL, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_GR32, MCK_GR32, MCK_CL }, },
{ 7957 /* shrd */, X86::SHRD32rri8, Convert__Reg1_0__Tie0_1_1__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_GR32, MCK_GR32, MCK_ImmUnsignedi8 }, },
{ 7957 /* shrd */, X86::SHRD64rrCL, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_GR64, MCK_GR64, MCK_CL }, },
{ 7957 /* shrd */, X86::SHRD64rri8, Convert__Reg1_0__Tie0_1_1__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_GR64, MCK_GR64, MCK_ImmUnsignedi8 }, },
{ 7957 /* shrd */, X86::SHRD16mrCL, Convert__Mem165_0__Reg1_1, AMFBS_None, { MCK_Mem16, MCK_GR16, MCK_CL }, },
{ 7957 /* shrd */, X86::SHRD16mri8, Convert__Mem165_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_Mem16, MCK_GR16, MCK_ImmUnsignedi8 }, },
{ 7957 /* shrd */, X86::SHRD32mrCL, Convert__Mem325_0__Reg1_1, AMFBS_None, { MCK_Mem32, MCK_GR32, MCK_CL }, },
{ 7957 /* shrd */, X86::SHRD32mri8, Convert__Mem325_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_Mem32, MCK_GR32, MCK_ImmUnsignedi8 }, },
{ 7957 /* shrd */, X86::SHRD64mrCL, Convert__Mem645_0__Reg1_1, AMFBS_None, { MCK_Mem64, MCK_GR64, MCK_CL }, },
{ 7957 /* shrd */, X86::SHRD64mri8, Convert__Mem645_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_Mem64, MCK_GR64, MCK_ImmUnsignedi8 }, },
{ 7995 /* shrx */, X86::SHRX32rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GR32, MCK_GR32, MCK_GR32 }, },
{ 7995 /* shrx */, X86::SHRX32rm, Convert__Reg1_0__Mem325_1__Reg1_2, AMFBS_None, { MCK_GR32, MCK_Mem32, MCK_GR32 }, },
{ 7995 /* shrx */, X86::SHRX64rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GR64, MCK_GR64, MCK_GR64 }, },
{ 7995 /* shrx */, X86::SHRX64rm, Convert__Reg1_0__Mem645_1__Reg1_2, AMFBS_None, { MCK_GR64, MCK_Mem64, MCK_GR64 }, },
{ 8012 /* shufpd */, X86::SHUFPDrri, Convert__Reg1_0__Tie0_1_1__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 8012 /* shufpd */, X86::SHUFPDrmi, Convert__Reg1_0__Tie0_1_1__Mem1285_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 8019 /* shufps */, X86::SHUFPSrri, Convert__Reg1_0__Tie0_1_1__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 8019 /* shufps */, X86::SHUFPSrmi, Convert__Reg1_0__Tie0_1_1__Mem1285_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 8026 /* sidt */, X86::SIDT64m, Convert__Mem5_0, AMFBS_In64BitMode, { MCK_Mem }, },
{ 8031 /* sidtd */, X86::SIDT32m, Convert__Mem5_0, AMFBS_Not64BitMode, { MCK_Mem }, },
{ 8049 /* sidtw */, X86::SIDT16m, Convert__Mem5_0, AMFBS_Not64BitMode, { MCK_Mem }, },
{ 8055 /* skinit */, X86::SKINIT, Convert_NoOperands, AMFBS_None, { }, },
{ 8055 /* skinit */, X86::SKINIT, Convert_NoOperands, AMFBS_None, { MCK_EAX }, },
{ 8062 /* sldt */, X86::SLDT16r, Convert__Reg1_0, AMFBS_None, { MCK_GR16 }, },
{ 8062 /* sldt */, X86::SLDT32r, Convert__Reg1_0, AMFBS_None, { MCK_GR32 }, },
{ 8062 /* sldt */, X86::SLDT64r, Convert__Reg1_0, AMFBS_In64BitMode, { MCK_GR64 }, },
{ 8062 /* sldt */, X86::SLDT16m, Convert__Mem165_0, AMFBS_None, { MCK_Mem16 }, },
{ 8062 /* sldt */, X86::SLDT16m, Convert__Mem165_0, AMFBS_None, { MCK_Mem16 }, },
{ 8085 /* slwpcb */, X86::SLWPCB, Convert__Reg1_0, AMFBS_None, { MCK_GR32 }, },
{ 8085 /* slwpcb */, X86::SLWPCB64, Convert__Reg1_0, AMFBS_None, { MCK_GR64 }, },
{ 8092 /* smsw */, X86::SMSW16r, Convert__Reg1_0, AMFBS_None, { MCK_GR16 }, },
{ 8092 /* smsw */, X86::SMSW32r, Convert__Reg1_0, AMFBS_None, { MCK_GR32 }, },
{ 8092 /* smsw */, X86::SMSW64r, Convert__Reg1_0, AMFBS_None, { MCK_GR64 }, },
{ 8092 /* smsw */, X86::SMSW16m, Convert__Mem165_0, AMFBS_None, { MCK_Mem16 }, },
{ 8115 /* sqrtpd */, X86::SQRTPDr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 8115 /* sqrtpd */, X86::SQRTPDm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 8122 /* sqrtps */, X86::SQRTPSr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 8122 /* sqrtps */, X86::SQRTPSm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 8129 /* sqrtsd */, X86::SQRTSDr_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 8129 /* sqrtsd */, X86::SQRTSDm_Int, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_FR16, MCK_Mem64 }, },
{ 8136 /* sqrtss */, X86::SQRTSSr_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 8136 /* sqrtss */, X86::SQRTSSm_Int, Convert__Reg1_0__Tie0_1_1__Mem325_1, AMFBS_None, { MCK_FR16, MCK_Mem32 }, },
{ 8143 /* ss */, X86::SS_PREFIX, Convert_NoOperands, AMFBS_None, { }, },
{ 8146 /* stac */, X86::STAC, Convert_NoOperands, AMFBS_None, { }, },
{ 8151 /* stc */, X86::STC, Convert_NoOperands, AMFBS_None, { }, },
{ 8155 /* std */, X86::STD, Convert_NoOperands, AMFBS_None, { }, },
{ 8159 /* stgi */, X86::STGI, Convert_NoOperands, AMFBS_None, { }, },
{ 8164 /* sti */, X86::STI, Convert_NoOperands, AMFBS_None, { }, },
{ 8168 /* stmxcsr */, X86::STMXCSR, Convert__Mem325_0, AMFBS_None, { MCK_Mem32 }, },
{ 8176 /* stos */, X86::STOSW, Convert__DstIdx161_0, AMFBS_None, { MCK_DstIdx16 }, },
{ 8176 /* stos */, X86::STOSL, Convert__DstIdx321_0, AMFBS_None, { MCK_DstIdx32 }, },
{ 8176 /* stos */, X86::STOSQ, Convert__DstIdx641_0, AMFBS_In64BitMode, { MCK_DstIdx64 }, },
{ 8176 /* stos */, X86::STOSB, Convert__DstIdx81_0, AMFBS_None, { MCK_DstIdx8 }, },
{ 8176 /* stos */, X86::STOSW, Convert__DstIdx161_0, AMFBS_None, { MCK_DstIdx16, MCK_AX }, },
{ 8176 /* stos */, X86::STOSL, Convert__DstIdx321_0, AMFBS_None, { MCK_DstIdx32, MCK_EAX }, },
{ 8176 /* stos */, X86::STOSQ, Convert__DstIdx641_0, AMFBS_In64BitMode, { MCK_DstIdx64, MCK_RAX }, },
{ 8176 /* stos */, X86::STOSB, Convert__DstIdx81_0, AMFBS_None, { MCK_DstIdx8, MCK_AL }, },
{ 8181 /* stosb */, X86::STOSB, Convert__DstIdx81_0, AMFBS_None, { MCK_DstIdx8 }, },
{ 8181 /* stosb */, X86::STOSB, Convert__DstIdx81_0, AMFBS_None, { MCK_DstIdx8, MCK_AL }, },
{ 8187 /* stosd */, X86::STOSL, Convert__DstIdx321_0, AMFBS_None, { MCK_DstIdx32 }, },
{ 8187 /* stosd */, X86::STOSL, Convert__DstIdx321_0, AMFBS_None, { MCK_DstIdx32, MCK_EAX }, },
{ 8199 /* stosq */, X86::STOSQ, Convert__DstIdx641_0, AMFBS_In64BitMode, { MCK_DstIdx64 }, },
{ 8199 /* stosq */, X86::STOSQ, Convert__DstIdx641_0, AMFBS_In64BitMode, { MCK_DstIdx64, MCK_RAX }, },
{ 8205 /* stosw */, X86::STOSW, Convert__DstIdx161_0, AMFBS_None, { MCK_DstIdx16 }, },
{ 8205 /* stosw */, X86::STOSW, Convert__DstIdx161_0, AMFBS_None, { MCK_DstIdx16, MCK_AX }, },
{ 8211 /* str */, X86::STR16r, Convert__Reg1_0, AMFBS_None, { MCK_GR16 }, },
{ 8211 /* str */, X86::STR32r, Convert__Reg1_0, AMFBS_None, { MCK_GR32 }, },
{ 8211 /* str */, X86::STR64r, Convert__Reg1_0, AMFBS_None, { MCK_GR64 }, },
{ 8211 /* str */, X86::STRm, Convert__Mem165_0, AMFBS_None, { MCK_Mem16 }, },
{ 8230 /* sttilecfg */, X86::STTILECFG, Convert__Mem5_0, AMFBS_In64BitMode, { MCK_Mem }, },
{ 8240 /* stui */, X86::STUI, Convert_NoOperands, AMFBS_In64BitMode, { }, },
{ 8245 /* sub */, X86::SUB8i8, Convert__Imm1_1, AMFBS_None, { MCK_AL, MCK_Imm }, },
{ 8245 /* sub */, X86::SUB16ri8, Convert__regAX__Tie0_1_1__ImmSExti16i81_1, AMFBS_None, { MCK_AX, MCK_ImmSExti16i8 }, },
{ 8245 /* sub */, X86::SUB16i16, Convert__Imm1_1, AMFBS_None, { MCK_AX, MCK_Imm }, },
{ 8245 /* sub */, X86::SUB32ri8, Convert__regEAX__Tie0_1_1__ImmSExti32i81_1, AMFBS_None, { MCK_EAX, MCK_ImmSExti32i8 }, },
{ 8245 /* sub */, X86::SUB32i32, Convert__Imm1_1, AMFBS_None, { MCK_EAX, MCK_Imm }, },
{ 8245 /* sub */, X86::SUB64ri8, Convert__regRAX__Tie0_1_1__ImmSExti64i81_1, AMFBS_None, { MCK_RAX, MCK_ImmSExti64i8 }, },
{ 8245 /* sub */, X86::SUB64i32, Convert__ImmSExti64i321_1, AMFBS_None, { MCK_RAX, MCK_ImmSExti64i32 }, },
{ 8245 /* sub */, X86::SUB16rr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_GR16, MCK_GR16 }, },
{ 8245 /* sub */, X86::SUB16ri8, Convert__Reg1_0__Tie0_1_1__ImmSExti16i81_1, AMFBS_None, { MCK_GR16, MCK_ImmSExti16i8 }, },
{ 8245 /* sub */, X86::SUB16ri, Convert__Reg1_0__Tie0_1_1__Imm1_1, AMFBS_None, { MCK_GR16, MCK_Imm }, },
{ 8245 /* sub */, X86::SUB16rm, Convert__Reg1_0__Tie0_1_1__Mem165_1, AMFBS_None, { MCK_GR16, MCK_Mem16 }, },
{ 8245 /* sub */, X86::SUB32rr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 8245 /* sub */, X86::SUB32ri8, Convert__Reg1_0__Tie0_1_1__ImmSExti32i81_1, AMFBS_None, { MCK_GR32, MCK_ImmSExti32i8 }, },
{ 8245 /* sub */, X86::SUB32ri, Convert__Reg1_0__Tie0_1_1__Imm1_1, AMFBS_None, { MCK_GR32, MCK_Imm }, },
{ 8245 /* sub */, X86::SUB32rm, Convert__Reg1_0__Tie0_1_1__Mem325_1, AMFBS_None, { MCK_GR32, MCK_Mem32 }, },
{ 8245 /* sub */, X86::SUB64rr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 8245 /* sub */, X86::SUB64ri8, Convert__Reg1_0__Tie0_1_1__ImmSExti64i81_1, AMFBS_None, { MCK_GR64, MCK_ImmSExti64i8 }, },
{ 8245 /* sub */, X86::SUB64ri32, Convert__Reg1_0__Tie0_1_1__ImmSExti64i321_1, AMFBS_None, { MCK_GR64, MCK_ImmSExti64i32 }, },
{ 8245 /* sub */, X86::SUB64rm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_GR64, MCK_Mem64 }, },
{ 8245 /* sub */, X86::SUB8rr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_GR8, MCK_GR8 }, },
{ 8245 /* sub */, X86::SUB8ri, Convert__Reg1_0__Tie0_1_1__Imm1_1, AMFBS_None, { MCK_GR8, MCK_Imm }, },
{ 8245 /* sub */, X86::SUB8rm, Convert__Reg1_0__Tie0_1_1__Mem85_1, AMFBS_None, { MCK_GR8, MCK_Mem8 }, },
{ 8245 /* sub */, X86::SUB16mr, Convert__Mem165_0__Reg1_1, AMFBS_None, { MCK_Mem16, MCK_GR16 }, },
{ 8245 /* sub */, X86::SUB16mi8, Convert__Mem165_0__ImmSExti16i81_1, AMFBS_None, { MCK_Mem16, MCK_ImmSExti16i8 }, },
{ 8245 /* sub */, X86::SUB16mi, Convert__Mem165_0__Imm1_1, AMFBS_None, { MCK_Mem16, MCK_Imm }, },
{ 8245 /* sub */, X86::SUB32mr, Convert__Mem325_0__Reg1_1, AMFBS_None, { MCK_Mem32, MCK_GR32 }, },
{ 8245 /* sub */, X86::SUB32mi8, Convert__Mem325_0__ImmSExti32i81_1, AMFBS_None, { MCK_Mem32, MCK_ImmSExti32i8 }, },
{ 8245 /* sub */, X86::SUB32mi, Convert__Mem325_0__Imm1_1, AMFBS_None, { MCK_Mem32, MCK_Imm }, },
{ 8245 /* sub */, X86::SUB64mr, Convert__Mem645_0__Reg1_1, AMFBS_None, { MCK_Mem64, MCK_GR64 }, },
{ 8245 /* sub */, X86::SUB64mi8, Convert__Mem645_0__ImmSExti64i81_1, AMFBS_In64BitMode, { MCK_Mem64, MCK_ImmSExti64i8 }, },
{ 8245 /* sub */, X86::SUB64mi32, Convert__Mem645_0__ImmSExti64i321_1, AMFBS_In64BitMode, { MCK_Mem64, MCK_ImmSExti64i32 }, },
{ 8245 /* sub */, X86::SUB8mr, Convert__Mem85_0__Reg1_1, AMFBS_None, { MCK_Mem8, MCK_GR8 }, },
{ 8245 /* sub */, X86::SUB8mi, Convert__Mem85_0__Imm1_1, AMFBS_None, { MCK_Mem8, MCK_Imm }, },
{ 8259 /* subpd */, X86::SUBPDrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 8259 /* subpd */, X86::SUBPDrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 8265 /* subps */, X86::SUBPSrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 8265 /* subps */, X86::SUBPSrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 8276 /* subsd */, X86::SUBSDrr_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 8276 /* subsd */, X86::SUBSDrm_Int, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_FR16, MCK_Mem64 }, },
{ 8282 /* subss */, X86::SUBSSrr_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 8282 /* subss */, X86::SUBSSrm_Int, Convert__Reg1_0__Tie0_1_1__Mem325_1, AMFBS_None, { MCK_FR16, MCK_Mem32 }, },
{ 8293 /* swapgs */, X86::SWAPGS, Convert_NoOperands, AMFBS_None, { }, },
{ 8300 /* syscall */, X86::SYSCALL, Convert_NoOperands, AMFBS_None, { }, },
{ 8308 /* sysenter */, X86::SYSENTER, Convert_NoOperands, AMFBS_None, { }, },
{ 8317 /* sysexit */, X86::SYSEXIT, Convert_NoOperands, AMFBS_None, { }, },
{ 8334 /* sysexitq */, X86::SYSEXIT64, Convert_NoOperands, AMFBS_In64BitMode, { }, },
{ 8343 /* sysret */, X86::SYSRET, Convert_NoOperands, AMFBS_None, { }, },
{ 8358 /* sysretq */, X86::SYSRET64, Convert_NoOperands, AMFBS_In64BitMode, { }, },
{ 8366 /* t1mskc */, X86::T1MSKC32rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 8366 /* t1mskc */, X86::T1MSKC32rm, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_GR32, MCK_Mem32 }, },
{ 8366 /* t1mskc */, X86::T1MSKC64rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 8366 /* t1mskc */, X86::T1MSKC64rm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_GR64, MCK_Mem64 }, },
{ 8389 /* tdcall */, X86::TDCALL, Convert_NoOperands, AMFBS_None, { }, },
{ 8396 /* tdpbf16ps */, X86::TDPBF16PS, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_In64BitMode, { MCK_TILE, MCK_TILE, MCK_TILE }, },
{ 8406 /* tdpbssd */, X86::TDPBSSD, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_In64BitMode, { MCK_TILE, MCK_TILE, MCK_TILE }, },
{ 8414 /* tdpbsud */, X86::TDPBSUD, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_In64BitMode, { MCK_TILE, MCK_TILE, MCK_TILE }, },
{ 8422 /* tdpbusd */, X86::TDPBUSD, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_In64BitMode, { MCK_TILE, MCK_TILE, MCK_TILE }, },
{ 8430 /* tdpbuud */, X86::TDPBUUD, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_In64BitMode, { MCK_TILE, MCK_TILE, MCK_TILE }, },
{ 8438 /* tdpfp16ps */, X86::TDPFP16PS, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_In64BitMode, { MCK_TILE, MCK_TILE, MCK_TILE }, },
{ 8448 /* test */, X86::TEST8i8, Convert__Imm1_1, AMFBS_None, { MCK_AL, MCK_Imm }, },
{ 8448 /* test */, X86::TEST16i16, Convert__Imm1_1, AMFBS_None, { MCK_AX, MCK_Imm }, },
{ 8448 /* test */, X86::TEST32i32, Convert__Imm1_1, AMFBS_None, { MCK_EAX, MCK_Imm }, },
{ 8448 /* test */, X86::TEST64i32, Convert__ImmSExti64i321_1, AMFBS_None, { MCK_RAX, MCK_ImmSExti64i32 }, },
{ 8448 /* test */, X86::TEST16rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR16, MCK_GR16 }, },
{ 8448 /* test */, X86::TEST16ri, Convert__Reg1_0__Imm1_1, AMFBS_None, { MCK_GR16, MCK_Imm }, },
{ 8448 /* test */, X86::TEST16mr, Convert__Mem165_1__Reg1_0, AMFBS_None, { MCK_GR16, MCK_Mem16 }, },
{ 8448 /* test */, X86::TEST32rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 8448 /* test */, X86::TEST32ri, Convert__Reg1_0__Imm1_1, AMFBS_None, { MCK_GR32, MCK_Imm }, },
{ 8448 /* test */, X86::TEST32mr, Convert__Mem325_1__Reg1_0, AMFBS_None, { MCK_GR32, MCK_Mem32 }, },
{ 8448 /* test */, X86::TEST64rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 8448 /* test */, X86::TEST64ri32, Convert__Reg1_0__ImmSExti64i321_1, AMFBS_None, { MCK_GR64, MCK_ImmSExti64i32 }, },
{ 8448 /* test */, X86::TEST64mr, Convert__Mem645_1__Reg1_0, AMFBS_None, { MCK_GR64, MCK_Mem64 }, },
{ 8448 /* test */, X86::TEST8rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR8, MCK_GR8 }, },
{ 8448 /* test */, X86::TEST8ri, Convert__Reg1_0__Imm1_1, AMFBS_None, { MCK_GR8, MCK_Imm }, },
{ 8448 /* test */, X86::TEST8mr, Convert__Mem85_1__Reg1_0, AMFBS_None, { MCK_GR8, MCK_Mem8 }, },
{ 8448 /* test */, X86::TEST16mr, Convert__Mem165_0__Reg1_1, AMFBS_None, { MCK_Mem16, MCK_GR16 }, },
{ 8448 /* test */, X86::TEST16mi, Convert__Mem165_0__Imm1_1, AMFBS_None, { MCK_Mem16, MCK_Imm }, },
{ 8448 /* test */, X86::TEST32mr, Convert__Mem325_0__Reg1_1, AMFBS_None, { MCK_Mem32, MCK_GR32 }, },
{ 8448 /* test */, X86::TEST32mi, Convert__Mem325_0__Imm1_1, AMFBS_None, { MCK_Mem32, MCK_Imm }, },
{ 8448 /* test */, X86::TEST64mr, Convert__Mem645_0__Reg1_1, AMFBS_None, { MCK_Mem64, MCK_GR64 }, },
{ 8448 /* test */, X86::TEST64mi32, Convert__Mem645_0__ImmSExti64i321_1, AMFBS_In64BitMode, { MCK_Mem64, MCK_ImmSExti64i32 }, },
{ 8448 /* test */, X86::TEST8mr, Convert__Mem85_0__Reg1_1, AMFBS_None, { MCK_Mem8, MCK_GR8 }, },
{ 8448 /* test */, X86::TEST8mi, Convert__Mem85_0__Imm1_1, AMFBS_None, { MCK_Mem8, MCK_Imm }, },
{ 8471 /* testui */, X86::TESTUI, Convert_NoOperands, AMFBS_In64BitMode, { }, },
{ 8484 /* tileloadd */, X86::TILELOADD, Convert__Reg1_0__SibMem5_1, AMFBS_In64BitMode, { MCK_TILE, MCK_SibMem }, },
{ 8494 /* tileloaddt1 */, X86::TILELOADDT1, Convert__Reg1_0__SibMem5_1, AMFBS_In64BitMode, { MCK_TILE, MCK_SibMem }, },
{ 8506 /* tilerelease */, X86::TILERELEASE, Convert_NoOperands, AMFBS_In64BitMode, { }, },
{ 8518 /* tilestored */, X86::TILESTORED, Convert__SibMem5_0__Reg1_1, AMFBS_In64BitMode, { MCK_SibMem, MCK_TILE }, },
{ 8529 /* tilezero */, X86::TILEZERO, Convert__Reg1_0, AMFBS_In64BitMode, { MCK_TILE }, },
{ 8538 /* tlbsync */, X86::TLBSYNC, Convert_NoOperands, AMFBS_None, { }, },
{ 8546 /* tpause */, X86::TPAUSE, Convert__GR32orGR641_0, AMFBS_None, { MCK_GR32orGR64 }, },
{ 8553 /* tzcnt */, X86::TZCNT16rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR16, MCK_GR16 }, },
{ 8553 /* tzcnt */, X86::TZCNT16rm, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_GR16, MCK_Mem16 }, },
{ 8553 /* tzcnt */, X86::TZCNT32rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 8553 /* tzcnt */, X86::TZCNT32rm, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_GR32, MCK_Mem32 }, },
{ 8553 /* tzcnt */, X86::TZCNT64rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 8553 /* tzcnt */, X86::TZCNT64rm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_GR64, MCK_Mem64 }, },
{ 8580 /* tzmsk */, X86::TZMSK32rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 8580 /* tzmsk */, X86::TZMSK32rm, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_GR32, MCK_Mem32 }, },
{ 8580 /* tzmsk */, X86::TZMSK64rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 8580 /* tzmsk */, X86::TZMSK64rm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_GR64, MCK_Mem64 }, },
{ 8600 /* ucomisd */, X86::UCOMISDrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 8600 /* ucomisd */, X86::UCOMISDrm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_FR16, MCK_Mem64 }, },
{ 8608 /* ucomiss */, X86::UCOMISSrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 8608 /* ucomiss */, X86::UCOMISSrm, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_FR16, MCK_Mem32 }, },
{ 8616 /* ud1 */, X86::UD1Wr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR16, MCK_GR16 }, },
{ 8616 /* ud1 */, X86::UD1Wm, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_GR16, MCK_Mem16 }, },
{ 8616 /* ud1 */, X86::UD1Lr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 8616 /* ud1 */, X86::UD1Lm, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_GR32, MCK_Mem32 }, },
{ 8616 /* ud1 */, X86::UD1Qr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 8616 /* ud1 */, X86::UD1Qm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_GR64, MCK_Mem64 }, },
{ 8635 /* ud2 */, X86::TRAP, Convert_NoOperands, AMFBS_None, { }, },
{ 8639 /* uiret */, X86::UIRET, Convert_NoOperands, AMFBS_In64BitMode, { }, },
{ 8645 /* umonitor */, X86::UMONITOR16, Convert__Reg1_0, AMFBS_Not64BitMode, { MCK_GR16 }, },
{ 8645 /* umonitor */, X86::UMONITOR32, Convert__Reg1_0, AMFBS_None, { MCK_GR32 }, },
{ 8645 /* umonitor */, X86::UMONITOR64, Convert__Reg1_0, AMFBS_In64BitMode, { MCK_GR64 }, },
{ 8654 /* umwait */, X86::UMWAIT, Convert__GR32orGR641_0, AMFBS_None, { MCK_GR32orGR64 }, },
{ 8661 /* unpckhpd */, X86::UNPCKHPDrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 8661 /* unpckhpd */, X86::UNPCKHPDrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 8670 /* unpckhps */, X86::UNPCKHPSrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 8670 /* unpckhps */, X86::UNPCKHPSrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 8679 /* unpcklpd */, X86::UNPCKLPDrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 8679 /* unpcklpd */, X86::UNPCKLPDrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 8688 /* unpcklps */, X86::UNPCKLPSrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 8688 /* unpcklps */, X86::UNPCKLPSrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 8697 /* v4fmaddps */, X86::V4FMADDPSrm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem128 }, },
{ 8697 /* v4fmaddps */, X86::V4FMADDPSrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem128 }, },
{ 8697 /* v4fmaddps */, X86::V4FMADDPSrmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem128 }, },
{ 8707 /* v4fmaddss */, X86::V4FMADDSSrm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 8707 /* v4fmaddss */, X86::V4FMADDSSrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 8707 /* v4fmaddss */, X86::V4FMADDSSrmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 8717 /* v4fnmaddps */, X86::V4FNMADDPSrm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem128 }, },
{ 8717 /* v4fnmaddps */, X86::V4FNMADDPSrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem128 }, },
{ 8717 /* v4fnmaddps */, X86::V4FNMADDPSrmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem128 }, },
{ 8728 /* v4fnmaddss */, X86::V4FNMADDSSrm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 8728 /* v4fnmaddss */, X86::V4FNMADDSSrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 8728 /* v4fnmaddss */, X86::V4FNMADDSSrmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 8739 /* vaddpd */, X86::VADDPDrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 8739 /* vaddpd */, X86::VADDPDrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 8739 /* vaddpd */, X86::VADDPDYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 8739 /* vaddpd */, X86::VADDPDYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 8739 /* vaddpd */, X86::VADDPDZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 8739 /* vaddpd */, X86::VADDPDZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 8739 /* vaddpd */, X86::VADDPDZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 8739 /* vaddpd */, X86::VADDPDZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 8739 /* vaddpd */, X86::VADDPDZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 8739 /* vaddpd */, X86::VADDPDZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 8739 /* vaddpd */, X86::VADDPDZ128rmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 8739 /* vaddpd */, X86::VADDPDZ256rmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 8739 /* vaddpd */, X86::VADDPDZrrb, Convert__Reg1_0__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 8739 /* vaddpd */, X86::VADDPDZrmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 8739 /* vaddpd */, X86::VADDPDZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 8739 /* vaddpd */, X86::VADDPDZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 8739 /* vaddpd */, X86::VADDPDZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 8739 /* vaddpd */, X86::VADDPDZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 8739 /* vaddpd */, X86::VADDPDZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 8739 /* vaddpd */, X86::VADDPDZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 8739 /* vaddpd */, X86::VADDPDZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 8739 /* vaddpd */, X86::VADDPDZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 8739 /* vaddpd */, X86::VADDPDZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 8739 /* vaddpd */, X86::VADDPDZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 8739 /* vaddpd */, X86::VADDPDZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 8739 /* vaddpd */, X86::VADDPDZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 8739 /* vaddpd */, X86::VADDPDZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 8739 /* vaddpd */, X86::VADDPDZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 8739 /* vaddpd */, X86::VADDPDZrrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 8739 /* vaddpd */, X86::VADDPDZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 8739 /* vaddpd */, X86::VADDPDZ128rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 8739 /* vaddpd */, X86::VADDPDZ256rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 8739 /* vaddpd */, X86::VADDPDZrrbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 8739 /* vaddpd */, X86::VADDPDZrmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 8746 /* vaddph */, X86::VADDPHZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 8746 /* vaddph */, X86::VADDPHZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 8746 /* vaddph */, X86::VADDPHZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 8746 /* vaddph */, X86::VADDPHZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 8746 /* vaddph */, X86::VADDPHZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 8746 /* vaddph */, X86::VADDPHZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 8746 /* vaddph */, X86::VADDPHZ128rmb, Convert__Reg1_0__Reg1_1__Mem165_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 8746 /* vaddph */, X86::VADDPHZ256rmb, Convert__Reg1_0__Reg1_1__Mem165_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 8746 /* vaddph */, X86::VADDPHZrrb, Convert__Reg1_0__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 8746 /* vaddph */, X86::VADDPHZrmb, Convert__Reg1_0__Reg1_1__Mem165_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 8746 /* vaddph */, X86::VADDPHZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 8746 /* vaddph */, X86::VADDPHZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 8746 /* vaddph */, X86::VADDPHZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 8746 /* vaddph */, X86::VADDPHZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 8746 /* vaddph */, X86::VADDPHZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 8746 /* vaddph */, X86::VADDPHZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 8746 /* vaddph */, X86::VADDPHZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 8746 /* vaddph */, X86::VADDPHZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 8746 /* vaddph */, X86::VADDPHZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 8746 /* vaddph */, X86::VADDPHZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 8746 /* vaddph */, X86::VADDPHZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 8746 /* vaddph */, X86::VADDPHZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 8746 /* vaddph */, X86::VADDPHZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 8746 /* vaddph */, X86::VADDPHZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 8746 /* vaddph */, X86::VADDPHZrrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 8746 /* vaddph */, X86::VADDPHZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 8746 /* vaddph */, X86::VADDPHZ128rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 8746 /* vaddph */, X86::VADDPHZ256rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 8746 /* vaddph */, X86::VADDPHZrrbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 8746 /* vaddph */, X86::VADDPHZrmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 8753 /* vaddps */, X86::VADDPSrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 8753 /* vaddps */, X86::VADDPSrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 8753 /* vaddps */, X86::VADDPSYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 8753 /* vaddps */, X86::VADDPSYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 8753 /* vaddps */, X86::VADDPSZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 8753 /* vaddps */, X86::VADDPSZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 8753 /* vaddps */, X86::VADDPSZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 8753 /* vaddps */, X86::VADDPSZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 8753 /* vaddps */, X86::VADDPSZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 8753 /* vaddps */, X86::VADDPSZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 8753 /* vaddps */, X86::VADDPSZ128rmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 8753 /* vaddps */, X86::VADDPSZ256rmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 8753 /* vaddps */, X86::VADDPSZrrb, Convert__Reg1_0__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 8753 /* vaddps */, X86::VADDPSZrmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 8753 /* vaddps */, X86::VADDPSZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 8753 /* vaddps */, X86::VADDPSZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 8753 /* vaddps */, X86::VADDPSZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 8753 /* vaddps */, X86::VADDPSZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 8753 /* vaddps */, X86::VADDPSZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 8753 /* vaddps */, X86::VADDPSZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 8753 /* vaddps */, X86::VADDPSZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 8753 /* vaddps */, X86::VADDPSZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 8753 /* vaddps */, X86::VADDPSZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 8753 /* vaddps */, X86::VADDPSZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 8753 /* vaddps */, X86::VADDPSZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 8753 /* vaddps */, X86::VADDPSZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 8753 /* vaddps */, X86::VADDPSZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 8753 /* vaddps */, X86::VADDPSZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 8753 /* vaddps */, X86::VADDPSZrrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 8753 /* vaddps */, X86::VADDPSZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 8753 /* vaddps */, X86::VADDPSZ128rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 8753 /* vaddps */, X86::VADDPSZ256rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 8753 /* vaddps */, X86::VADDPSZrrbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 8753 /* vaddps */, X86::VADDPSZrmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 8760 /* vaddsd */, X86::VADDSDrr_Int, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 8760 /* vaddsd */, X86::VADDSDrm_Int, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem64 }, },
{ 8760 /* vaddsd */, X86::VADDSDZrr_Int, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 8760 /* vaddsd */, X86::VADDSDZrm_Int, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64 }, },
{ 8760 /* vaddsd */, X86::VADDSDZrrb_Int, Convert__Reg1_0__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 8760 /* vaddsd */, X86::VADDSDZrr_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 8760 /* vaddsd */, X86::VADDSDZrm_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64 }, },
{ 8760 /* vaddsd */, X86::VADDSDZrr_Intkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 8760 /* vaddsd */, X86::VADDSDZrm_Intkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64 }, },
{ 8760 /* vaddsd */, X86::VADDSDZrrb_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 8760 /* vaddsd */, X86::VADDSDZrrb_Intkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 8767 /* vaddsh */, X86::VADDSHZrr_Int, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 8767 /* vaddsh */, X86::VADDSHZrm_Int, Convert__Reg1_0__Reg1_1__Mem165_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem16 }, },
{ 8767 /* vaddsh */, X86::VADDSHZrrb_Int, Convert__Reg1_0__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 8767 /* vaddsh */, X86::VADDSHZrr_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 8767 /* vaddsh */, X86::VADDSHZrm_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem16 }, },
{ 8767 /* vaddsh */, X86::VADDSHZrr_Intkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 8767 /* vaddsh */, X86::VADDSHZrm_Intkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem16 }, },
{ 8767 /* vaddsh */, X86::VADDSHZrrb_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 8767 /* vaddsh */, X86::VADDSHZrrb_Intkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 8774 /* vaddss */, X86::VADDSSrr_Int, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 8774 /* vaddss */, X86::VADDSSrm_Int, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem32 }, },
{ 8774 /* vaddss */, X86::VADDSSZrr_Int, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 8774 /* vaddss */, X86::VADDSSZrm_Int, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32 }, },
{ 8774 /* vaddss */, X86::VADDSSZrrb_Int, Convert__Reg1_0__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 8774 /* vaddss */, X86::VADDSSZrr_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 8774 /* vaddss */, X86::VADDSSZrm_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32 }, },
{ 8774 /* vaddss */, X86::VADDSSZrr_Intkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 8774 /* vaddss */, X86::VADDSSZrm_Intkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32 }, },
{ 8774 /* vaddss */, X86::VADDSSZrrb_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 8774 /* vaddss */, X86::VADDSSZrrb_Intkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 8781 /* vaddsubpd */, X86::VADDSUBPDrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 8781 /* vaddsubpd */, X86::VADDSUBPDrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 8781 /* vaddsubpd */, X86::VADDSUBPDYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 8781 /* vaddsubpd */, X86::VADDSUBPDYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 8791 /* vaddsubps */, X86::VADDSUBPSrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 8791 /* vaddsubps */, X86::VADDSUBPSrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 8791 /* vaddsubps */, X86::VADDSUBPSYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 8791 /* vaddsubps */, X86::VADDSUBPSYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 8801 /* vaesdec */, X86::VAESDECrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 8801 /* vaesdec */, X86::VAESDECrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 8801 /* vaesdec */, X86::VAESDECYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 8801 /* vaesdec */, X86::VAESDECYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 8801 /* vaesdec */, X86::VAESDECZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 8801 /* vaesdec */, X86::VAESDECZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 8801 /* vaesdec */, X86::VAESDECZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 8801 /* vaesdec */, X86::VAESDECZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 8801 /* vaesdec */, X86::VAESDECZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 8801 /* vaesdec */, X86::VAESDECZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 8809 /* vaesdeclast */, X86::VAESDECLASTrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 8809 /* vaesdeclast */, X86::VAESDECLASTrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 8809 /* vaesdeclast */, X86::VAESDECLASTYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 8809 /* vaesdeclast */, X86::VAESDECLASTYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 8809 /* vaesdeclast */, X86::VAESDECLASTZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 8809 /* vaesdeclast */, X86::VAESDECLASTZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 8809 /* vaesdeclast */, X86::VAESDECLASTZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 8809 /* vaesdeclast */, X86::VAESDECLASTZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 8809 /* vaesdeclast */, X86::VAESDECLASTZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 8809 /* vaesdeclast */, X86::VAESDECLASTZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 8821 /* vaesenc */, X86::VAESENCrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 8821 /* vaesenc */, X86::VAESENCrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 8821 /* vaesenc */, X86::VAESENCYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 8821 /* vaesenc */, X86::VAESENCYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 8821 /* vaesenc */, X86::VAESENCZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 8821 /* vaesenc */, X86::VAESENCZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 8821 /* vaesenc */, X86::VAESENCZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 8821 /* vaesenc */, X86::VAESENCZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 8821 /* vaesenc */, X86::VAESENCZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 8821 /* vaesenc */, X86::VAESENCZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 8829 /* vaesenclast */, X86::VAESENCLASTrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 8829 /* vaesenclast */, X86::VAESENCLASTrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 8829 /* vaesenclast */, X86::VAESENCLASTYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 8829 /* vaesenclast */, X86::VAESENCLASTYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 8829 /* vaesenclast */, X86::VAESENCLASTZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 8829 /* vaesenclast */, X86::VAESENCLASTZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 8829 /* vaesenclast */, X86::VAESENCLASTZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 8829 /* vaesenclast */, X86::VAESENCLASTZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 8829 /* vaesenclast */, X86::VAESENCLASTZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 8829 /* vaesenclast */, X86::VAESENCLASTZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 8841 /* vaesimc */, X86::VAESIMCrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 8841 /* vaesimc */, X86::VAESIMCrm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 8849 /* vaeskeygenassist */, X86::VAESKEYGENASSIST128rr, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 8849 /* vaeskeygenassist */, X86::VAESKEYGENASSIST128rm, Convert__Reg1_0__Mem1285_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 8866 /* valignd */, X86::VALIGNDZ128rri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 8866 /* valignd */, X86::VALIGNDZ128rmi, Convert__Reg1_0__Reg1_1__Mem1285_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 8866 /* valignd */, X86::VALIGNDZ256rri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 8866 /* valignd */, X86::VALIGNDZ256rmi, Convert__Reg1_0__Reg1_1__Mem2565_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 8866 /* valignd */, X86::VALIGNDZrri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 8866 /* valignd */, X86::VALIGNDZrmi, Convert__Reg1_0__Reg1_1__Mem5125_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 8866 /* valignd */, X86::VALIGNDZ128rmbi, Convert__Reg1_0__Reg1_1__Mem325_2__ImmUnsignedi81_4, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 8866 /* valignd */, X86::VALIGNDZ256rmbi, Convert__Reg1_0__Reg1_1__Mem325_2__ImmUnsignedi81_4, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 8866 /* valignd */, X86::VALIGNDZrmbi, Convert__Reg1_0__Reg1_1__Mem325_2__ImmUnsignedi81_4, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_, MCK_ImmUnsignedi8 }, },
{ 8866 /* valignd */, X86::VALIGNDZ128rrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 8866 /* valignd */, X86::VALIGNDZ128rmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 8866 /* valignd */, X86::VALIGNDZ256rrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 8866 /* valignd */, X86::VALIGNDZ256rmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 8866 /* valignd */, X86::VALIGNDZrrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 8866 /* valignd */, X86::VALIGNDZrmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 8866 /* valignd */, X86::VALIGNDZ128rrikz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 8866 /* valignd */, X86::VALIGNDZ128rmikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 8866 /* valignd */, X86::VALIGNDZ128rmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 8866 /* valignd */, X86::VALIGNDZ256rrikz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 8866 /* valignd */, X86::VALIGNDZ256rmikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 8866 /* valignd */, X86::VALIGNDZ256rmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 8866 /* valignd */, X86::VALIGNDZrrikz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 8866 /* valignd */, X86::VALIGNDZrmikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 8866 /* valignd */, X86::VALIGNDZrmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_, MCK_ImmUnsignedi8 }, },
{ 8866 /* valignd */, X86::VALIGNDZ128rmbikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6__ImmUnsignedi81_8, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 8866 /* valignd */, X86::VALIGNDZ256rmbikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6__ImmUnsignedi81_8, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 8866 /* valignd */, X86::VALIGNDZrmbikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6__ImmUnsignedi81_8, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_, MCK_ImmUnsignedi8 }, },
{ 8874 /* valignq */, X86::VALIGNQZ128rri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 8874 /* valignq */, X86::VALIGNQZ128rmi, Convert__Reg1_0__Reg1_1__Mem1285_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 8874 /* valignq */, X86::VALIGNQZ256rri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 8874 /* valignq */, X86::VALIGNQZ256rmi, Convert__Reg1_0__Reg1_1__Mem2565_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 8874 /* valignq */, X86::VALIGNQZrri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 8874 /* valignq */, X86::VALIGNQZrmi, Convert__Reg1_0__Reg1_1__Mem5125_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 8874 /* valignq */, X86::VALIGNQZ128rmbi, Convert__Reg1_0__Reg1_1__Mem645_2__ImmUnsignedi81_4, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_, MCK_ImmUnsignedi8 }, },
{ 8874 /* valignq */, X86::VALIGNQZ256rmbi, Convert__Reg1_0__Reg1_1__Mem645_2__ImmUnsignedi81_4, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 8874 /* valignq */, X86::VALIGNQZrmbi, Convert__Reg1_0__Reg1_1__Mem645_2__ImmUnsignedi81_4, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 8874 /* valignq */, X86::VALIGNQZ128rrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 8874 /* valignq */, X86::VALIGNQZ128rmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 8874 /* valignq */, X86::VALIGNQZ256rrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 8874 /* valignq */, X86::VALIGNQZ256rmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 8874 /* valignq */, X86::VALIGNQZrrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 8874 /* valignq */, X86::VALIGNQZrmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 8874 /* valignq */, X86::VALIGNQZ128rrikz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 8874 /* valignq */, X86::VALIGNQZ128rmikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 8874 /* valignq */, X86::VALIGNQZ128rmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_, MCK_ImmUnsignedi8 }, },
{ 8874 /* valignq */, X86::VALIGNQZ256rrikz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 8874 /* valignq */, X86::VALIGNQZ256rmikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 8874 /* valignq */, X86::VALIGNQZ256rmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 8874 /* valignq */, X86::VALIGNQZrrikz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 8874 /* valignq */, X86::VALIGNQZrmikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 8874 /* valignq */, X86::VALIGNQZrmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 8874 /* valignq */, X86::VALIGNQZ128rmbikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6__ImmUnsignedi81_8, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_, MCK_ImmUnsignedi8 }, },
{ 8874 /* valignq */, X86::VALIGNQZ256rmbikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6__ImmUnsignedi81_8, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 8874 /* valignq */, X86::VALIGNQZrmbikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6__ImmUnsignedi81_8, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 8882 /* vandnpd */, X86::VANDNPDrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 8882 /* vandnpd */, X86::VANDNPDrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 8882 /* vandnpd */, X86::VANDNPDYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 8882 /* vandnpd */, X86::VANDNPDYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 8882 /* vandnpd */, X86::VANDNPDZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 8882 /* vandnpd */, X86::VANDNPDZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 8882 /* vandnpd */, X86::VANDNPDZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 8882 /* vandnpd */, X86::VANDNPDZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 8882 /* vandnpd */, X86::VANDNPDZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 8882 /* vandnpd */, X86::VANDNPDZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 8882 /* vandnpd */, X86::VANDNPDZ128rmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 8882 /* vandnpd */, X86::VANDNPDZ256rmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 8882 /* vandnpd */, X86::VANDNPDZrmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 8882 /* vandnpd */, X86::VANDNPDZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 8882 /* vandnpd */, X86::VANDNPDZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 8882 /* vandnpd */, X86::VANDNPDZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 8882 /* vandnpd */, X86::VANDNPDZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 8882 /* vandnpd */, X86::VANDNPDZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 8882 /* vandnpd */, X86::VANDNPDZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 8882 /* vandnpd */, X86::VANDNPDZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 8882 /* vandnpd */, X86::VANDNPDZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 8882 /* vandnpd */, X86::VANDNPDZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 8882 /* vandnpd */, X86::VANDNPDZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 8882 /* vandnpd */, X86::VANDNPDZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 8882 /* vandnpd */, X86::VANDNPDZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 8882 /* vandnpd */, X86::VANDNPDZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 8882 /* vandnpd */, X86::VANDNPDZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 8882 /* vandnpd */, X86::VANDNPDZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 8882 /* vandnpd */, X86::VANDNPDZ128rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 8882 /* vandnpd */, X86::VANDNPDZ256rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 8882 /* vandnpd */, X86::VANDNPDZrmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 8890 /* vandnps */, X86::VANDNPSrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 8890 /* vandnps */, X86::VANDNPSrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 8890 /* vandnps */, X86::VANDNPSYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 8890 /* vandnps */, X86::VANDNPSYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 8890 /* vandnps */, X86::VANDNPSZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 8890 /* vandnps */, X86::VANDNPSZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 8890 /* vandnps */, X86::VANDNPSZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 8890 /* vandnps */, X86::VANDNPSZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 8890 /* vandnps */, X86::VANDNPSZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 8890 /* vandnps */, X86::VANDNPSZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 8890 /* vandnps */, X86::VANDNPSZ128rmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 8890 /* vandnps */, X86::VANDNPSZ256rmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 8890 /* vandnps */, X86::VANDNPSZrmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 8890 /* vandnps */, X86::VANDNPSZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 8890 /* vandnps */, X86::VANDNPSZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 8890 /* vandnps */, X86::VANDNPSZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 8890 /* vandnps */, X86::VANDNPSZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 8890 /* vandnps */, X86::VANDNPSZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 8890 /* vandnps */, X86::VANDNPSZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 8890 /* vandnps */, X86::VANDNPSZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 8890 /* vandnps */, X86::VANDNPSZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 8890 /* vandnps */, X86::VANDNPSZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 8890 /* vandnps */, X86::VANDNPSZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 8890 /* vandnps */, X86::VANDNPSZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 8890 /* vandnps */, X86::VANDNPSZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 8890 /* vandnps */, X86::VANDNPSZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 8890 /* vandnps */, X86::VANDNPSZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 8890 /* vandnps */, X86::VANDNPSZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 8890 /* vandnps */, X86::VANDNPSZ128rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 8890 /* vandnps */, X86::VANDNPSZ256rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 8890 /* vandnps */, X86::VANDNPSZrmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 8898 /* vandpd */, X86::VANDPDrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 8898 /* vandpd */, X86::VANDPDrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 8898 /* vandpd */, X86::VANDPDYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 8898 /* vandpd */, X86::VANDPDYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 8898 /* vandpd */, X86::VANDPDZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 8898 /* vandpd */, X86::VANDPDZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 8898 /* vandpd */, X86::VANDPDZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 8898 /* vandpd */, X86::VANDPDZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 8898 /* vandpd */, X86::VANDPDZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 8898 /* vandpd */, X86::VANDPDZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 8898 /* vandpd */, X86::VANDPDZ128rmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 8898 /* vandpd */, X86::VANDPDZ256rmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 8898 /* vandpd */, X86::VANDPDZrmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 8898 /* vandpd */, X86::VANDPDZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 8898 /* vandpd */, X86::VANDPDZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 8898 /* vandpd */, X86::VANDPDZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 8898 /* vandpd */, X86::VANDPDZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 8898 /* vandpd */, X86::VANDPDZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 8898 /* vandpd */, X86::VANDPDZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 8898 /* vandpd */, X86::VANDPDZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 8898 /* vandpd */, X86::VANDPDZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 8898 /* vandpd */, X86::VANDPDZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 8898 /* vandpd */, X86::VANDPDZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 8898 /* vandpd */, X86::VANDPDZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 8898 /* vandpd */, X86::VANDPDZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 8898 /* vandpd */, X86::VANDPDZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 8898 /* vandpd */, X86::VANDPDZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 8898 /* vandpd */, X86::VANDPDZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 8898 /* vandpd */, X86::VANDPDZ128rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 8898 /* vandpd */, X86::VANDPDZ256rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 8898 /* vandpd */, X86::VANDPDZrmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 8905 /* vandps */, X86::VANDPSrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 8905 /* vandps */, X86::VANDPSrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 8905 /* vandps */, X86::VANDPSYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 8905 /* vandps */, X86::VANDPSYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 8905 /* vandps */, X86::VANDPSZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 8905 /* vandps */, X86::VANDPSZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 8905 /* vandps */, X86::VANDPSZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 8905 /* vandps */, X86::VANDPSZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 8905 /* vandps */, X86::VANDPSZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 8905 /* vandps */, X86::VANDPSZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 8905 /* vandps */, X86::VANDPSZ128rmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 8905 /* vandps */, X86::VANDPSZ256rmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 8905 /* vandps */, X86::VANDPSZrmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 8905 /* vandps */, X86::VANDPSZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 8905 /* vandps */, X86::VANDPSZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 8905 /* vandps */, X86::VANDPSZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 8905 /* vandps */, X86::VANDPSZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 8905 /* vandps */, X86::VANDPSZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 8905 /* vandps */, X86::VANDPSZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 8905 /* vandps */, X86::VANDPSZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 8905 /* vandps */, X86::VANDPSZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 8905 /* vandps */, X86::VANDPSZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 8905 /* vandps */, X86::VANDPSZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 8905 /* vandps */, X86::VANDPSZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 8905 /* vandps */, X86::VANDPSZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 8905 /* vandps */, X86::VANDPSZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 8905 /* vandps */, X86::VANDPSZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 8905 /* vandps */, X86::VANDPSZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 8905 /* vandps */, X86::VANDPSZ128rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 8905 /* vandps */, X86::VANDPSZ256rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 8905 /* vandps */, X86::VANDPSZrmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 8912 /* vbcstnebf162ps */, X86::VBCSTNEBF162PSrm, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_FR16, MCK_Mem16 }, },
{ 8912 /* vbcstnebf162ps */, X86::VBCSTNEBF162PSYrm, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_VR256, MCK_Mem16 }, },
{ 8927 /* vbcstnesh2ps */, X86::VBCSTNESH2PSrm, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_FR16, MCK_Mem16 }, },
{ 8927 /* vbcstnesh2ps */, X86::VBCSTNESH2PSYrm, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_VR256, MCK_Mem16 }, },
{ 8940 /* vblendmpd */, X86::VBLENDMPDZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 8940 /* vblendmpd */, X86::VBLENDMPDZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 8940 /* vblendmpd */, X86::VBLENDMPDZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 8940 /* vblendmpd */, X86::VBLENDMPDZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 8940 /* vblendmpd */, X86::VBLENDMPDZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 8940 /* vblendmpd */, X86::VBLENDMPDZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 8940 /* vblendmpd */, X86::VBLENDMPDZ128rmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 8940 /* vblendmpd */, X86::VBLENDMPDZ256rmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 8940 /* vblendmpd */, X86::VBLENDMPDZrmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 8940 /* vblendmpd */, X86::VBLENDMPDZ128rrk, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 8940 /* vblendmpd */, X86::VBLENDMPDZ128rmk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 8940 /* vblendmpd */, X86::VBLENDMPDZ256rrk, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 8940 /* vblendmpd */, X86::VBLENDMPDZ256rmk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 8940 /* vblendmpd */, X86::VBLENDMPDZrrk, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 8940 /* vblendmpd */, X86::VBLENDMPDZrmk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 8940 /* vblendmpd */, X86::VBLENDMPDZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 8940 /* vblendmpd */, X86::VBLENDMPDZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 8940 /* vblendmpd */, X86::VBLENDMPDZ128rmbk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 8940 /* vblendmpd */, X86::VBLENDMPDZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 8940 /* vblendmpd */, X86::VBLENDMPDZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 8940 /* vblendmpd */, X86::VBLENDMPDZ256rmbk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 8940 /* vblendmpd */, X86::VBLENDMPDZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 8940 /* vblendmpd */, X86::VBLENDMPDZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 8940 /* vblendmpd */, X86::VBLENDMPDZrmbk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 8940 /* vblendmpd */, X86::VBLENDMPDZ128rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 8940 /* vblendmpd */, X86::VBLENDMPDZ256rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 8940 /* vblendmpd */, X86::VBLENDMPDZrmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 8950 /* vblendmps */, X86::VBLENDMPSZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 8950 /* vblendmps */, X86::VBLENDMPSZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 8950 /* vblendmps */, X86::VBLENDMPSZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 8950 /* vblendmps */, X86::VBLENDMPSZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 8950 /* vblendmps */, X86::VBLENDMPSZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 8950 /* vblendmps */, X86::VBLENDMPSZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 8950 /* vblendmps */, X86::VBLENDMPSZ128rmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 8950 /* vblendmps */, X86::VBLENDMPSZ256rmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 8950 /* vblendmps */, X86::VBLENDMPSZrmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 8950 /* vblendmps */, X86::VBLENDMPSZ128rrk, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 8950 /* vblendmps */, X86::VBLENDMPSZ128rmk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 8950 /* vblendmps */, X86::VBLENDMPSZ256rrk, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 8950 /* vblendmps */, X86::VBLENDMPSZ256rmk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 8950 /* vblendmps */, X86::VBLENDMPSZrrk, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 8950 /* vblendmps */, X86::VBLENDMPSZrmk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 8950 /* vblendmps */, X86::VBLENDMPSZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 8950 /* vblendmps */, X86::VBLENDMPSZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 8950 /* vblendmps */, X86::VBLENDMPSZ128rmbk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 8950 /* vblendmps */, X86::VBLENDMPSZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 8950 /* vblendmps */, X86::VBLENDMPSZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 8950 /* vblendmps */, X86::VBLENDMPSZ256rmbk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 8950 /* vblendmps */, X86::VBLENDMPSZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 8950 /* vblendmps */, X86::VBLENDMPSZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 8950 /* vblendmps */, X86::VBLENDMPSZrmbk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 8950 /* vblendmps */, X86::VBLENDMPSZ128rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 8950 /* vblendmps */, X86::VBLENDMPSZ256rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 8950 /* vblendmps */, X86::VBLENDMPSZrmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 8960 /* vblendpd */, X86::VBLENDPDrri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 8960 /* vblendpd */, X86::VBLENDPDrmi, Convert__Reg1_0__Reg1_1__Mem1285_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 8960 /* vblendpd */, X86::VBLENDPDYrri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256, MCK_ImmUnsignedi8 }, },
{ 8960 /* vblendpd */, X86::VBLENDPDYrmi, Convert__Reg1_0__Reg1_1__Mem2565_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 8969 /* vblendps */, X86::VBLENDPSrri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 8969 /* vblendps */, X86::VBLENDPSrmi, Convert__Reg1_0__Reg1_1__Mem1285_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 8969 /* vblendps */, X86::VBLENDPSYrri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256, MCK_ImmUnsignedi8 }, },
{ 8969 /* vblendps */, X86::VBLENDPSYrmi, Convert__Reg1_0__Reg1_1__Mem2565_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 8978 /* vblendvpd */, X86::VBLENDVPDrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 8978 /* vblendvpd */, X86::VBLENDVPDrm, Convert__Reg1_0__Reg1_1__Mem1285_2__Reg1_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128, MCK_FR16 }, },
{ 8978 /* vblendvpd */, X86::VBLENDVPDYrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 8978 /* vblendvpd */, X86::VBLENDVPDYrm, Convert__Reg1_0__Reg1_1__Mem2565_2__Reg1_3, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256, MCK_VR256 }, },
{ 8988 /* vblendvps */, X86::VBLENDVPSrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 8988 /* vblendvps */, X86::VBLENDVPSrm, Convert__Reg1_0__Reg1_1__Mem1285_2__Reg1_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128, MCK_FR16 }, },
{ 8988 /* vblendvps */, X86::VBLENDVPSYrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 8988 /* vblendvps */, X86::VBLENDVPSYrm, Convert__Reg1_0__Reg1_1__Mem2565_2__Reg1_3, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256, MCK_VR256 }, },
{ 8998 /* vbroadcastf128 */, X86::VBROADCASTF128, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_VR256, MCK_Mem128 }, },
{ 9013 /* vbroadcastf32x2 */, X86::VBROADCASTF32X2Z256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_FR16X }, },
{ 9013 /* vbroadcastf32x2 */, X86::VBROADCASTF32X2Z256rm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_VR256X, MCK_Mem64 }, },
{ 9013 /* vbroadcastf32x2 */, X86::VBROADCASTF32X2Zrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_FR16X }, },
{ 9013 /* vbroadcastf32x2 */, X86::VBROADCASTF32X2Zrm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_VR512, MCK_Mem64 }, },
{ 9013 /* vbroadcastf32x2 */, X86::VBROADCASTF32X2Z256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 9013 /* vbroadcastf32x2 */, X86::VBROADCASTF32X2Z256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64 }, },
{ 9013 /* vbroadcastf32x2 */, X86::VBROADCASTF32X2Zrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 9013 /* vbroadcastf32x2 */, X86::VBROADCASTF32X2Zrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64 }, },
{ 9013 /* vbroadcastf32x2 */, X86::VBROADCASTF32X2Z256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 9013 /* vbroadcastf32x2 */, X86::VBROADCASTF32X2Z256rmkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64 }, },
{ 9013 /* vbroadcastf32x2 */, X86::VBROADCASTF32X2Zrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 9013 /* vbroadcastf32x2 */, X86::VBROADCASTF32X2Zrmkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64 }, },
{ 9029 /* vbroadcastf32x4 */, X86::VBROADCASTF32X4Z256rm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_VR256X, MCK_Mem128 }, },
{ 9029 /* vbroadcastf32x4 */, X86::VBROADCASTF32X4rm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_VR512, MCK_Mem128 }, },
{ 9029 /* vbroadcastf32x4 */, X86::VBROADCASTF32X4Z256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 9029 /* vbroadcastf32x4 */, X86::VBROADCASTF32X4rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 9029 /* vbroadcastf32x4 */, X86::VBROADCASTF32X4Z256rmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 9029 /* vbroadcastf32x4 */, X86::VBROADCASTF32X4rmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 9045 /* vbroadcastf32x8 */, X86::VBROADCASTF32X8rm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR512, MCK_Mem256 }, },
{ 9045 /* vbroadcastf32x8 */, X86::VBROADCASTF32X8rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 9045 /* vbroadcastf32x8 */, X86::VBROADCASTF32X8rmkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 9061 /* vbroadcastf64x2 */, X86::VBROADCASTF64X2Z128rm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_VR256X, MCK_Mem128 }, },
{ 9061 /* vbroadcastf64x2 */, X86::VBROADCASTF64X2rm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_VR512, MCK_Mem128 }, },
{ 9061 /* vbroadcastf64x2 */, X86::VBROADCASTF64X2Z128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 9061 /* vbroadcastf64x2 */, X86::VBROADCASTF64X2rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 9061 /* vbroadcastf64x2 */, X86::VBROADCASTF64X2Z128rmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 9061 /* vbroadcastf64x2 */, X86::VBROADCASTF64X2rmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 9077 /* vbroadcastf64x4 */, X86::VBROADCASTF64X4rm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR512, MCK_Mem256 }, },
{ 9077 /* vbroadcastf64x4 */, X86::VBROADCASTF64X4rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 9077 /* vbroadcastf64x4 */, X86::VBROADCASTF64X4rmkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 9093 /* vbroadcasti128 */, X86::VBROADCASTI128, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_VR256, MCK_Mem128 }, },
{ 9108 /* vbroadcasti32x2 */, X86::VBROADCASTI32X2Z128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 9108 /* vbroadcasti32x2 */, X86::VBROADCASTI32X2Z128rm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_FR16X, MCK_Mem64 }, },
{ 9108 /* vbroadcasti32x2 */, X86::VBROADCASTI32X2Z256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_FR16X }, },
{ 9108 /* vbroadcasti32x2 */, X86::VBROADCASTI32X2Z256rm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_VR256X, MCK_Mem64 }, },
{ 9108 /* vbroadcasti32x2 */, X86::VBROADCASTI32X2Zrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_FR16X }, },
{ 9108 /* vbroadcasti32x2 */, X86::VBROADCASTI32X2Zrm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_VR512, MCK_Mem64 }, },
{ 9108 /* vbroadcasti32x2 */, X86::VBROADCASTI32X2Z128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 9108 /* vbroadcasti32x2 */, X86::VBROADCASTI32X2Z128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64 }, },
{ 9108 /* vbroadcasti32x2 */, X86::VBROADCASTI32X2Z256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 9108 /* vbroadcasti32x2 */, X86::VBROADCASTI32X2Z256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64 }, },
{ 9108 /* vbroadcasti32x2 */, X86::VBROADCASTI32X2Zrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 9108 /* vbroadcasti32x2 */, X86::VBROADCASTI32X2Zrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64 }, },
{ 9108 /* vbroadcasti32x2 */, X86::VBROADCASTI32X2Z128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 9108 /* vbroadcasti32x2 */, X86::VBROADCASTI32X2Z128rmkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64 }, },
{ 9108 /* vbroadcasti32x2 */, X86::VBROADCASTI32X2Z256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 9108 /* vbroadcasti32x2 */, X86::VBROADCASTI32X2Z256rmkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64 }, },
{ 9108 /* vbroadcasti32x2 */, X86::VBROADCASTI32X2Zrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 9108 /* vbroadcasti32x2 */, X86::VBROADCASTI32X2Zrmkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64 }, },
{ 9124 /* vbroadcasti32x4 */, X86::VBROADCASTI32X4Z256rm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_VR256X, MCK_Mem128 }, },
{ 9124 /* vbroadcasti32x4 */, X86::VBROADCASTI32X4rm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_VR512, MCK_Mem128 }, },
{ 9124 /* vbroadcasti32x4 */, X86::VBROADCASTI32X4Z256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 9124 /* vbroadcasti32x4 */, X86::VBROADCASTI32X4rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 9124 /* vbroadcasti32x4 */, X86::VBROADCASTI32X4Z256rmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 9124 /* vbroadcasti32x4 */, X86::VBROADCASTI32X4rmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 9140 /* vbroadcasti32x8 */, X86::VBROADCASTI32X8rm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR512, MCK_Mem256 }, },
{ 9140 /* vbroadcasti32x8 */, X86::VBROADCASTI32X8rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 9140 /* vbroadcasti32x8 */, X86::VBROADCASTI32X8rmkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 9156 /* vbroadcasti64x2 */, X86::VBROADCASTI64X2Z128rm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_VR256X, MCK_Mem128 }, },
{ 9156 /* vbroadcasti64x2 */, X86::VBROADCASTI64X2rm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_VR512, MCK_Mem128 }, },
{ 9156 /* vbroadcasti64x2 */, X86::VBROADCASTI64X2Z128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 9156 /* vbroadcasti64x2 */, X86::VBROADCASTI64X2rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 9156 /* vbroadcasti64x2 */, X86::VBROADCASTI64X2Z128rmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 9156 /* vbroadcasti64x2 */, X86::VBROADCASTI64X2rmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 9172 /* vbroadcasti64x4 */, X86::VBROADCASTI64X4rm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR512, MCK_Mem256 }, },
{ 9172 /* vbroadcasti64x4 */, X86::VBROADCASTI64X4rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 9172 /* vbroadcasti64x4 */, X86::VBROADCASTI64X4rmkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 9188 /* vbroadcastsd */, X86::VBROADCASTSDYrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256, MCK_FR16 }, },
{ 9188 /* vbroadcastsd */, X86::VBROADCASTSDYrm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_VR256, MCK_Mem64 }, },
{ 9188 /* vbroadcastsd */, X86::VBROADCASTSDZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_FR16X }, },
{ 9188 /* vbroadcastsd */, X86::VBROADCASTSDZ256rm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_VR256X, MCK_Mem64 }, },
{ 9188 /* vbroadcastsd */, X86::VBROADCASTSDZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_FR16X }, },
{ 9188 /* vbroadcastsd */, X86::VBROADCASTSDZrm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_VR512, MCK_Mem64 }, },
{ 9188 /* vbroadcastsd */, X86::VBROADCASTSDZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 9188 /* vbroadcastsd */, X86::VBROADCASTSDZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64 }, },
{ 9188 /* vbroadcastsd */, X86::VBROADCASTSDZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 9188 /* vbroadcastsd */, X86::VBROADCASTSDZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64 }, },
{ 9188 /* vbroadcastsd */, X86::VBROADCASTSDZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 9188 /* vbroadcastsd */, X86::VBROADCASTSDZ256rmkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64 }, },
{ 9188 /* vbroadcastsd */, X86::VBROADCASTSDZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 9188 /* vbroadcastsd */, X86::VBROADCASTSDZrmkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64 }, },
{ 9201 /* vbroadcastss */, X86::VBROADCASTSSrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 9201 /* vbroadcastss */, X86::VBROADCASTSSrm, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_FR16, MCK_Mem32 }, },
{ 9201 /* vbroadcastss */, X86::VBROADCASTSSYrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256, MCK_FR16 }, },
{ 9201 /* vbroadcastss */, X86::VBROADCASTSSYrm, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_VR256, MCK_Mem32 }, },
{ 9201 /* vbroadcastss */, X86::VBROADCASTSSZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 9201 /* vbroadcastss */, X86::VBROADCASTSSZ128rm, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_FR16X, MCK_Mem32 }, },
{ 9201 /* vbroadcastss */, X86::VBROADCASTSSZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_FR16X }, },
{ 9201 /* vbroadcastss */, X86::VBROADCASTSSZ256rm, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_VR256X, MCK_Mem32 }, },
{ 9201 /* vbroadcastss */, X86::VBROADCASTSSZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_FR16X }, },
{ 9201 /* vbroadcastss */, X86::VBROADCASTSSZrm, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_VR512, MCK_Mem32 }, },
{ 9201 /* vbroadcastss */, X86::VBROADCASTSSZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 9201 /* vbroadcastss */, X86::VBROADCASTSSZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32 }, },
{ 9201 /* vbroadcastss */, X86::VBROADCASTSSZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 9201 /* vbroadcastss */, X86::VBROADCASTSSZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32 }, },
{ 9201 /* vbroadcastss */, X86::VBROADCASTSSZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 9201 /* vbroadcastss */, X86::VBROADCASTSSZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32 }, },
{ 9201 /* vbroadcastss */, X86::VBROADCASTSSZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 9201 /* vbroadcastss */, X86::VBROADCASTSSZ128rmkz, Convert__Reg1_0__Reg1_2__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32 }, },
{ 9201 /* vbroadcastss */, X86::VBROADCASTSSZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 9201 /* vbroadcastss */, X86::VBROADCASTSSZ256rmkz, Convert__Reg1_0__Reg1_2__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32 }, },
{ 9201 /* vbroadcastss */, X86::VBROADCASTSSZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 9201 /* vbroadcastss */, X86::VBROADCASTSSZrmkz, Convert__Reg1_0__Reg1_2__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32 }, },
{ 9214 /* vcmppd */, X86::VCMPPDZ128rri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VK1, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 9214 /* vcmppd */, X86::VCMPPDZ128rmi, Convert__Reg1_0__Reg1_1__Mem1285_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VK1, MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 9214 /* vcmppd */, X86::VCMPPDZ256rri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VK1, MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 9214 /* vcmppd */, X86::VCMPPDZ256rmi, Convert__Reg1_0__Reg1_1__Mem2565_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VK1, MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 9214 /* vcmppd */, X86::VCMPPDZrri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VK1, MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 9214 /* vcmppd */, X86::VCMPPDZrmi, Convert__Reg1_0__Reg1_1__Mem5125_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VK1, MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 9214 /* vcmppd */, X86::VCMPPDrri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 9214 /* vcmppd */, X86::VCMPPDrmi, Convert__Reg1_0__Reg1_1__Mem1285_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 9214 /* vcmppd */, X86::VCMPPDYrri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256, MCK_ImmUnsignedi8 }, },
{ 9214 /* vcmppd */, X86::VCMPPDYrmi, Convert__Reg1_0__Reg1_1__Mem2565_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 9214 /* vcmppd */, X86::VCMPPDZ128rmbi, Convert__Reg1_0__Reg1_1__Mem645_2__ImmUnsignedi81_4, AMFBS_None, { MCK_VK1, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_, MCK_ImmUnsignedi8 }, },
{ 9214 /* vcmppd */, X86::VCMPPDZ256rmbi, Convert__Reg1_0__Reg1_1__Mem645_2__ImmUnsignedi81_4, AMFBS_None, { MCK_VK1, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 9214 /* vcmppd */, X86::VCMPPDZrrib, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_4, AMFBS_None, { MCK_VK1, MCK_VR512, MCK_VR512, MCK__123_sae_125_, MCK_ImmUnsignedi8 }, },
{ 9214 /* vcmppd */, X86::VCMPPDZrmbi, Convert__Reg1_0__Reg1_1__Mem645_2__ImmUnsignedi81_4, AMFBS_None, { MCK_VK1, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 9214 /* vcmppd */, X86::VCMPPDZ128rrik, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 9214 /* vcmppd */, X86::VCMPPDZ128rmik, Convert__Reg1_0__Reg1_2__Reg1_4__Mem1285_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 9214 /* vcmppd */, X86::VCMPPDZ256rrik, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 9214 /* vcmppd */, X86::VCMPPDZ256rmik, Convert__Reg1_0__Reg1_2__Reg1_4__Mem2565_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 9214 /* vcmppd */, X86::VCMPPDZrrik, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 9214 /* vcmppd */, X86::VCMPPDZrmik, Convert__Reg1_0__Reg1_2__Reg1_4__Mem5125_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 9214 /* vcmppd */, X86::VCMPPDZ128rmbik, Convert__Reg1_0__Reg1_2__Reg1_4__Mem645_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_, MCK_ImmUnsignedi8 }, },
{ 9214 /* vcmppd */, X86::VCMPPDZ256rmbik, Convert__Reg1_0__Reg1_2__Reg1_4__Mem645_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 9214 /* vcmppd */, X86::VCMPPDZrribk, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK__123_sae_125_, MCK_ImmUnsignedi8 }, },
{ 9214 /* vcmppd */, X86::VCMPPDZrmbik, Convert__Reg1_0__Reg1_2__Reg1_4__Mem645_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 9221 /* vcmpph */, X86::VCMPPHZ128rri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VK1, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 9221 /* vcmpph */, X86::VCMPPHZ128rmi, Convert__Reg1_0__Reg1_1__Mem1285_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VK1, MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 9221 /* vcmpph */, X86::VCMPPHZ256rri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VK1, MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 9221 /* vcmpph */, X86::VCMPPHZ256rmi, Convert__Reg1_0__Reg1_1__Mem2565_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VK1, MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 9221 /* vcmpph */, X86::VCMPPHZrri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VK1, MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 9221 /* vcmpph */, X86::VCMPPHZrmi, Convert__Reg1_0__Reg1_1__Mem5125_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VK1, MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 9221 /* vcmpph */, X86::VCMPPHZ128rmbi, Convert__Reg1_0__Reg1_1__Mem165_2__ImmUnsignedi81_4, AMFBS_None, { MCK_VK1, MCK_FR16X, MCK_Mem16, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 9221 /* vcmpph */, X86::VCMPPHZ256rmbi, Convert__Reg1_0__Reg1_1__Mem165_2__ImmUnsignedi81_4, AMFBS_None, { MCK_VK1, MCK_VR256X, MCK_Mem16, MCK__123_1to16_125_, MCK_ImmUnsignedi8 }, },
{ 9221 /* vcmpph */, X86::VCMPPHZrrib, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_4, AMFBS_None, { MCK_VK1, MCK_VR512, MCK_VR512, MCK__123_sae_125_, MCK_ImmUnsignedi8 }, },
{ 9221 /* vcmpph */, X86::VCMPPHZrmbi, Convert__Reg1_0__Reg1_1__Mem165_2__ImmUnsignedi81_4, AMFBS_None, { MCK_VK1, MCK_VR512, MCK_Mem16, MCK__123_1to32_125_, MCK_ImmUnsignedi8 }, },
{ 9221 /* vcmpph */, X86::VCMPPHZ128rrik, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 9221 /* vcmpph */, X86::VCMPPHZ128rmik, Convert__Reg1_0__Reg1_2__Reg1_4__Mem1285_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 9221 /* vcmpph */, X86::VCMPPHZ256rrik, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 9221 /* vcmpph */, X86::VCMPPHZ256rmik, Convert__Reg1_0__Reg1_2__Reg1_4__Mem2565_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 9221 /* vcmpph */, X86::VCMPPHZrrik, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 9221 /* vcmpph */, X86::VCMPPHZrmik, Convert__Reg1_0__Reg1_2__Reg1_4__Mem5125_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 9221 /* vcmpph */, X86::VCMPPHZ128rmbik, Convert__Reg1_0__Reg1_2__Reg1_4__Mem165_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem16, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 9221 /* vcmpph */, X86::VCMPPHZ256rmbik, Convert__Reg1_0__Reg1_2__Reg1_4__Mem165_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem16, MCK__123_1to16_125_, MCK_ImmUnsignedi8 }, },
{ 9221 /* vcmpph */, X86::VCMPPHZrribk, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK__123_sae_125_, MCK_ImmUnsignedi8 }, },
{ 9221 /* vcmpph */, X86::VCMPPHZrmbik, Convert__Reg1_0__Reg1_2__Reg1_4__Mem165_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem16, MCK__123_1to32_125_, MCK_ImmUnsignedi8 }, },
{ 9228 /* vcmpps */, X86::VCMPPSZ128rri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VK1, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 9228 /* vcmpps */, X86::VCMPPSZ128rmi, Convert__Reg1_0__Reg1_1__Mem1285_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VK1, MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 9228 /* vcmpps */, X86::VCMPPSZ256rri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VK1, MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 9228 /* vcmpps */, X86::VCMPPSZ256rmi, Convert__Reg1_0__Reg1_1__Mem2565_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VK1, MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 9228 /* vcmpps */, X86::VCMPPSZrri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VK1, MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 9228 /* vcmpps */, X86::VCMPPSZrmi, Convert__Reg1_0__Reg1_1__Mem5125_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VK1, MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 9228 /* vcmpps */, X86::VCMPPSrri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 9228 /* vcmpps */, X86::VCMPPSrmi, Convert__Reg1_0__Reg1_1__Mem1285_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 9228 /* vcmpps */, X86::VCMPPSYrri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256, MCK_ImmUnsignedi8 }, },
{ 9228 /* vcmpps */, X86::VCMPPSYrmi, Convert__Reg1_0__Reg1_1__Mem2565_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 9228 /* vcmpps */, X86::VCMPPSZ128rmbi, Convert__Reg1_0__Reg1_1__Mem325_2__ImmUnsignedi81_4, AMFBS_None, { MCK_VK1, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 9228 /* vcmpps */, X86::VCMPPSZ256rmbi, Convert__Reg1_0__Reg1_1__Mem325_2__ImmUnsignedi81_4, AMFBS_None, { MCK_VK1, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 9228 /* vcmpps */, X86::VCMPPSZrrib, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_4, AMFBS_None, { MCK_VK1, MCK_VR512, MCK_VR512, MCK__123_sae_125_, MCK_ImmUnsignedi8 }, },
{ 9228 /* vcmpps */, X86::VCMPPSZrmbi, Convert__Reg1_0__Reg1_1__Mem325_2__ImmUnsignedi81_4, AMFBS_None, { MCK_VK1, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_, MCK_ImmUnsignedi8 }, },
{ 9228 /* vcmpps */, X86::VCMPPSZ128rrik, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 9228 /* vcmpps */, X86::VCMPPSZ128rmik, Convert__Reg1_0__Reg1_2__Reg1_4__Mem1285_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 9228 /* vcmpps */, X86::VCMPPSZ256rrik, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 9228 /* vcmpps */, X86::VCMPPSZ256rmik, Convert__Reg1_0__Reg1_2__Reg1_4__Mem2565_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 9228 /* vcmpps */, X86::VCMPPSZrrik, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 9228 /* vcmpps */, X86::VCMPPSZrmik, Convert__Reg1_0__Reg1_2__Reg1_4__Mem5125_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 9228 /* vcmpps */, X86::VCMPPSZ128rmbik, Convert__Reg1_0__Reg1_2__Reg1_4__Mem325_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 9228 /* vcmpps */, X86::VCMPPSZ256rmbik, Convert__Reg1_0__Reg1_2__Reg1_4__Mem325_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 9228 /* vcmpps */, X86::VCMPPSZrribk, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK__123_sae_125_, MCK_ImmUnsignedi8 }, },
{ 9228 /* vcmpps */, X86::VCMPPSZrmbik, Convert__Reg1_0__Reg1_2__Reg1_4__Mem325_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_, MCK_ImmUnsignedi8 }, },
{ 9235 /* vcmpsd */, X86::VCMPSDZrr_Int, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VK1, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 9235 /* vcmpsd */, X86::VCMPSDZrm_Int, Convert__Reg1_0__Reg1_1__Mem645_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VK1, MCK_FR16X, MCK_Mem64, MCK_ImmUnsignedi8 }, },
{ 9235 /* vcmpsd */, X86::VCMPSDrr_Int, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 9235 /* vcmpsd */, X86::VCMPSDrm_Int, Convert__Reg1_0__Reg1_1__Mem645_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem64, MCK_ImmUnsignedi8 }, },
{ 9235 /* vcmpsd */, X86::VCMPSDZrrb_Int, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_4, AMFBS_None, { MCK_VK1, MCK_FR16X, MCK_FR16X, MCK__123_sae_125_, MCK_ImmUnsignedi8 }, },
{ 9235 /* vcmpsd */, X86::VCMPSDZrr_Intk, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 9235 /* vcmpsd */, X86::VCMPSDZrm_Intk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem645_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64, MCK_ImmUnsignedi8 }, },
{ 9235 /* vcmpsd */, X86::VCMPSDZrrb_Intk, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK__123_sae_125_, MCK_ImmUnsignedi8 }, },
{ 9242 /* vcmpsh */, X86::VCMPSHZrr_Int, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VK1, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 9242 /* vcmpsh */, X86::VCMPSHZrm_Int, Convert__Reg1_0__Reg1_1__Mem165_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VK1, MCK_FR16X, MCK_Mem16, MCK_ImmUnsignedi8 }, },
{ 9242 /* vcmpsh */, X86::VCMPSHZrrb_Int, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_4, AMFBS_None, { MCK_VK1, MCK_FR16X, MCK_FR16X, MCK__123_sae_125_, MCK_ImmUnsignedi8 }, },
{ 9242 /* vcmpsh */, X86::VCMPSHZrr_Intk, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 9242 /* vcmpsh */, X86::VCMPSHZrm_Intk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem165_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem16, MCK_ImmUnsignedi8 }, },
{ 9242 /* vcmpsh */, X86::VCMPSHZrrb_Intk, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK__123_sae_125_, MCK_ImmUnsignedi8 }, },
{ 9249 /* vcmpss */, X86::VCMPSSZrr_Int, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VK1, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 9249 /* vcmpss */, X86::VCMPSSZrm_Int, Convert__Reg1_0__Reg1_1__Mem325_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VK1, MCK_FR16X, MCK_Mem32, MCK_ImmUnsignedi8 }, },
{ 9249 /* vcmpss */, X86::VCMPSSrr_Int, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 9249 /* vcmpss */, X86::VCMPSSrm_Int, Convert__Reg1_0__Reg1_1__Mem325_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem32, MCK_ImmUnsignedi8 }, },
{ 9249 /* vcmpss */, X86::VCMPSSZrrb_Int, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_4, AMFBS_None, { MCK_VK1, MCK_FR16X, MCK_FR16X, MCK__123_sae_125_, MCK_ImmUnsignedi8 }, },
{ 9249 /* vcmpss */, X86::VCMPSSZrr_Intk, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 9249 /* vcmpss */, X86::VCMPSSZrm_Intk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem325_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32, MCK_ImmUnsignedi8 }, },
{ 9249 /* vcmpss */, X86::VCMPSSZrrb_Intk, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK__123_sae_125_, MCK_ImmUnsignedi8 }, },
{ 9256 /* vcomisd */, X86::VCOMISDrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 9256 /* vcomisd */, X86::VCOMISDrm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_FR16, MCK_Mem64 }, },
{ 9256 /* vcomisd */, X86::VCOMISDZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 9256 /* vcomisd */, X86::VCOMISDZrm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_FR16X, MCK_Mem64 }, },
{ 9256 /* vcomisd */, X86::VCOMISDZrrb, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_sae_125_ }, },
{ 9264 /* vcomish */, X86::VCOMISHZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 9264 /* vcomish */, X86::VCOMISHZrm, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_FR16X, MCK_Mem16 }, },
{ 9264 /* vcomish */, X86::VCOMISHZrrb, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_sae_125_ }, },
{ 9272 /* vcomiss */, X86::VCOMISSrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 9272 /* vcomiss */, X86::VCOMISSrm, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_FR16, MCK_Mem32 }, },
{ 9272 /* vcomiss */, X86::VCOMISSZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 9272 /* vcomiss */, X86::VCOMISSZrm, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_FR16X, MCK_Mem32 }, },
{ 9272 /* vcomiss */, X86::VCOMISSZrrb, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_sae_125_ }, },
{ 9280 /* vcompresspd */, X86::VCOMPRESSPDZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 9280 /* vcompresspd */, X86::VCOMPRESSPDZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 9280 /* vcompresspd */, X86::VCOMPRESSPDZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 9280 /* vcompresspd */, X86::VCOMPRESSPDZ128mr, Convert__Mem1285_0__Reg1_1, AMFBS_None, { MCK_Mem128, MCK_FR16X }, },
{ 9280 /* vcompresspd */, X86::VCOMPRESSPDZ256mr, Convert__Mem2565_0__Reg1_1, AMFBS_None, { MCK_Mem256, MCK_VR256X }, },
{ 9280 /* vcompresspd */, X86::VCOMPRESSPDZmr, Convert__Mem5125_0__Reg1_1, AMFBS_None, { MCK_Mem512, MCK_VR512 }, },
{ 9280 /* vcompresspd */, X86::VCOMPRESSPDZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 9280 /* vcompresspd */, X86::VCOMPRESSPDZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 9280 /* vcompresspd */, X86::VCOMPRESSPDZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 9280 /* vcompresspd */, X86::VCOMPRESSPDZ128mrk, Convert__Mem1285_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem128, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 9280 /* vcompresspd */, X86::VCOMPRESSPDZ256mrk, Convert__Mem2565_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem256, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 9280 /* vcompresspd */, X86::VCOMPRESSPDZmrk, Convert__Mem5125_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 9280 /* vcompresspd */, X86::VCOMPRESSPDZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 9280 /* vcompresspd */, X86::VCOMPRESSPDZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 9280 /* vcompresspd */, X86::VCOMPRESSPDZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 9292 /* vcompressps */, X86::VCOMPRESSPSZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 9292 /* vcompressps */, X86::VCOMPRESSPSZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 9292 /* vcompressps */, X86::VCOMPRESSPSZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 9292 /* vcompressps */, X86::VCOMPRESSPSZ128mr, Convert__Mem1285_0__Reg1_1, AMFBS_None, { MCK_Mem128, MCK_FR16X }, },
{ 9292 /* vcompressps */, X86::VCOMPRESSPSZ256mr, Convert__Mem2565_0__Reg1_1, AMFBS_None, { MCK_Mem256, MCK_VR256X }, },
{ 9292 /* vcompressps */, X86::VCOMPRESSPSZmr, Convert__Mem5125_0__Reg1_1, AMFBS_None, { MCK_Mem512, MCK_VR512 }, },
{ 9292 /* vcompressps */, X86::VCOMPRESSPSZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 9292 /* vcompressps */, X86::VCOMPRESSPSZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 9292 /* vcompressps */, X86::VCOMPRESSPSZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 9292 /* vcompressps */, X86::VCOMPRESSPSZ128mrk, Convert__Mem1285_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem128, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 9292 /* vcompressps */, X86::VCOMPRESSPSZ256mrk, Convert__Mem2565_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem256, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 9292 /* vcompressps */, X86::VCOMPRESSPSZmrk, Convert__Mem5125_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 9292 /* vcompressps */, X86::VCOMPRESSPSZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 9292 /* vcompressps */, X86::VCOMPRESSPSZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 9292 /* vcompressps */, X86::VCOMPRESSPSZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 9304 /* vcvtdq2pd */, X86::VCVTDQ2PDrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 9304 /* vcvtdq2pd */, X86::VCVTDQ2PDrm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_FR16, MCK_Mem64 }, },
{ 9304 /* vcvtdq2pd */, X86::VCVTDQ2PDYrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256, MCK_FR16 }, },
{ 9304 /* vcvtdq2pd */, X86::VCVTDQ2PDYrm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_VR256, MCK_Mem128 }, },
{ 9304 /* vcvtdq2pd */, X86::VCVTDQ2PDZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 9304 /* vcvtdq2pd */, X86::VCVTDQ2PDZ128rm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_FR16X, MCK_Mem64 }, },
{ 9304 /* vcvtdq2pd */, X86::VCVTDQ2PDZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_FR16X }, },
{ 9304 /* vcvtdq2pd */, X86::VCVTDQ2PDZ256rm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_VR256X, MCK_Mem128 }, },
{ 9304 /* vcvtdq2pd */, X86::VCVTDQ2PDZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR256X }, },
{ 9304 /* vcvtdq2pd */, X86::VCVTDQ2PDZrm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR512, MCK_Mem256 }, },
{ 9304 /* vcvtdq2pd */, X86::VCVTDQ2PDZ128rmb, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_FR16X, MCK_Mem32, MCK__123_1to2_125_ }, },
{ 9304 /* vcvtdq2pd */, X86::VCVTDQ2PDZ256rmb, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_VR256X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 9304 /* vcvtdq2pd */, X86::VCVTDQ2PDZrmb, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_VR512, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 9304 /* vcvtdq2pd */, X86::VCVTDQ2PDZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 9304 /* vcvtdq2pd */, X86::VCVTDQ2PDZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64 }, },
{ 9304 /* vcvtdq2pd */, X86::VCVTDQ2PDZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 9304 /* vcvtdq2pd */, X86::VCVTDQ2PDZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 9304 /* vcvtdq2pd */, X86::VCVTDQ2PDZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 9304 /* vcvtdq2pd */, X86::VCVTDQ2PDZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 9304 /* vcvtdq2pd */, X86::VCVTDQ2PDZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 9304 /* vcvtdq2pd */, X86::VCVTDQ2PDZ128rmkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64 }, },
{ 9304 /* vcvtdq2pd */, X86::VCVTDQ2PDZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to2_125_ }, },
{ 9304 /* vcvtdq2pd */, X86::VCVTDQ2PDZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 9304 /* vcvtdq2pd */, X86::VCVTDQ2PDZ256rmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 9304 /* vcvtdq2pd */, X86::VCVTDQ2PDZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 9304 /* vcvtdq2pd */, X86::VCVTDQ2PDZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 9304 /* vcvtdq2pd */, X86::VCVTDQ2PDZrmkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 9304 /* vcvtdq2pd */, X86::VCVTDQ2PDZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 9304 /* vcvtdq2pd */, X86::VCVTDQ2PDZ128rmbkz, Convert__Reg1_0__Reg1_2__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to2_125_ }, },
{ 9304 /* vcvtdq2pd */, X86::VCVTDQ2PDZ256rmbkz, Convert__Reg1_0__Reg1_2__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 9304 /* vcvtdq2pd */, X86::VCVTDQ2PDZrmbkz, Convert__Reg1_0__Reg1_2__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 9314 /* vcvtdq2ph */, X86::VCVTDQ2PHZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 9314 /* vcvtdq2ph */, X86::VCVTDQ2PHZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_VR256X }, },
{ 9314 /* vcvtdq2ph */, X86::VCVTDQ2PHZ128rm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16X, MCK_Mem128 }, },
{ 9314 /* vcvtdq2ph */, X86::VCVTDQ2PHZ256rm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_FR16X, MCK_Mem256 }, },
{ 9314 /* vcvtdq2ph */, X86::VCVTDQ2PHZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR512 }, },
{ 9314 /* vcvtdq2ph */, X86::VCVTDQ2PHZrm, Convert__Reg1_0__Mem5125_1, AMFBS_None, { MCK_VR256X, MCK_Mem512 }, },
{ 9314 /* vcvtdq2ph */, X86::VCVTDQ2PHZ128rmb, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 9314 /* vcvtdq2ph */, X86::VCVTDQ2PHZ256rmb, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_FR16X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 9314 /* vcvtdq2ph */, X86::VCVTDQ2PHZrrb, Convert__Reg1_0__Reg1_1__AVX512RC1_2, AMFBS_None, { MCK_VR256X, MCK_VR512, MCK_AVX512RC }, },
{ 9314 /* vcvtdq2ph */, X86::VCVTDQ2PHZrmb, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_VR256X, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 9314 /* vcvtdq2ph */, X86::VCVTDQ2PHZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 9314 /* vcvtdq2ph */, X86::VCVTDQ2PHZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 9314 /* vcvtdq2ph */, X86::VCVTDQ2PHZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 9314 /* vcvtdq2ph */, X86::VCVTDQ2PHZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 9314 /* vcvtdq2ph */, X86::VCVTDQ2PHZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 9314 /* vcvtdq2ph */, X86::VCVTDQ2PHZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512 }, },
{ 9314 /* vcvtdq2ph */, X86::VCVTDQ2PHZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 9314 /* vcvtdq2ph */, X86::VCVTDQ2PHZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 9314 /* vcvtdq2ph */, X86::VCVTDQ2PHZ128rmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 9314 /* vcvtdq2ph */, X86::VCVTDQ2PHZ256rmkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 9314 /* vcvtdq2ph */, X86::VCVTDQ2PHZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 9314 /* vcvtdq2ph */, X86::VCVTDQ2PHZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 9314 /* vcvtdq2ph */, X86::VCVTDQ2PHZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 9314 /* vcvtdq2ph */, X86::VCVTDQ2PHZrmkz, Convert__Reg1_0__Reg1_2__Mem5125_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512 }, },
{ 9314 /* vcvtdq2ph */, X86::VCVTDQ2PHZrrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__AVX512RC1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_AVX512RC }, },
{ 9314 /* vcvtdq2ph */, X86::VCVTDQ2PHZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 9314 /* vcvtdq2ph */, X86::VCVTDQ2PHZ128rmbkz, Convert__Reg1_0__Reg1_2__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 9314 /* vcvtdq2ph */, X86::VCVTDQ2PHZ256rmbkz, Convert__Reg1_0__Reg1_2__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 9314 /* vcvtdq2ph */, X86::VCVTDQ2PHZrrbkz, Convert__Reg1_0__Reg1_2__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_AVX512RC }, },
{ 9314 /* vcvtdq2ph */, X86::VCVTDQ2PHZrmbkz, Convert__Reg1_0__Reg1_2__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 9346 /* vcvtdq2ps */, X86::VCVTDQ2PSrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 9346 /* vcvtdq2ps */, X86::VCVTDQ2PSrm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 9346 /* vcvtdq2ps */, X86::VCVTDQ2PSYrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256, MCK_VR256 }, },
{ 9346 /* vcvtdq2ps */, X86::VCVTDQ2PSYrm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR256, MCK_Mem256 }, },
{ 9346 /* vcvtdq2ps */, X86::VCVTDQ2PSZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 9346 /* vcvtdq2ps */, X86::VCVTDQ2PSZ128rm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16X, MCK_Mem128 }, },
{ 9346 /* vcvtdq2ps */, X86::VCVTDQ2PSZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 9346 /* vcvtdq2ps */, X86::VCVTDQ2PSZ256rm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR256X, MCK_Mem256 }, },
{ 9346 /* vcvtdq2ps */, X86::VCVTDQ2PSZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 9346 /* vcvtdq2ps */, X86::VCVTDQ2PSZrm, Convert__Reg1_0__Mem5125_1, AMFBS_None, { MCK_VR512, MCK_Mem512 }, },
{ 9346 /* vcvtdq2ps */, X86::VCVTDQ2PSZ128rmb, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 9346 /* vcvtdq2ps */, X86::VCVTDQ2PSZ256rmb, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 9346 /* vcvtdq2ps */, X86::VCVTDQ2PSZrrb, Convert__Reg1_0__Reg1_1__AVX512RC1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 9346 /* vcvtdq2ps */, X86::VCVTDQ2PSZrmb, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 9346 /* vcvtdq2ps */, X86::VCVTDQ2PSZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 9346 /* vcvtdq2ps */, X86::VCVTDQ2PSZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 9346 /* vcvtdq2ps */, X86::VCVTDQ2PSZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 9346 /* vcvtdq2ps */, X86::VCVTDQ2PSZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 9346 /* vcvtdq2ps */, X86::VCVTDQ2PSZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 9346 /* vcvtdq2ps */, X86::VCVTDQ2PSZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512 }, },
{ 9346 /* vcvtdq2ps */, X86::VCVTDQ2PSZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 9346 /* vcvtdq2ps */, X86::VCVTDQ2PSZ128rmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 9346 /* vcvtdq2ps */, X86::VCVTDQ2PSZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 9346 /* vcvtdq2ps */, X86::VCVTDQ2PSZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 9346 /* vcvtdq2ps */, X86::VCVTDQ2PSZ256rmkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 9346 /* vcvtdq2ps */, X86::VCVTDQ2PSZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 9346 /* vcvtdq2ps */, X86::VCVTDQ2PSZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 9346 /* vcvtdq2ps */, X86::VCVTDQ2PSZrmkz, Convert__Reg1_0__Reg1_2__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512 }, },
{ 9346 /* vcvtdq2ps */, X86::VCVTDQ2PSZrrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__AVX512RC1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_AVX512RC }, },
{ 9346 /* vcvtdq2ps */, X86::VCVTDQ2PSZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 9346 /* vcvtdq2ps */, X86::VCVTDQ2PSZ128rmbkz, Convert__Reg1_0__Reg1_2__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 9346 /* vcvtdq2ps */, X86::VCVTDQ2PSZ256rmbkz, Convert__Reg1_0__Reg1_2__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 9346 /* vcvtdq2ps */, X86::VCVTDQ2PSZrrbkz, Convert__Reg1_0__Reg1_2__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_AVX512RC }, },
{ 9346 /* vcvtdq2ps */, X86::VCVTDQ2PSZrmbkz, Convert__Reg1_0__Reg1_2__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 9356 /* vcvtne2ps2bf16 */, X86::VCVTNE2PS2BF16Z128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 9356 /* vcvtne2ps2bf16 */, X86::VCVTNE2PS2BF16Z128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 9356 /* vcvtne2ps2bf16 */, X86::VCVTNE2PS2BF16Z256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 9356 /* vcvtne2ps2bf16 */, X86::VCVTNE2PS2BF16Z256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 9356 /* vcvtne2ps2bf16 */, X86::VCVTNE2PS2BF16Zrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 9356 /* vcvtne2ps2bf16 */, X86::VCVTNE2PS2BF16Zrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 9356 /* vcvtne2ps2bf16 */, X86::VCVTNE2PS2BF16Z128rmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 9356 /* vcvtne2ps2bf16 */, X86::VCVTNE2PS2BF16Z256rmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 9356 /* vcvtne2ps2bf16 */, X86::VCVTNE2PS2BF16Zrmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 9356 /* vcvtne2ps2bf16 */, X86::VCVTNE2PS2BF16Z128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 9356 /* vcvtne2ps2bf16 */, X86::VCVTNE2PS2BF16Z128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 9356 /* vcvtne2ps2bf16 */, X86::VCVTNE2PS2BF16Z256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 9356 /* vcvtne2ps2bf16 */, X86::VCVTNE2PS2BF16Z256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 9356 /* vcvtne2ps2bf16 */, X86::VCVTNE2PS2BF16Zrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 9356 /* vcvtne2ps2bf16 */, X86::VCVTNE2PS2BF16Zrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 9356 /* vcvtne2ps2bf16 */, X86::VCVTNE2PS2BF16Z128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 9356 /* vcvtne2ps2bf16 */, X86::VCVTNE2PS2BF16Z128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 9356 /* vcvtne2ps2bf16 */, X86::VCVTNE2PS2BF16Z128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 9356 /* vcvtne2ps2bf16 */, X86::VCVTNE2PS2BF16Z256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 9356 /* vcvtne2ps2bf16 */, X86::VCVTNE2PS2BF16Z256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 9356 /* vcvtne2ps2bf16 */, X86::VCVTNE2PS2BF16Z256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 9356 /* vcvtne2ps2bf16 */, X86::VCVTNE2PS2BF16Zrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 9356 /* vcvtne2ps2bf16 */, X86::VCVTNE2PS2BF16Zrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 9356 /* vcvtne2ps2bf16 */, X86::VCVTNE2PS2BF16Zrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 9356 /* vcvtne2ps2bf16 */, X86::VCVTNE2PS2BF16Z128rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 9356 /* vcvtne2ps2bf16 */, X86::VCVTNE2PS2BF16Z256rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 9356 /* vcvtne2ps2bf16 */, X86::VCVTNE2PS2BF16Zrmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 9371 /* vcvtneebf162ps */, X86::VCVTNEEBF162PSrm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 9371 /* vcvtneebf162ps */, X86::VCVTNEEBF162PSYrm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR256, MCK_Mem256 }, },
{ 9386 /* vcvtneeph2ps */, X86::VCVTNEEPH2PSrm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 9386 /* vcvtneeph2ps */, X86::VCVTNEEPH2PSYrm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR256, MCK_Mem256 }, },
{ 9399 /* vcvtneobf162ps */, X86::VCVTNEOBF162PSrm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 9399 /* vcvtneobf162ps */, X86::VCVTNEOBF162PSYrm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR256, MCK_Mem256 }, },
{ 9414 /* vcvtneoph2ps */, X86::VCVTNEOPH2PSrm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 9414 /* vcvtneoph2ps */, X86::VCVTNEOPH2PSYrm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR256, MCK_Mem256 }, },
{ 9427 /* vcvtneps2bf16 */, X86::VCVTNEPS2BF16rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 9427 /* vcvtneps2bf16 */, X86::VCVTNEPS2BF16Yrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_VR256 }, },
{ 9427 /* vcvtneps2bf16 */, X86::VCVTNEPS2BF16rm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 9427 /* vcvtneps2bf16 */, X86::VCVTNEPS2BF16Yrm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_FR16, MCK_Mem256 }, },
{ 9427 /* vcvtneps2bf16 */, X86::VCVTNEPS2BF16Z128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 9427 /* vcvtneps2bf16 */, X86::VCVTNEPS2BF16Z256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_VR256X }, },
{ 9427 /* vcvtneps2bf16 */, X86::VCVTNEPS2BF16Z128rm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16X, MCK_Mem128 }, },
{ 9427 /* vcvtneps2bf16 */, X86::VCVTNEPS2BF16Z256rm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_FR16X, MCK_Mem256 }, },
{ 9427 /* vcvtneps2bf16 */, X86::VCVTNEPS2BF16Zrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR512 }, },
{ 9427 /* vcvtneps2bf16 */, X86::VCVTNEPS2BF16Zrm, Convert__Reg1_0__Mem5125_1, AMFBS_None, { MCK_VR256X, MCK_Mem512 }, },
{ 9427 /* vcvtneps2bf16 */, X86::VCVTNEPS2BF16Z128rmb, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 9427 /* vcvtneps2bf16 */, X86::VCVTNEPS2BF16Z256rmb, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_FR16X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 9427 /* vcvtneps2bf16 */, X86::VCVTNEPS2BF16Zrmb, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_VR256X, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 9427 /* vcvtneps2bf16 */, X86::VCVTNEPS2BF16Z128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 9427 /* vcvtneps2bf16 */, X86::VCVTNEPS2BF16Z256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 9427 /* vcvtneps2bf16 */, X86::VCVTNEPS2BF16Z128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 9427 /* vcvtneps2bf16 */, X86::VCVTNEPS2BF16Z256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 9427 /* vcvtneps2bf16 */, X86::VCVTNEPS2BF16Zrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 9427 /* vcvtneps2bf16 */, X86::VCVTNEPS2BF16Zrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512 }, },
{ 9427 /* vcvtneps2bf16 */, X86::VCVTNEPS2BF16Z128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 9427 /* vcvtneps2bf16 */, X86::VCVTNEPS2BF16Z256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 9427 /* vcvtneps2bf16 */, X86::VCVTNEPS2BF16Z128rmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 9427 /* vcvtneps2bf16 */, X86::VCVTNEPS2BF16Z256rmkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 9427 /* vcvtneps2bf16 */, X86::VCVTNEPS2BF16Z128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 9427 /* vcvtneps2bf16 */, X86::VCVTNEPS2BF16Z256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 9427 /* vcvtneps2bf16 */, X86::VCVTNEPS2BF16Zrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 9427 /* vcvtneps2bf16 */, X86::VCVTNEPS2BF16Zrmkz, Convert__Reg1_0__Reg1_2__Mem5125_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512 }, },
{ 9427 /* vcvtneps2bf16 */, X86::VCVTNEPS2BF16Zrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 9427 /* vcvtneps2bf16 */, X86::VCVTNEPS2BF16Z128rmbkz, Convert__Reg1_0__Reg1_2__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 9427 /* vcvtneps2bf16 */, X86::VCVTNEPS2BF16Z256rmbkz, Convert__Reg1_0__Reg1_2__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 9427 /* vcvtneps2bf16 */, X86::VCVTNEPS2BF16Zrmbkz, Convert__Reg1_0__Reg1_2__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 9441 /* vcvtneps2bf16x */, X86::VCVTNEPS2BF16Z128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 9441 /* vcvtneps2bf16x */, X86::VCVTNEPS2BF16Z128rm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16X, MCK_Mem128 }, },
{ 9456 /* vcvtneps2bf16y */, X86::VCVTNEPS2BF16Z256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_VR256X }, },
{ 9456 /* vcvtneps2bf16y */, X86::VCVTNEPS2BF16Z256rm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_FR16X, MCK_Mem256 }, },
{ 9471 /* vcvtpd2dq */, X86::VCVTPD2DQrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 9471 /* vcvtpd2dq */, X86::VCVTPD2DQYrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_VR256 }, },
{ 9471 /* vcvtpd2dq */, X86::VCVTPD2DQrm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 9471 /* vcvtpd2dq */, X86::VCVTPD2DQYrm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_FR16, MCK_Mem256 }, },
{ 9471 /* vcvtpd2dq */, X86::VCVTPD2DQZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 9471 /* vcvtpd2dq */, X86::VCVTPD2DQZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_VR256X }, },
{ 9471 /* vcvtpd2dq */, X86::VCVTPD2DQZ128rm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16X, MCK_Mem128 }, },
{ 9471 /* vcvtpd2dq */, X86::VCVTPD2DQZ256rm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_FR16X, MCK_Mem256 }, },
{ 9471 /* vcvtpd2dq */, X86::VCVTPD2DQZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR512 }, },
{ 9471 /* vcvtpd2dq */, X86::VCVTPD2DQZrm, Convert__Reg1_0__Mem5125_1, AMFBS_None, { MCK_VR256X, MCK_Mem512 }, },
{ 9471 /* vcvtpd2dq */, X86::VCVTPD2DQZ128rmb, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 9471 /* vcvtpd2dq */, X86::VCVTPD2DQZ256rmb, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_FR16X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 9471 /* vcvtpd2dq */, X86::VCVTPD2DQZrrb, Convert__Reg1_0__Reg1_1__AVX512RC1_2, AMFBS_None, { MCK_VR256X, MCK_VR512, MCK_AVX512RC }, },
{ 9471 /* vcvtpd2dq */, X86::VCVTPD2DQZrmb, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_VR256X, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 9471 /* vcvtpd2dq */, X86::VCVTPD2DQZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 9471 /* vcvtpd2dq */, X86::VCVTPD2DQZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 9471 /* vcvtpd2dq */, X86::VCVTPD2DQZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 9471 /* vcvtpd2dq */, X86::VCVTPD2DQZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 9471 /* vcvtpd2dq */, X86::VCVTPD2DQZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 9471 /* vcvtpd2dq */, X86::VCVTPD2DQZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512 }, },
{ 9471 /* vcvtpd2dq */, X86::VCVTPD2DQZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 9471 /* vcvtpd2dq */, X86::VCVTPD2DQZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 9471 /* vcvtpd2dq */, X86::VCVTPD2DQZ128rmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 9471 /* vcvtpd2dq */, X86::VCVTPD2DQZ256rmkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 9471 /* vcvtpd2dq */, X86::VCVTPD2DQZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 9471 /* vcvtpd2dq */, X86::VCVTPD2DQZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 9471 /* vcvtpd2dq */, X86::VCVTPD2DQZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 9471 /* vcvtpd2dq */, X86::VCVTPD2DQZrmkz, Convert__Reg1_0__Reg1_2__Mem5125_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512 }, },
{ 9471 /* vcvtpd2dq */, X86::VCVTPD2DQZrrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__AVX512RC1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_AVX512RC }, },
{ 9471 /* vcvtpd2dq */, X86::VCVTPD2DQZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 9471 /* vcvtpd2dq */, X86::VCVTPD2DQZ128rmbkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 9471 /* vcvtpd2dq */, X86::VCVTPD2DQZ256rmbkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 9471 /* vcvtpd2dq */, X86::VCVTPD2DQZrrbkz, Convert__Reg1_0__Reg1_2__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_AVX512RC }, },
{ 9471 /* vcvtpd2dq */, X86::VCVTPD2DQZrmbkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 9503 /* vcvtpd2ph */, X86::VCVTPD2PHZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 9503 /* vcvtpd2ph */, X86::VCVTPD2PHZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_VR256X }, },
{ 9503 /* vcvtpd2ph */, X86::VCVTPD2PHZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_VR512 }, },
{ 9503 /* vcvtpd2ph */, X86::VCVTPD2PHZ128rm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16X, MCK_Mem128 }, },
{ 9503 /* vcvtpd2ph */, X86::VCVTPD2PHZ256rm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_FR16X, MCK_Mem256 }, },
{ 9503 /* vcvtpd2ph */, X86::VCVTPD2PHZrm, Convert__Reg1_0__Mem5125_1, AMFBS_None, { MCK_FR16X, MCK_Mem512 }, },
{ 9503 /* vcvtpd2ph */, X86::VCVTPD2PHZrrb, Convert__Reg1_0__Reg1_1__AVX512RC1_2, AMFBS_None, { MCK_FR16X, MCK_VR512, MCK_AVX512RC }, },
{ 9503 /* vcvtpd2ph */, X86::VCVTPD2PHZ128rmb, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 9503 /* vcvtpd2ph */, X86::VCVTPD2PHZ256rmb, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_FR16X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 9503 /* vcvtpd2ph */, X86::VCVTPD2PHZrmb, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_FR16X, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 9503 /* vcvtpd2ph */, X86::VCVTPD2PHZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 9503 /* vcvtpd2ph */, X86::VCVTPD2PHZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 9503 /* vcvtpd2ph */, X86::VCVTPD2PHZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 9503 /* vcvtpd2ph */, X86::VCVTPD2PHZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 9503 /* vcvtpd2ph */, X86::VCVTPD2PHZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 9503 /* vcvtpd2ph */, X86::VCVTPD2PHZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512 }, },
{ 9503 /* vcvtpd2ph */, X86::VCVTPD2PHZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 9503 /* vcvtpd2ph */, X86::VCVTPD2PHZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 9503 /* vcvtpd2ph */, X86::VCVTPD2PHZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 9503 /* vcvtpd2ph */, X86::VCVTPD2PHZ128rmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 9503 /* vcvtpd2ph */, X86::VCVTPD2PHZ256rmkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 9503 /* vcvtpd2ph */, X86::VCVTPD2PHZrmkz, Convert__Reg1_0__Reg1_2__Mem5125_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512 }, },
{ 9503 /* vcvtpd2ph */, X86::VCVTPD2PHZrrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__AVX512RC1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_AVX512RC }, },
{ 9503 /* vcvtpd2ph */, X86::VCVTPD2PHZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 9503 /* vcvtpd2ph */, X86::VCVTPD2PHZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 9503 /* vcvtpd2ph */, X86::VCVTPD2PHZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 9503 /* vcvtpd2ph */, X86::VCVTPD2PHZrrbkz, Convert__Reg1_0__Reg1_2__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_AVX512RC }, },
{ 9503 /* vcvtpd2ph */, X86::VCVTPD2PHZ128rmbkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 9503 /* vcvtpd2ph */, X86::VCVTPD2PHZ256rmbkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 9503 /* vcvtpd2ph */, X86::VCVTPD2PHZrmbkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 9546 /* vcvtpd2ps */, X86::VCVTPD2PSrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 9546 /* vcvtpd2ps */, X86::VCVTPD2PSYrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_VR256 }, },
{ 9546 /* vcvtpd2ps */, X86::VCVTPD2PSrm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 9546 /* vcvtpd2ps */, X86::VCVTPD2PSYrm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_FR16, MCK_Mem256 }, },
{ 9546 /* vcvtpd2ps */, X86::VCVTPD2PSZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 9546 /* vcvtpd2ps */, X86::VCVTPD2PSZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_VR256X }, },
{ 9546 /* vcvtpd2ps */, X86::VCVTPD2PSZ128rm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16X, MCK_Mem128 }, },
{ 9546 /* vcvtpd2ps */, X86::VCVTPD2PSZ256rm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_FR16X, MCK_Mem256 }, },
{ 9546 /* vcvtpd2ps */, X86::VCVTPD2PSZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR512 }, },
{ 9546 /* vcvtpd2ps */, X86::VCVTPD2PSZrm, Convert__Reg1_0__Mem5125_1, AMFBS_None, { MCK_VR256X, MCK_Mem512 }, },
{ 9546 /* vcvtpd2ps */, X86::VCVTPD2PSZ128rmb, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 9546 /* vcvtpd2ps */, X86::VCVTPD2PSZ256rmb, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_FR16X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 9546 /* vcvtpd2ps */, X86::VCVTPD2PSZrrb, Convert__Reg1_0__Reg1_1__AVX512RC1_2, AMFBS_None, { MCK_VR256X, MCK_VR512, MCK_AVX512RC }, },
{ 9546 /* vcvtpd2ps */, X86::VCVTPD2PSZrmb, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_VR256X, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 9546 /* vcvtpd2ps */, X86::VCVTPD2PSZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 9546 /* vcvtpd2ps */, X86::VCVTPD2PSZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 9546 /* vcvtpd2ps */, X86::VCVTPD2PSZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 9546 /* vcvtpd2ps */, X86::VCVTPD2PSZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 9546 /* vcvtpd2ps */, X86::VCVTPD2PSZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 9546 /* vcvtpd2ps */, X86::VCVTPD2PSZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512 }, },
{ 9546 /* vcvtpd2ps */, X86::VCVTPD2PSZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 9546 /* vcvtpd2ps */, X86::VCVTPD2PSZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 9546 /* vcvtpd2ps */, X86::VCVTPD2PSZ128rmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 9546 /* vcvtpd2ps */, X86::VCVTPD2PSZ256rmkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 9546 /* vcvtpd2ps */, X86::VCVTPD2PSZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 9546 /* vcvtpd2ps */, X86::VCVTPD2PSZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 9546 /* vcvtpd2ps */, X86::VCVTPD2PSZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 9546 /* vcvtpd2ps */, X86::VCVTPD2PSZrmkz, Convert__Reg1_0__Reg1_2__Mem5125_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512 }, },
{ 9546 /* vcvtpd2ps */, X86::VCVTPD2PSZrrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__AVX512RC1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_AVX512RC }, },
{ 9546 /* vcvtpd2ps */, X86::VCVTPD2PSZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 9546 /* vcvtpd2ps */, X86::VCVTPD2PSZ128rmbkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 9546 /* vcvtpd2ps */, X86::VCVTPD2PSZ256rmbkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 9546 /* vcvtpd2ps */, X86::VCVTPD2PSZrrbkz, Convert__Reg1_0__Reg1_2__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_AVX512RC }, },
{ 9546 /* vcvtpd2ps */, X86::VCVTPD2PSZrmbkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 9578 /* vcvtpd2qq */, X86::VCVTPD2QQZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 9578 /* vcvtpd2qq */, X86::VCVTPD2QQZ128rm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16X, MCK_Mem128 }, },
{ 9578 /* vcvtpd2qq */, X86::VCVTPD2QQZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 9578 /* vcvtpd2qq */, X86::VCVTPD2QQZ256rm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR256X, MCK_Mem256 }, },
{ 9578 /* vcvtpd2qq */, X86::VCVTPD2QQZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 9578 /* vcvtpd2qq */, X86::VCVTPD2QQZrm, Convert__Reg1_0__Mem5125_1, AMFBS_None, { MCK_VR512, MCK_Mem512 }, },
{ 9578 /* vcvtpd2qq */, X86::VCVTPD2QQZ128rmb, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 9578 /* vcvtpd2qq */, X86::VCVTPD2QQZ256rmb, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 9578 /* vcvtpd2qq */, X86::VCVTPD2QQZrrb, Convert__Reg1_0__Reg1_1__AVX512RC1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 9578 /* vcvtpd2qq */, X86::VCVTPD2QQZrmb, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 9578 /* vcvtpd2qq */, X86::VCVTPD2QQZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 9578 /* vcvtpd2qq */, X86::VCVTPD2QQZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 9578 /* vcvtpd2qq */, X86::VCVTPD2QQZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 9578 /* vcvtpd2qq */, X86::VCVTPD2QQZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 9578 /* vcvtpd2qq */, X86::VCVTPD2QQZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 9578 /* vcvtpd2qq */, X86::VCVTPD2QQZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512 }, },
{ 9578 /* vcvtpd2qq */, X86::VCVTPD2QQZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 9578 /* vcvtpd2qq */, X86::VCVTPD2QQZ128rmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 9578 /* vcvtpd2qq */, X86::VCVTPD2QQZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 9578 /* vcvtpd2qq */, X86::VCVTPD2QQZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 9578 /* vcvtpd2qq */, X86::VCVTPD2QQZ256rmkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 9578 /* vcvtpd2qq */, X86::VCVTPD2QQZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 9578 /* vcvtpd2qq */, X86::VCVTPD2QQZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 9578 /* vcvtpd2qq */, X86::VCVTPD2QQZrmkz, Convert__Reg1_0__Reg1_2__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512 }, },
{ 9578 /* vcvtpd2qq */, X86::VCVTPD2QQZrrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__AVX512RC1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_AVX512RC }, },
{ 9578 /* vcvtpd2qq */, X86::VCVTPD2QQZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 9578 /* vcvtpd2qq */, X86::VCVTPD2QQZ128rmbkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 9578 /* vcvtpd2qq */, X86::VCVTPD2QQZ256rmbkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 9578 /* vcvtpd2qq */, X86::VCVTPD2QQZrrbkz, Convert__Reg1_0__Reg1_2__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_AVX512RC }, },
{ 9578 /* vcvtpd2qq */, X86::VCVTPD2QQZrmbkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 9588 /* vcvtpd2udq */, X86::VCVTPD2UDQZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 9588 /* vcvtpd2udq */, X86::VCVTPD2UDQZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_VR256X }, },
{ 9588 /* vcvtpd2udq */, X86::VCVTPD2UDQZ128rm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16X, MCK_Mem128 }, },
{ 9588 /* vcvtpd2udq */, X86::VCVTPD2UDQZ256rm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_FR16X, MCK_Mem256 }, },
{ 9588 /* vcvtpd2udq */, X86::VCVTPD2UDQZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR512 }, },
{ 9588 /* vcvtpd2udq */, X86::VCVTPD2UDQZrm, Convert__Reg1_0__Mem5125_1, AMFBS_None, { MCK_VR256X, MCK_Mem512 }, },
{ 9588 /* vcvtpd2udq */, X86::VCVTPD2UDQZ128rmb, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 9588 /* vcvtpd2udq */, X86::VCVTPD2UDQZ256rmb, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_FR16X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 9588 /* vcvtpd2udq */, X86::VCVTPD2UDQZrrb, Convert__Reg1_0__Reg1_1__AVX512RC1_2, AMFBS_None, { MCK_VR256X, MCK_VR512, MCK_AVX512RC }, },
{ 9588 /* vcvtpd2udq */, X86::VCVTPD2UDQZrmb, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_VR256X, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 9588 /* vcvtpd2udq */, X86::VCVTPD2UDQZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 9588 /* vcvtpd2udq */, X86::VCVTPD2UDQZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 9588 /* vcvtpd2udq */, X86::VCVTPD2UDQZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 9588 /* vcvtpd2udq */, X86::VCVTPD2UDQZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 9588 /* vcvtpd2udq */, X86::VCVTPD2UDQZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 9588 /* vcvtpd2udq */, X86::VCVTPD2UDQZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512 }, },
{ 9588 /* vcvtpd2udq */, X86::VCVTPD2UDQZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 9588 /* vcvtpd2udq */, X86::VCVTPD2UDQZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 9588 /* vcvtpd2udq */, X86::VCVTPD2UDQZ128rmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 9588 /* vcvtpd2udq */, X86::VCVTPD2UDQZ256rmkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 9588 /* vcvtpd2udq */, X86::VCVTPD2UDQZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 9588 /* vcvtpd2udq */, X86::VCVTPD2UDQZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 9588 /* vcvtpd2udq */, X86::VCVTPD2UDQZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 9588 /* vcvtpd2udq */, X86::VCVTPD2UDQZrmkz, Convert__Reg1_0__Reg1_2__Mem5125_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512 }, },
{ 9588 /* vcvtpd2udq */, X86::VCVTPD2UDQZrrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__AVX512RC1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_AVX512RC }, },
{ 9588 /* vcvtpd2udq */, X86::VCVTPD2UDQZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 9588 /* vcvtpd2udq */, X86::VCVTPD2UDQZ128rmbkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 9588 /* vcvtpd2udq */, X86::VCVTPD2UDQZ256rmbkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 9588 /* vcvtpd2udq */, X86::VCVTPD2UDQZrrbkz, Convert__Reg1_0__Reg1_2__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_AVX512RC }, },
{ 9588 /* vcvtpd2udq */, X86::VCVTPD2UDQZrmbkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 9623 /* vcvtpd2uqq */, X86::VCVTPD2UQQZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 9623 /* vcvtpd2uqq */, X86::VCVTPD2UQQZ128rm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16X, MCK_Mem128 }, },
{ 9623 /* vcvtpd2uqq */, X86::VCVTPD2UQQZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 9623 /* vcvtpd2uqq */, X86::VCVTPD2UQQZ256rm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR256X, MCK_Mem256 }, },
{ 9623 /* vcvtpd2uqq */, X86::VCVTPD2UQQZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 9623 /* vcvtpd2uqq */, X86::VCVTPD2UQQZrm, Convert__Reg1_0__Mem5125_1, AMFBS_None, { MCK_VR512, MCK_Mem512 }, },
{ 9623 /* vcvtpd2uqq */, X86::VCVTPD2UQQZ128rmb, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 9623 /* vcvtpd2uqq */, X86::VCVTPD2UQQZ256rmb, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 9623 /* vcvtpd2uqq */, X86::VCVTPD2UQQZrrb, Convert__Reg1_0__Reg1_1__AVX512RC1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 9623 /* vcvtpd2uqq */, X86::VCVTPD2UQQZrmb, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 9623 /* vcvtpd2uqq */, X86::VCVTPD2UQQZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 9623 /* vcvtpd2uqq */, X86::VCVTPD2UQQZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 9623 /* vcvtpd2uqq */, X86::VCVTPD2UQQZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 9623 /* vcvtpd2uqq */, X86::VCVTPD2UQQZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 9623 /* vcvtpd2uqq */, X86::VCVTPD2UQQZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 9623 /* vcvtpd2uqq */, X86::VCVTPD2UQQZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512 }, },
{ 9623 /* vcvtpd2uqq */, X86::VCVTPD2UQQZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 9623 /* vcvtpd2uqq */, X86::VCVTPD2UQQZ128rmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 9623 /* vcvtpd2uqq */, X86::VCVTPD2UQQZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 9623 /* vcvtpd2uqq */, X86::VCVTPD2UQQZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 9623 /* vcvtpd2uqq */, X86::VCVTPD2UQQZ256rmkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 9623 /* vcvtpd2uqq */, X86::VCVTPD2UQQZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 9623 /* vcvtpd2uqq */, X86::VCVTPD2UQQZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 9623 /* vcvtpd2uqq */, X86::VCVTPD2UQQZrmkz, Convert__Reg1_0__Reg1_2__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512 }, },
{ 9623 /* vcvtpd2uqq */, X86::VCVTPD2UQQZrrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__AVX512RC1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_AVX512RC }, },
{ 9623 /* vcvtpd2uqq */, X86::VCVTPD2UQQZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 9623 /* vcvtpd2uqq */, X86::VCVTPD2UQQZ128rmbkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 9623 /* vcvtpd2uqq */, X86::VCVTPD2UQQZ256rmbkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 9623 /* vcvtpd2uqq */, X86::VCVTPD2UQQZrrbkz, Convert__Reg1_0__Reg1_2__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_AVX512RC }, },
{ 9623 /* vcvtpd2uqq */, X86::VCVTPD2UQQZrmbkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 9634 /* vcvtph2dq */, X86::VCVTPH2DQZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 9634 /* vcvtph2dq */, X86::VCVTPH2DQZ128rm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_FR16X, MCK_Mem64 }, },
{ 9634 /* vcvtph2dq */, X86::VCVTPH2DQZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_FR16X }, },
{ 9634 /* vcvtph2dq */, X86::VCVTPH2DQZ256rm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_VR256X, MCK_Mem128 }, },
{ 9634 /* vcvtph2dq */, X86::VCVTPH2DQZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR256X }, },
{ 9634 /* vcvtph2dq */, X86::VCVTPH2DQZrm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR512, MCK_Mem256 }, },
{ 9634 /* vcvtph2dq */, X86::VCVTPH2DQZ128rmb, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_FR16X, MCK_Mem16, MCK__123_1to4_125_ }, },
{ 9634 /* vcvtph2dq */, X86::VCVTPH2DQZ256rmb, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_VR256X, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 9634 /* vcvtph2dq */, X86::VCVTPH2DQZrrb, Convert__Reg1_0__Reg1_1__AVX512RC1_2, AMFBS_None, { MCK_VR512, MCK_VR256X, MCK_AVX512RC }, },
{ 9634 /* vcvtph2dq */, X86::VCVTPH2DQZrmb, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_VR512, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 9634 /* vcvtph2dq */, X86::VCVTPH2DQZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 9634 /* vcvtph2dq */, X86::VCVTPH2DQZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64 }, },
{ 9634 /* vcvtph2dq */, X86::VCVTPH2DQZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 9634 /* vcvtph2dq */, X86::VCVTPH2DQZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 9634 /* vcvtph2dq */, X86::VCVTPH2DQZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 9634 /* vcvtph2dq */, X86::VCVTPH2DQZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 9634 /* vcvtph2dq */, X86::VCVTPH2DQZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 9634 /* vcvtph2dq */, X86::VCVTPH2DQZ128rmkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64 }, },
{ 9634 /* vcvtph2dq */, X86::VCVTPH2DQZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem165_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem16, MCK__123_1to4_125_ }, },
{ 9634 /* vcvtph2dq */, X86::VCVTPH2DQZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 9634 /* vcvtph2dq */, X86::VCVTPH2DQZ256rmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 9634 /* vcvtph2dq */, X86::VCVTPH2DQZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem165_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 9634 /* vcvtph2dq */, X86::VCVTPH2DQZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 9634 /* vcvtph2dq */, X86::VCVTPH2DQZrmkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 9634 /* vcvtph2dq */, X86::VCVTPH2DQZrrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__AVX512RC1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_AVX512RC }, },
{ 9634 /* vcvtph2dq */, X86::VCVTPH2DQZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem165_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 9634 /* vcvtph2dq */, X86::VCVTPH2DQZ128rmbkz, Convert__Reg1_0__Reg1_2__Mem165_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem16, MCK__123_1to4_125_ }, },
{ 9634 /* vcvtph2dq */, X86::VCVTPH2DQZ256rmbkz, Convert__Reg1_0__Reg1_2__Mem165_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 9634 /* vcvtph2dq */, X86::VCVTPH2DQZrrbkz, Convert__Reg1_0__Reg1_2__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_AVX512RC }, },
{ 9634 /* vcvtph2dq */, X86::VCVTPH2DQZrmbkz, Convert__Reg1_0__Reg1_2__Mem165_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 9644 /* vcvtph2pd */, X86::VCVTPH2PDZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 9644 /* vcvtph2pd */, X86::VCVTPH2PDZ128rm, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_FR16X, MCK_Mem32 }, },
{ 9644 /* vcvtph2pd */, X86::VCVTPH2PDZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_FR16X }, },
{ 9644 /* vcvtph2pd */, X86::VCVTPH2PDZ256rm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_VR256X, MCK_Mem64 }, },
{ 9644 /* vcvtph2pd */, X86::VCVTPH2PDZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_FR16X }, },
{ 9644 /* vcvtph2pd */, X86::VCVTPH2PDZrm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_VR512, MCK_Mem128 }, },
{ 9644 /* vcvtph2pd */, X86::VCVTPH2PDZ128rmb, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_FR16X, MCK_Mem16, MCK__123_1to2_125_ }, },
{ 9644 /* vcvtph2pd */, X86::VCVTPH2PDZ256rmb, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_VR256X, MCK_Mem16, MCK__123_1to4_125_ }, },
{ 9644 /* vcvtph2pd */, X86::VCVTPH2PDZrrb, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_FR16X, MCK__123_sae_125_ }, },
{ 9644 /* vcvtph2pd */, X86::VCVTPH2PDZrmb, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_VR512, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 9644 /* vcvtph2pd */, X86::VCVTPH2PDZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 9644 /* vcvtph2pd */, X86::VCVTPH2PDZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32 }, },
{ 9644 /* vcvtph2pd */, X86::VCVTPH2PDZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 9644 /* vcvtph2pd */, X86::VCVTPH2PDZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64 }, },
{ 9644 /* vcvtph2pd */, X86::VCVTPH2PDZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 9644 /* vcvtph2pd */, X86::VCVTPH2PDZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 9644 /* vcvtph2pd */, X86::VCVTPH2PDZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 9644 /* vcvtph2pd */, X86::VCVTPH2PDZ128rmkz, Convert__Reg1_0__Reg1_2__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32 }, },
{ 9644 /* vcvtph2pd */, X86::VCVTPH2PDZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem165_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem16, MCK__123_1to2_125_ }, },
{ 9644 /* vcvtph2pd */, X86::VCVTPH2PDZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 9644 /* vcvtph2pd */, X86::VCVTPH2PDZ256rmkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64 }, },
{ 9644 /* vcvtph2pd */, X86::VCVTPH2PDZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem165_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem16, MCK__123_1to4_125_ }, },
{ 9644 /* vcvtph2pd */, X86::VCVTPH2PDZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 9644 /* vcvtph2pd */, X86::VCVTPH2PDZrmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 9644 /* vcvtph2pd */, X86::VCVTPH2PDZrrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK__123_sae_125_ }, },
{ 9644 /* vcvtph2pd */, X86::VCVTPH2PDZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem165_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 9644 /* vcvtph2pd */, X86::VCVTPH2PDZ128rmbkz, Convert__Reg1_0__Reg1_2__Mem165_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem16, MCK__123_1to2_125_ }, },
{ 9644 /* vcvtph2pd */, X86::VCVTPH2PDZ256rmbkz, Convert__Reg1_0__Reg1_2__Mem165_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem16, MCK__123_1to4_125_ }, },
{ 9644 /* vcvtph2pd */, X86::VCVTPH2PDZrrbkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK__123_sae_125_ }, },
{ 9644 /* vcvtph2pd */, X86::VCVTPH2PDZrmbkz, Convert__Reg1_0__Reg1_2__Mem165_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 9654 /* vcvtph2ps */, X86::VCVTPH2PSrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 9654 /* vcvtph2ps */, X86::VCVTPH2PSrm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_FR16, MCK_Mem64 }, },
{ 9654 /* vcvtph2ps */, X86::VCVTPH2PSYrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256, MCK_FR16 }, },
{ 9654 /* vcvtph2ps */, X86::VCVTPH2PSYrm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_VR256, MCK_Mem128 }, },
{ 9654 /* vcvtph2ps */, X86::VCVTPH2PSZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 9654 /* vcvtph2ps */, X86::VCVTPH2PSZ128rm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_FR16X, MCK_Mem64 }, },
{ 9654 /* vcvtph2ps */, X86::VCVTPH2PSZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_FR16X }, },
{ 9654 /* vcvtph2ps */, X86::VCVTPH2PSZ256rm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_VR256X, MCK_Mem128 }, },
{ 9654 /* vcvtph2ps */, X86::VCVTPH2PSZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR256X }, },
{ 9654 /* vcvtph2ps */, X86::VCVTPH2PSZrm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR512, MCK_Mem256 }, },
{ 9654 /* vcvtph2ps */, X86::VCVTPH2PSZrrb, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR256X, MCK__123_sae_125_ }, },
{ 9654 /* vcvtph2ps */, X86::VCVTPH2PSZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 9654 /* vcvtph2ps */, X86::VCVTPH2PSZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64 }, },
{ 9654 /* vcvtph2ps */, X86::VCVTPH2PSZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 9654 /* vcvtph2ps */, X86::VCVTPH2PSZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 9654 /* vcvtph2ps */, X86::VCVTPH2PSZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 9654 /* vcvtph2ps */, X86::VCVTPH2PSZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 9654 /* vcvtph2ps */, X86::VCVTPH2PSZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 9654 /* vcvtph2ps */, X86::VCVTPH2PSZ128rmkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64 }, },
{ 9654 /* vcvtph2ps */, X86::VCVTPH2PSZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 9654 /* vcvtph2ps */, X86::VCVTPH2PSZ256rmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 9654 /* vcvtph2ps */, X86::VCVTPH2PSZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 9654 /* vcvtph2ps */, X86::VCVTPH2PSZrmkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 9654 /* vcvtph2ps */, X86::VCVTPH2PSZrrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK__123_sae_125_ }, },
{ 9654 /* vcvtph2ps */, X86::VCVTPH2PSZrrbkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK__123_sae_125_ }, },
{ 9664 /* vcvtph2psx */, X86::VCVTPH2PSXZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 9664 /* vcvtph2psx */, X86::VCVTPH2PSXZ128rm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_FR16X, MCK_Mem64 }, },
{ 9664 /* vcvtph2psx */, X86::VCVTPH2PSXZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_FR16X }, },
{ 9664 /* vcvtph2psx */, X86::VCVTPH2PSXZ256rm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_VR256X, MCK_Mem128 }, },
{ 9664 /* vcvtph2psx */, X86::VCVTPH2PSXZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR256X }, },
{ 9664 /* vcvtph2psx */, X86::VCVTPH2PSXZrm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR512, MCK_Mem256 }, },
{ 9664 /* vcvtph2psx */, X86::VCVTPH2PSXZ128rmb, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_FR16X, MCK_Mem16, MCK__123_1to4_125_ }, },
{ 9664 /* vcvtph2psx */, X86::VCVTPH2PSXZ256rmb, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_VR256X, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 9664 /* vcvtph2psx */, X86::VCVTPH2PSXZrrb, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR256X, MCK__123_sae_125_ }, },
{ 9664 /* vcvtph2psx */, X86::VCVTPH2PSXZrmb, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_VR512, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 9664 /* vcvtph2psx */, X86::VCVTPH2PSXZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 9664 /* vcvtph2psx */, X86::VCVTPH2PSXZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64 }, },
{ 9664 /* vcvtph2psx */, X86::VCVTPH2PSXZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 9664 /* vcvtph2psx */, X86::VCVTPH2PSXZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 9664 /* vcvtph2psx */, X86::VCVTPH2PSXZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 9664 /* vcvtph2psx */, X86::VCVTPH2PSXZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 9664 /* vcvtph2psx */, X86::VCVTPH2PSXZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 9664 /* vcvtph2psx */, X86::VCVTPH2PSXZ128rmkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64 }, },
{ 9664 /* vcvtph2psx */, X86::VCVTPH2PSXZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem165_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem16, MCK__123_1to4_125_ }, },
{ 9664 /* vcvtph2psx */, X86::VCVTPH2PSXZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 9664 /* vcvtph2psx */, X86::VCVTPH2PSXZ256rmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 9664 /* vcvtph2psx */, X86::VCVTPH2PSXZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem165_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 9664 /* vcvtph2psx */, X86::VCVTPH2PSXZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 9664 /* vcvtph2psx */, X86::VCVTPH2PSXZrmkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 9664 /* vcvtph2psx */, X86::VCVTPH2PSXZrrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK__123_sae_125_ }, },
{ 9664 /* vcvtph2psx */, X86::VCVTPH2PSXZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem165_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 9664 /* vcvtph2psx */, X86::VCVTPH2PSXZ128rmbkz, Convert__Reg1_0__Reg1_2__Mem165_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem16, MCK__123_1to4_125_ }, },
{ 9664 /* vcvtph2psx */, X86::VCVTPH2PSXZ256rmbkz, Convert__Reg1_0__Reg1_2__Mem165_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 9664 /* vcvtph2psx */, X86::VCVTPH2PSXZrrbkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK__123_sae_125_ }, },
{ 9664 /* vcvtph2psx */, X86::VCVTPH2PSXZrmbkz, Convert__Reg1_0__Reg1_2__Mem165_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 9675 /* vcvtph2qq */, X86::VCVTPH2QQZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 9675 /* vcvtph2qq */, X86::VCVTPH2QQZ128rm, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_FR16X, MCK_Mem32 }, },
{ 9675 /* vcvtph2qq */, X86::VCVTPH2QQZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_FR16X }, },
{ 9675 /* vcvtph2qq */, X86::VCVTPH2QQZ256rm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_VR256X, MCK_Mem64 }, },
{ 9675 /* vcvtph2qq */, X86::VCVTPH2QQZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_FR16X }, },
{ 9675 /* vcvtph2qq */, X86::VCVTPH2QQZrm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_VR512, MCK_Mem128 }, },
{ 9675 /* vcvtph2qq */, X86::VCVTPH2QQZ128rmb, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_FR16X, MCK_Mem16, MCK__123_1to2_125_ }, },
{ 9675 /* vcvtph2qq */, X86::VCVTPH2QQZ256rmb, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_VR256X, MCK_Mem16, MCK__123_1to4_125_ }, },
{ 9675 /* vcvtph2qq */, X86::VCVTPH2QQZrrb, Convert__Reg1_0__Reg1_1__AVX512RC1_2, AMFBS_None, { MCK_VR512, MCK_FR16X, MCK_AVX512RC }, },
{ 9675 /* vcvtph2qq */, X86::VCVTPH2QQZrmb, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_VR512, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 9675 /* vcvtph2qq */, X86::VCVTPH2QQZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 9675 /* vcvtph2qq */, X86::VCVTPH2QQZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32 }, },
{ 9675 /* vcvtph2qq */, X86::VCVTPH2QQZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 9675 /* vcvtph2qq */, X86::VCVTPH2QQZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64 }, },
{ 9675 /* vcvtph2qq */, X86::VCVTPH2QQZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 9675 /* vcvtph2qq */, X86::VCVTPH2QQZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 9675 /* vcvtph2qq */, X86::VCVTPH2QQZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 9675 /* vcvtph2qq */, X86::VCVTPH2QQZ128rmkz, Convert__Reg1_0__Reg1_2__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32 }, },
{ 9675 /* vcvtph2qq */, X86::VCVTPH2QQZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem165_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem16, MCK__123_1to2_125_ }, },
{ 9675 /* vcvtph2qq */, X86::VCVTPH2QQZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 9675 /* vcvtph2qq */, X86::VCVTPH2QQZ256rmkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64 }, },
{ 9675 /* vcvtph2qq */, X86::VCVTPH2QQZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem165_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem16, MCK__123_1to4_125_ }, },
{ 9675 /* vcvtph2qq */, X86::VCVTPH2QQZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 9675 /* vcvtph2qq */, X86::VCVTPH2QQZrmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 9675 /* vcvtph2qq */, X86::VCVTPH2QQZrrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__AVX512RC1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_AVX512RC }, },
{ 9675 /* vcvtph2qq */, X86::VCVTPH2QQZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem165_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 9675 /* vcvtph2qq */, X86::VCVTPH2QQZ128rmbkz, Convert__Reg1_0__Reg1_2__Mem165_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem16, MCK__123_1to2_125_ }, },
{ 9675 /* vcvtph2qq */, X86::VCVTPH2QQZ256rmbkz, Convert__Reg1_0__Reg1_2__Mem165_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem16, MCK__123_1to4_125_ }, },
{ 9675 /* vcvtph2qq */, X86::VCVTPH2QQZrrbkz, Convert__Reg1_0__Reg1_2__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_AVX512RC }, },
{ 9675 /* vcvtph2qq */, X86::VCVTPH2QQZrmbkz, Convert__Reg1_0__Reg1_2__Mem165_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 9685 /* vcvtph2udq */, X86::VCVTPH2UDQZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 9685 /* vcvtph2udq */, X86::VCVTPH2UDQZ128rm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_FR16X, MCK_Mem64 }, },
{ 9685 /* vcvtph2udq */, X86::VCVTPH2UDQZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_FR16X }, },
{ 9685 /* vcvtph2udq */, X86::VCVTPH2UDQZ256rm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_VR256X, MCK_Mem128 }, },
{ 9685 /* vcvtph2udq */, X86::VCVTPH2UDQZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR256X }, },
{ 9685 /* vcvtph2udq */, X86::VCVTPH2UDQZrm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR512, MCK_Mem256 }, },
{ 9685 /* vcvtph2udq */, X86::VCVTPH2UDQZ128rmb, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_FR16X, MCK_Mem16, MCK__123_1to4_125_ }, },
{ 9685 /* vcvtph2udq */, X86::VCVTPH2UDQZ256rmb, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_VR256X, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 9685 /* vcvtph2udq */, X86::VCVTPH2UDQZrrb, Convert__Reg1_0__Reg1_1__AVX512RC1_2, AMFBS_None, { MCK_VR512, MCK_VR256X, MCK_AVX512RC }, },
{ 9685 /* vcvtph2udq */, X86::VCVTPH2UDQZrmb, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_VR512, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 9685 /* vcvtph2udq */, X86::VCVTPH2UDQZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 9685 /* vcvtph2udq */, X86::VCVTPH2UDQZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64 }, },
{ 9685 /* vcvtph2udq */, X86::VCVTPH2UDQZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 9685 /* vcvtph2udq */, X86::VCVTPH2UDQZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 9685 /* vcvtph2udq */, X86::VCVTPH2UDQZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 9685 /* vcvtph2udq */, X86::VCVTPH2UDQZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 9685 /* vcvtph2udq */, X86::VCVTPH2UDQZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 9685 /* vcvtph2udq */, X86::VCVTPH2UDQZ128rmkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64 }, },
{ 9685 /* vcvtph2udq */, X86::VCVTPH2UDQZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem165_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem16, MCK__123_1to4_125_ }, },
{ 9685 /* vcvtph2udq */, X86::VCVTPH2UDQZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 9685 /* vcvtph2udq */, X86::VCVTPH2UDQZ256rmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 9685 /* vcvtph2udq */, X86::VCVTPH2UDQZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem165_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 9685 /* vcvtph2udq */, X86::VCVTPH2UDQZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 9685 /* vcvtph2udq */, X86::VCVTPH2UDQZrmkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 9685 /* vcvtph2udq */, X86::VCVTPH2UDQZrrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__AVX512RC1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_AVX512RC }, },
{ 9685 /* vcvtph2udq */, X86::VCVTPH2UDQZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem165_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 9685 /* vcvtph2udq */, X86::VCVTPH2UDQZ128rmbkz, Convert__Reg1_0__Reg1_2__Mem165_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem16, MCK__123_1to4_125_ }, },
{ 9685 /* vcvtph2udq */, X86::VCVTPH2UDQZ256rmbkz, Convert__Reg1_0__Reg1_2__Mem165_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 9685 /* vcvtph2udq */, X86::VCVTPH2UDQZrrbkz, Convert__Reg1_0__Reg1_2__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_AVX512RC }, },
{ 9685 /* vcvtph2udq */, X86::VCVTPH2UDQZrmbkz, Convert__Reg1_0__Reg1_2__Mem165_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 9696 /* vcvtph2uqq */, X86::VCVTPH2UQQZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 9696 /* vcvtph2uqq */, X86::VCVTPH2UQQZ128rm, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_FR16X, MCK_Mem32 }, },
{ 9696 /* vcvtph2uqq */, X86::VCVTPH2UQQZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_FR16X }, },
{ 9696 /* vcvtph2uqq */, X86::VCVTPH2UQQZ256rm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_VR256X, MCK_Mem64 }, },
{ 9696 /* vcvtph2uqq */, X86::VCVTPH2UQQZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_FR16X }, },
{ 9696 /* vcvtph2uqq */, X86::VCVTPH2UQQZrm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_VR512, MCK_Mem128 }, },
{ 9696 /* vcvtph2uqq */, X86::VCVTPH2UQQZ128rmb, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_FR16X, MCK_Mem16, MCK__123_1to2_125_ }, },
{ 9696 /* vcvtph2uqq */, X86::VCVTPH2UQQZ256rmb, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_VR256X, MCK_Mem16, MCK__123_1to4_125_ }, },
{ 9696 /* vcvtph2uqq */, X86::VCVTPH2UQQZrrb, Convert__Reg1_0__Reg1_1__AVX512RC1_2, AMFBS_None, { MCK_VR512, MCK_FR16X, MCK_AVX512RC }, },
{ 9696 /* vcvtph2uqq */, X86::VCVTPH2UQQZrmb, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_VR512, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 9696 /* vcvtph2uqq */, X86::VCVTPH2UQQZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 9696 /* vcvtph2uqq */, X86::VCVTPH2UQQZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32 }, },
{ 9696 /* vcvtph2uqq */, X86::VCVTPH2UQQZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 9696 /* vcvtph2uqq */, X86::VCVTPH2UQQZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64 }, },
{ 9696 /* vcvtph2uqq */, X86::VCVTPH2UQQZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 9696 /* vcvtph2uqq */, X86::VCVTPH2UQQZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 9696 /* vcvtph2uqq */, X86::VCVTPH2UQQZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 9696 /* vcvtph2uqq */, X86::VCVTPH2UQQZ128rmkz, Convert__Reg1_0__Reg1_2__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32 }, },
{ 9696 /* vcvtph2uqq */, X86::VCVTPH2UQQZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem165_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem16, MCK__123_1to2_125_ }, },
{ 9696 /* vcvtph2uqq */, X86::VCVTPH2UQQZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 9696 /* vcvtph2uqq */, X86::VCVTPH2UQQZ256rmkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64 }, },
{ 9696 /* vcvtph2uqq */, X86::VCVTPH2UQQZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem165_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem16, MCK__123_1to4_125_ }, },
{ 9696 /* vcvtph2uqq */, X86::VCVTPH2UQQZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 9696 /* vcvtph2uqq */, X86::VCVTPH2UQQZrmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 9696 /* vcvtph2uqq */, X86::VCVTPH2UQQZrrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__AVX512RC1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_AVX512RC }, },
{ 9696 /* vcvtph2uqq */, X86::VCVTPH2UQQZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem165_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 9696 /* vcvtph2uqq */, X86::VCVTPH2UQQZ128rmbkz, Convert__Reg1_0__Reg1_2__Mem165_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem16, MCK__123_1to2_125_ }, },
{ 9696 /* vcvtph2uqq */, X86::VCVTPH2UQQZ256rmbkz, Convert__Reg1_0__Reg1_2__Mem165_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem16, MCK__123_1to4_125_ }, },
{ 9696 /* vcvtph2uqq */, X86::VCVTPH2UQQZrrbkz, Convert__Reg1_0__Reg1_2__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_AVX512RC }, },
{ 9696 /* vcvtph2uqq */, X86::VCVTPH2UQQZrmbkz, Convert__Reg1_0__Reg1_2__Mem165_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 9707 /* vcvtph2uw */, X86::VCVTPH2UWZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 9707 /* vcvtph2uw */, X86::VCVTPH2UWZ128rm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16X, MCK_Mem128 }, },
{ 9707 /* vcvtph2uw */, X86::VCVTPH2UWZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 9707 /* vcvtph2uw */, X86::VCVTPH2UWZ256rm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR256X, MCK_Mem256 }, },
{ 9707 /* vcvtph2uw */, X86::VCVTPH2UWZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 9707 /* vcvtph2uw */, X86::VCVTPH2UWZrm, Convert__Reg1_0__Mem5125_1, AMFBS_None, { MCK_VR512, MCK_Mem512 }, },
{ 9707 /* vcvtph2uw */, X86::VCVTPH2UWZ128rmb, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_FR16X, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 9707 /* vcvtph2uw */, X86::VCVTPH2UWZ256rmb, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_VR256X, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 9707 /* vcvtph2uw */, X86::VCVTPH2UWZrrb, Convert__Reg1_0__Reg1_1__AVX512RC1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 9707 /* vcvtph2uw */, X86::VCVTPH2UWZrmb, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_VR512, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 9707 /* vcvtph2uw */, X86::VCVTPH2UWZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 9707 /* vcvtph2uw */, X86::VCVTPH2UWZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 9707 /* vcvtph2uw */, X86::VCVTPH2UWZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 9707 /* vcvtph2uw */, X86::VCVTPH2UWZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 9707 /* vcvtph2uw */, X86::VCVTPH2UWZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 9707 /* vcvtph2uw */, X86::VCVTPH2UWZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512 }, },
{ 9707 /* vcvtph2uw */, X86::VCVTPH2UWZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 9707 /* vcvtph2uw */, X86::VCVTPH2UWZ128rmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 9707 /* vcvtph2uw */, X86::VCVTPH2UWZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem165_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 9707 /* vcvtph2uw */, X86::VCVTPH2UWZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 9707 /* vcvtph2uw */, X86::VCVTPH2UWZ256rmkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 9707 /* vcvtph2uw */, X86::VCVTPH2UWZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem165_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 9707 /* vcvtph2uw */, X86::VCVTPH2UWZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 9707 /* vcvtph2uw */, X86::VCVTPH2UWZrmkz, Convert__Reg1_0__Reg1_2__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512 }, },
{ 9707 /* vcvtph2uw */, X86::VCVTPH2UWZrrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__AVX512RC1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_AVX512RC }, },
{ 9707 /* vcvtph2uw */, X86::VCVTPH2UWZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem165_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 9707 /* vcvtph2uw */, X86::VCVTPH2UWZ128rmbkz, Convert__Reg1_0__Reg1_2__Mem165_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 9707 /* vcvtph2uw */, X86::VCVTPH2UWZ256rmbkz, Convert__Reg1_0__Reg1_2__Mem165_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 9707 /* vcvtph2uw */, X86::VCVTPH2UWZrrbkz, Convert__Reg1_0__Reg1_2__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_AVX512RC }, },
{ 9707 /* vcvtph2uw */, X86::VCVTPH2UWZrmbkz, Convert__Reg1_0__Reg1_2__Mem165_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 9717 /* vcvtph2w */, X86::VCVTPH2WZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 9717 /* vcvtph2w */, X86::VCVTPH2WZ128rm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16X, MCK_Mem128 }, },
{ 9717 /* vcvtph2w */, X86::VCVTPH2WZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 9717 /* vcvtph2w */, X86::VCVTPH2WZ256rm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR256X, MCK_Mem256 }, },
{ 9717 /* vcvtph2w */, X86::VCVTPH2WZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 9717 /* vcvtph2w */, X86::VCVTPH2WZrm, Convert__Reg1_0__Mem5125_1, AMFBS_None, { MCK_VR512, MCK_Mem512 }, },
{ 9717 /* vcvtph2w */, X86::VCVTPH2WZ128rmb, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_FR16X, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 9717 /* vcvtph2w */, X86::VCVTPH2WZ256rmb, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_VR256X, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 9717 /* vcvtph2w */, X86::VCVTPH2WZrrb, Convert__Reg1_0__Reg1_1__AVX512RC1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 9717 /* vcvtph2w */, X86::VCVTPH2WZrmb, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_VR512, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 9717 /* vcvtph2w */, X86::VCVTPH2WZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 9717 /* vcvtph2w */, X86::VCVTPH2WZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 9717 /* vcvtph2w */, X86::VCVTPH2WZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 9717 /* vcvtph2w */, X86::VCVTPH2WZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 9717 /* vcvtph2w */, X86::VCVTPH2WZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 9717 /* vcvtph2w */, X86::VCVTPH2WZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512 }, },
{ 9717 /* vcvtph2w */, X86::VCVTPH2WZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 9717 /* vcvtph2w */, X86::VCVTPH2WZ128rmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 9717 /* vcvtph2w */, X86::VCVTPH2WZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem165_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 9717 /* vcvtph2w */, X86::VCVTPH2WZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 9717 /* vcvtph2w */, X86::VCVTPH2WZ256rmkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 9717 /* vcvtph2w */, X86::VCVTPH2WZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem165_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 9717 /* vcvtph2w */, X86::VCVTPH2WZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 9717 /* vcvtph2w */, X86::VCVTPH2WZrmkz, Convert__Reg1_0__Reg1_2__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512 }, },
{ 9717 /* vcvtph2w */, X86::VCVTPH2WZrrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__AVX512RC1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_AVX512RC }, },
{ 9717 /* vcvtph2w */, X86::VCVTPH2WZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem165_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 9717 /* vcvtph2w */, X86::VCVTPH2WZ128rmbkz, Convert__Reg1_0__Reg1_2__Mem165_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 9717 /* vcvtph2w */, X86::VCVTPH2WZ256rmbkz, Convert__Reg1_0__Reg1_2__Mem165_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 9717 /* vcvtph2w */, X86::VCVTPH2WZrrbkz, Convert__Reg1_0__Reg1_2__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_AVX512RC }, },
{ 9717 /* vcvtph2w */, X86::VCVTPH2WZrmbkz, Convert__Reg1_0__Reg1_2__Mem165_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 9726 /* vcvtps2dq */, X86::VCVTPS2DQrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 9726 /* vcvtps2dq */, X86::VCVTPS2DQrm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 9726 /* vcvtps2dq */, X86::VCVTPS2DQYrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256, MCK_VR256 }, },
{ 9726 /* vcvtps2dq */, X86::VCVTPS2DQYrm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR256, MCK_Mem256 }, },
{ 9726 /* vcvtps2dq */, X86::VCVTPS2DQZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 9726 /* vcvtps2dq */, X86::VCVTPS2DQZ128rm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16X, MCK_Mem128 }, },
{ 9726 /* vcvtps2dq */, X86::VCVTPS2DQZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 9726 /* vcvtps2dq */, X86::VCVTPS2DQZ256rm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR256X, MCK_Mem256 }, },
{ 9726 /* vcvtps2dq */, X86::VCVTPS2DQZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 9726 /* vcvtps2dq */, X86::VCVTPS2DQZrm, Convert__Reg1_0__Mem5125_1, AMFBS_None, { MCK_VR512, MCK_Mem512 }, },
{ 9726 /* vcvtps2dq */, X86::VCVTPS2DQZ128rmb, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 9726 /* vcvtps2dq */, X86::VCVTPS2DQZ256rmb, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 9726 /* vcvtps2dq */, X86::VCVTPS2DQZrrb, Convert__Reg1_0__Reg1_1__AVX512RC1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 9726 /* vcvtps2dq */, X86::VCVTPS2DQZrmb, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 9726 /* vcvtps2dq */, X86::VCVTPS2DQZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 9726 /* vcvtps2dq */, X86::VCVTPS2DQZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 9726 /* vcvtps2dq */, X86::VCVTPS2DQZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 9726 /* vcvtps2dq */, X86::VCVTPS2DQZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 9726 /* vcvtps2dq */, X86::VCVTPS2DQZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 9726 /* vcvtps2dq */, X86::VCVTPS2DQZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512 }, },
{ 9726 /* vcvtps2dq */, X86::VCVTPS2DQZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 9726 /* vcvtps2dq */, X86::VCVTPS2DQZ128rmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 9726 /* vcvtps2dq */, X86::VCVTPS2DQZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 9726 /* vcvtps2dq */, X86::VCVTPS2DQZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 9726 /* vcvtps2dq */, X86::VCVTPS2DQZ256rmkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 9726 /* vcvtps2dq */, X86::VCVTPS2DQZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 9726 /* vcvtps2dq */, X86::VCVTPS2DQZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 9726 /* vcvtps2dq */, X86::VCVTPS2DQZrmkz, Convert__Reg1_0__Reg1_2__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512 }, },
{ 9726 /* vcvtps2dq */, X86::VCVTPS2DQZrrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__AVX512RC1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_AVX512RC }, },
{ 9726 /* vcvtps2dq */, X86::VCVTPS2DQZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 9726 /* vcvtps2dq */, X86::VCVTPS2DQZ128rmbkz, Convert__Reg1_0__Reg1_2__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 9726 /* vcvtps2dq */, X86::VCVTPS2DQZ256rmbkz, Convert__Reg1_0__Reg1_2__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 9726 /* vcvtps2dq */, X86::VCVTPS2DQZrrbkz, Convert__Reg1_0__Reg1_2__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_AVX512RC }, },
{ 9726 /* vcvtps2dq */, X86::VCVTPS2DQZrmbkz, Convert__Reg1_0__Reg1_2__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 9736 /* vcvtps2pd */, X86::VCVTPS2PDrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 9736 /* vcvtps2pd */, X86::VCVTPS2PDrm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_FR16, MCK_Mem64 }, },
{ 9736 /* vcvtps2pd */, X86::VCVTPS2PDYrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256, MCK_FR16 }, },
{ 9736 /* vcvtps2pd */, X86::VCVTPS2PDYrm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_VR256, MCK_Mem128 }, },
{ 9736 /* vcvtps2pd */, X86::VCVTPS2PDZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 9736 /* vcvtps2pd */, X86::VCVTPS2PDZ128rm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_FR16X, MCK_Mem64 }, },
{ 9736 /* vcvtps2pd */, X86::VCVTPS2PDZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_FR16X }, },
{ 9736 /* vcvtps2pd */, X86::VCVTPS2PDZ256rm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_VR256X, MCK_Mem128 }, },
{ 9736 /* vcvtps2pd */, X86::VCVTPS2PDZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR256X }, },
{ 9736 /* vcvtps2pd */, X86::VCVTPS2PDZrm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR512, MCK_Mem256 }, },
{ 9736 /* vcvtps2pd */, X86::VCVTPS2PDZ128rmb, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_FR16X, MCK_Mem32, MCK__123_1to2_125_ }, },
{ 9736 /* vcvtps2pd */, X86::VCVTPS2PDZ256rmb, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_VR256X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 9736 /* vcvtps2pd */, X86::VCVTPS2PDZrrb, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR256X, MCK__123_sae_125_ }, },
{ 9736 /* vcvtps2pd */, X86::VCVTPS2PDZrmb, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_VR512, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 9736 /* vcvtps2pd */, X86::VCVTPS2PDZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 9736 /* vcvtps2pd */, X86::VCVTPS2PDZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64 }, },
{ 9736 /* vcvtps2pd */, X86::VCVTPS2PDZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 9736 /* vcvtps2pd */, X86::VCVTPS2PDZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 9736 /* vcvtps2pd */, X86::VCVTPS2PDZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 9736 /* vcvtps2pd */, X86::VCVTPS2PDZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 9736 /* vcvtps2pd */, X86::VCVTPS2PDZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 9736 /* vcvtps2pd */, X86::VCVTPS2PDZ128rmkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64 }, },
{ 9736 /* vcvtps2pd */, X86::VCVTPS2PDZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to2_125_ }, },
{ 9736 /* vcvtps2pd */, X86::VCVTPS2PDZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 9736 /* vcvtps2pd */, X86::VCVTPS2PDZ256rmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 9736 /* vcvtps2pd */, X86::VCVTPS2PDZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 9736 /* vcvtps2pd */, X86::VCVTPS2PDZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 9736 /* vcvtps2pd */, X86::VCVTPS2PDZrmkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 9736 /* vcvtps2pd */, X86::VCVTPS2PDZrrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK__123_sae_125_ }, },
{ 9736 /* vcvtps2pd */, X86::VCVTPS2PDZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 9736 /* vcvtps2pd */, X86::VCVTPS2PDZ128rmbkz, Convert__Reg1_0__Reg1_2__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to2_125_ }, },
{ 9736 /* vcvtps2pd */, X86::VCVTPS2PDZ256rmbkz, Convert__Reg1_0__Reg1_2__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 9736 /* vcvtps2pd */, X86::VCVTPS2PDZrrbkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK__123_sae_125_ }, },
{ 9736 /* vcvtps2pd */, X86::VCVTPS2PDZrmbkz, Convert__Reg1_0__Reg1_2__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 9746 /* vcvtps2ph */, X86::VCVTPS2PHrr, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 9746 /* vcvtps2ph */, X86::VCVTPS2PHYrr, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_VR256, MCK_ImmUnsignedi8 }, },
{ 9746 /* vcvtps2ph */, X86::VCVTPS2PHZ128rr, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 9746 /* vcvtps2ph */, X86::VCVTPS2PHZ256rr, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 9746 /* vcvtps2ph */, X86::VCVTPS2PHZrr, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR256X, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 9746 /* vcvtps2ph */, X86::VCVTPS2PHYmr, Convert__Mem1285_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_Mem128, MCK_VR256, MCK_ImmUnsignedi8 }, },
{ 9746 /* vcvtps2ph */, X86::VCVTPS2PHZ256mr, Convert__Mem1285_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_Mem128, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 9746 /* vcvtps2ph */, X86::VCVTPS2PHZmr, Convert__Mem2565_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_Mem256, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 9746 /* vcvtps2ph */, X86::VCVTPS2PHmr, Convert__Mem645_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_Mem64, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 9746 /* vcvtps2ph */, X86::VCVTPS2PHZ128mr, Convert__Mem645_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 9746 /* vcvtps2ph */, X86::VCVTPS2PHZrrb, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256X, MCK_VR512, MCK__123_sae_125_, MCK_ImmUnsignedi8 }, },
{ 9746 /* vcvtps2ph */, X86::VCVTPS2PHZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 9746 /* vcvtps2ph */, X86::VCVTPS2PHZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 9746 /* vcvtps2ph */, X86::VCVTPS2PHZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 9746 /* vcvtps2ph */, X86::VCVTPS2PHZ256mrk, Convert__Mem1285_0__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_Mem128, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 9746 /* vcvtps2ph */, X86::VCVTPS2PHZmrk, Convert__Mem2565_0__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_Mem256, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 9746 /* vcvtps2ph */, X86::VCVTPS2PHZ128mrk, Convert__Mem645_0__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_Mem64, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 9746 /* vcvtps2ph */, X86::VCVTPS2PHZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 9746 /* vcvtps2ph */, X86::VCVTPS2PHZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 9746 /* vcvtps2ph */, X86::VCVTPS2PHZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 9746 /* vcvtps2ph */, X86::VCVTPS2PHZrrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK__123_sae_125_, MCK_ImmUnsignedi8 }, },
{ 9746 /* vcvtps2ph */, X86::VCVTPS2PHZrrbkz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK__123_sae_125_, MCK_ImmUnsignedi8 }, },
{ 9756 /* vcvtps2phx */, X86::VCVTPS2PHXZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 9756 /* vcvtps2phx */, X86::VCVTPS2PHXZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_VR256X }, },
{ 9756 /* vcvtps2phx */, X86::VCVTPS2PHXZ128rm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16X, MCK_Mem128 }, },
{ 9756 /* vcvtps2phx */, X86::VCVTPS2PHXZ256rm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_FR16X, MCK_Mem256 }, },
{ 9756 /* vcvtps2phx */, X86::VCVTPS2PHXZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR512 }, },
{ 9756 /* vcvtps2phx */, X86::VCVTPS2PHXZrm, Convert__Reg1_0__Mem5125_1, AMFBS_None, { MCK_VR256X, MCK_Mem512 }, },
{ 9756 /* vcvtps2phx */, X86::VCVTPS2PHXZ128rmb, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 9756 /* vcvtps2phx */, X86::VCVTPS2PHXZ256rmb, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_FR16X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 9756 /* vcvtps2phx */, X86::VCVTPS2PHXZrrb, Convert__Reg1_0__Reg1_1__AVX512RC1_2, AMFBS_None, { MCK_VR256X, MCK_VR512, MCK_AVX512RC }, },
{ 9756 /* vcvtps2phx */, X86::VCVTPS2PHXZrmb, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_VR256X, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 9756 /* vcvtps2phx */, X86::VCVTPS2PHXZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 9756 /* vcvtps2phx */, X86::VCVTPS2PHXZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 9756 /* vcvtps2phx */, X86::VCVTPS2PHXZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 9756 /* vcvtps2phx */, X86::VCVTPS2PHXZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 9756 /* vcvtps2phx */, X86::VCVTPS2PHXZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 9756 /* vcvtps2phx */, X86::VCVTPS2PHXZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512 }, },
{ 9756 /* vcvtps2phx */, X86::VCVTPS2PHXZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 9756 /* vcvtps2phx */, X86::VCVTPS2PHXZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 9756 /* vcvtps2phx */, X86::VCVTPS2PHXZ128rmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 9756 /* vcvtps2phx */, X86::VCVTPS2PHXZ256rmkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 9756 /* vcvtps2phx */, X86::VCVTPS2PHXZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 9756 /* vcvtps2phx */, X86::VCVTPS2PHXZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 9756 /* vcvtps2phx */, X86::VCVTPS2PHXZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 9756 /* vcvtps2phx */, X86::VCVTPS2PHXZrmkz, Convert__Reg1_0__Reg1_2__Mem5125_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512 }, },
{ 9756 /* vcvtps2phx */, X86::VCVTPS2PHXZrrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__AVX512RC1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_AVX512RC }, },
{ 9756 /* vcvtps2phx */, X86::VCVTPS2PHXZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 9756 /* vcvtps2phx */, X86::VCVTPS2PHXZ128rmbkz, Convert__Reg1_0__Reg1_2__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 9756 /* vcvtps2phx */, X86::VCVTPS2PHXZ256rmbkz, Convert__Reg1_0__Reg1_2__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 9756 /* vcvtps2phx */, X86::VCVTPS2PHXZrrbkz, Convert__Reg1_0__Reg1_2__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_AVX512RC }, },
{ 9756 /* vcvtps2phx */, X86::VCVTPS2PHXZrmbkz, Convert__Reg1_0__Reg1_2__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 9791 /* vcvtps2qq */, X86::VCVTPS2QQZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 9791 /* vcvtps2qq */, X86::VCVTPS2QQZ128rm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_FR16X, MCK_Mem64 }, },
{ 9791 /* vcvtps2qq */, X86::VCVTPS2QQZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_FR16X }, },
{ 9791 /* vcvtps2qq */, X86::VCVTPS2QQZ256rm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_VR256X, MCK_Mem128 }, },
{ 9791 /* vcvtps2qq */, X86::VCVTPS2QQZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR256X }, },
{ 9791 /* vcvtps2qq */, X86::VCVTPS2QQZrm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR512, MCK_Mem256 }, },
{ 9791 /* vcvtps2qq */, X86::VCVTPS2QQZ128rmb, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_FR16X, MCK_Mem32, MCK__123_1to2_125_ }, },
{ 9791 /* vcvtps2qq */, X86::VCVTPS2QQZ256rmb, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_VR256X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 9791 /* vcvtps2qq */, X86::VCVTPS2QQZrrb, Convert__Reg1_0__Reg1_1__AVX512RC1_2, AMFBS_None, { MCK_VR512, MCK_VR256X, MCK_AVX512RC }, },
{ 9791 /* vcvtps2qq */, X86::VCVTPS2QQZrmb, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_VR512, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 9791 /* vcvtps2qq */, X86::VCVTPS2QQZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 9791 /* vcvtps2qq */, X86::VCVTPS2QQZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64 }, },
{ 9791 /* vcvtps2qq */, X86::VCVTPS2QQZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 9791 /* vcvtps2qq */, X86::VCVTPS2QQZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 9791 /* vcvtps2qq */, X86::VCVTPS2QQZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 9791 /* vcvtps2qq */, X86::VCVTPS2QQZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 9791 /* vcvtps2qq */, X86::VCVTPS2QQZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 9791 /* vcvtps2qq */, X86::VCVTPS2QQZ128rmkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64 }, },
{ 9791 /* vcvtps2qq */, X86::VCVTPS2QQZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to2_125_ }, },
{ 9791 /* vcvtps2qq */, X86::VCVTPS2QQZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 9791 /* vcvtps2qq */, X86::VCVTPS2QQZ256rmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 9791 /* vcvtps2qq */, X86::VCVTPS2QQZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 9791 /* vcvtps2qq */, X86::VCVTPS2QQZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 9791 /* vcvtps2qq */, X86::VCVTPS2QQZrmkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 9791 /* vcvtps2qq */, X86::VCVTPS2QQZrrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__AVX512RC1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_AVX512RC }, },
{ 9791 /* vcvtps2qq */, X86::VCVTPS2QQZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 9791 /* vcvtps2qq */, X86::VCVTPS2QQZ128rmbkz, Convert__Reg1_0__Reg1_2__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to2_125_ }, },
{ 9791 /* vcvtps2qq */, X86::VCVTPS2QQZ256rmbkz, Convert__Reg1_0__Reg1_2__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 9791 /* vcvtps2qq */, X86::VCVTPS2QQZrrbkz, Convert__Reg1_0__Reg1_2__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_AVX512RC }, },
{ 9791 /* vcvtps2qq */, X86::VCVTPS2QQZrmbkz, Convert__Reg1_0__Reg1_2__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 9801 /* vcvtps2udq */, X86::VCVTPS2UDQZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 9801 /* vcvtps2udq */, X86::VCVTPS2UDQZ128rm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16X, MCK_Mem128 }, },
{ 9801 /* vcvtps2udq */, X86::VCVTPS2UDQZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 9801 /* vcvtps2udq */, X86::VCVTPS2UDQZ256rm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR256X, MCK_Mem256 }, },
{ 9801 /* vcvtps2udq */, X86::VCVTPS2UDQZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 9801 /* vcvtps2udq */, X86::VCVTPS2UDQZrm, Convert__Reg1_0__Mem5125_1, AMFBS_None, { MCK_VR512, MCK_Mem512 }, },
{ 9801 /* vcvtps2udq */, X86::VCVTPS2UDQZ128rmb, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 9801 /* vcvtps2udq */, X86::VCVTPS2UDQZ256rmb, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 9801 /* vcvtps2udq */, X86::VCVTPS2UDQZrrb, Convert__Reg1_0__Reg1_1__AVX512RC1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 9801 /* vcvtps2udq */, X86::VCVTPS2UDQZrmb, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 9801 /* vcvtps2udq */, X86::VCVTPS2UDQZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 9801 /* vcvtps2udq */, X86::VCVTPS2UDQZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 9801 /* vcvtps2udq */, X86::VCVTPS2UDQZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 9801 /* vcvtps2udq */, X86::VCVTPS2UDQZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 9801 /* vcvtps2udq */, X86::VCVTPS2UDQZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 9801 /* vcvtps2udq */, X86::VCVTPS2UDQZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512 }, },
{ 9801 /* vcvtps2udq */, X86::VCVTPS2UDQZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 9801 /* vcvtps2udq */, X86::VCVTPS2UDQZ128rmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 9801 /* vcvtps2udq */, X86::VCVTPS2UDQZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 9801 /* vcvtps2udq */, X86::VCVTPS2UDQZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 9801 /* vcvtps2udq */, X86::VCVTPS2UDQZ256rmkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 9801 /* vcvtps2udq */, X86::VCVTPS2UDQZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 9801 /* vcvtps2udq */, X86::VCVTPS2UDQZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 9801 /* vcvtps2udq */, X86::VCVTPS2UDQZrmkz, Convert__Reg1_0__Reg1_2__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512 }, },
{ 9801 /* vcvtps2udq */, X86::VCVTPS2UDQZrrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__AVX512RC1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_AVX512RC }, },
{ 9801 /* vcvtps2udq */, X86::VCVTPS2UDQZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 9801 /* vcvtps2udq */, X86::VCVTPS2UDQZ128rmbkz, Convert__Reg1_0__Reg1_2__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 9801 /* vcvtps2udq */, X86::VCVTPS2UDQZ256rmbkz, Convert__Reg1_0__Reg1_2__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 9801 /* vcvtps2udq */, X86::VCVTPS2UDQZrrbkz, Convert__Reg1_0__Reg1_2__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_AVX512RC }, },
{ 9801 /* vcvtps2udq */, X86::VCVTPS2UDQZrmbkz, Convert__Reg1_0__Reg1_2__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 9812 /* vcvtps2uqq */, X86::VCVTPS2UQQZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 9812 /* vcvtps2uqq */, X86::VCVTPS2UQQZ128rm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_FR16X, MCK_Mem64 }, },
{ 9812 /* vcvtps2uqq */, X86::VCVTPS2UQQZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_FR16X }, },
{ 9812 /* vcvtps2uqq */, X86::VCVTPS2UQQZ256rm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_VR256X, MCK_Mem128 }, },
{ 9812 /* vcvtps2uqq */, X86::VCVTPS2UQQZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR256X }, },
{ 9812 /* vcvtps2uqq */, X86::VCVTPS2UQQZrm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR512, MCK_Mem256 }, },
{ 9812 /* vcvtps2uqq */, X86::VCVTPS2UQQZ128rmb, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_FR16X, MCK_Mem32, MCK__123_1to2_125_ }, },
{ 9812 /* vcvtps2uqq */, X86::VCVTPS2UQQZ256rmb, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_VR256X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 9812 /* vcvtps2uqq */, X86::VCVTPS2UQQZrrb, Convert__Reg1_0__Reg1_1__AVX512RC1_2, AMFBS_None, { MCK_VR512, MCK_VR256X, MCK_AVX512RC }, },
{ 9812 /* vcvtps2uqq */, X86::VCVTPS2UQQZrmb, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_VR512, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 9812 /* vcvtps2uqq */, X86::VCVTPS2UQQZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 9812 /* vcvtps2uqq */, X86::VCVTPS2UQQZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64 }, },
{ 9812 /* vcvtps2uqq */, X86::VCVTPS2UQQZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 9812 /* vcvtps2uqq */, X86::VCVTPS2UQQZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 9812 /* vcvtps2uqq */, X86::VCVTPS2UQQZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 9812 /* vcvtps2uqq */, X86::VCVTPS2UQQZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 9812 /* vcvtps2uqq */, X86::VCVTPS2UQQZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 9812 /* vcvtps2uqq */, X86::VCVTPS2UQQZ128rmkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64 }, },
{ 9812 /* vcvtps2uqq */, X86::VCVTPS2UQQZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to2_125_ }, },
{ 9812 /* vcvtps2uqq */, X86::VCVTPS2UQQZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 9812 /* vcvtps2uqq */, X86::VCVTPS2UQQZ256rmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 9812 /* vcvtps2uqq */, X86::VCVTPS2UQQZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 9812 /* vcvtps2uqq */, X86::VCVTPS2UQQZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 9812 /* vcvtps2uqq */, X86::VCVTPS2UQQZrmkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 9812 /* vcvtps2uqq */, X86::VCVTPS2UQQZrrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__AVX512RC1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_AVX512RC }, },
{ 9812 /* vcvtps2uqq */, X86::VCVTPS2UQQZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 9812 /* vcvtps2uqq */, X86::VCVTPS2UQQZ128rmbkz, Convert__Reg1_0__Reg1_2__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to2_125_ }, },
{ 9812 /* vcvtps2uqq */, X86::VCVTPS2UQQZ256rmbkz, Convert__Reg1_0__Reg1_2__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 9812 /* vcvtps2uqq */, X86::VCVTPS2UQQZrrbkz, Convert__Reg1_0__Reg1_2__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_AVX512RC }, },
{ 9812 /* vcvtps2uqq */, X86::VCVTPS2UQQZrmbkz, Convert__Reg1_0__Reg1_2__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 9823 /* vcvtqq2pd */, X86::VCVTQQ2PDZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 9823 /* vcvtqq2pd */, X86::VCVTQQ2PDZ128rm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16X, MCK_Mem128 }, },
{ 9823 /* vcvtqq2pd */, X86::VCVTQQ2PDZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 9823 /* vcvtqq2pd */, X86::VCVTQQ2PDZ256rm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR256X, MCK_Mem256 }, },
{ 9823 /* vcvtqq2pd */, X86::VCVTQQ2PDZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 9823 /* vcvtqq2pd */, X86::VCVTQQ2PDZrm, Convert__Reg1_0__Mem5125_1, AMFBS_None, { MCK_VR512, MCK_Mem512 }, },
{ 9823 /* vcvtqq2pd */, X86::VCVTQQ2PDZ128rmb, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 9823 /* vcvtqq2pd */, X86::VCVTQQ2PDZ256rmb, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 9823 /* vcvtqq2pd */, X86::VCVTQQ2PDZrrb, Convert__Reg1_0__Reg1_1__AVX512RC1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 9823 /* vcvtqq2pd */, X86::VCVTQQ2PDZrmb, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 9823 /* vcvtqq2pd */, X86::VCVTQQ2PDZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 9823 /* vcvtqq2pd */, X86::VCVTQQ2PDZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 9823 /* vcvtqq2pd */, X86::VCVTQQ2PDZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 9823 /* vcvtqq2pd */, X86::VCVTQQ2PDZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 9823 /* vcvtqq2pd */, X86::VCVTQQ2PDZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 9823 /* vcvtqq2pd */, X86::VCVTQQ2PDZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512 }, },
{ 9823 /* vcvtqq2pd */, X86::VCVTQQ2PDZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 9823 /* vcvtqq2pd */, X86::VCVTQQ2PDZ128rmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 9823 /* vcvtqq2pd */, X86::VCVTQQ2PDZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 9823 /* vcvtqq2pd */, X86::VCVTQQ2PDZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 9823 /* vcvtqq2pd */, X86::VCVTQQ2PDZ256rmkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 9823 /* vcvtqq2pd */, X86::VCVTQQ2PDZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 9823 /* vcvtqq2pd */, X86::VCVTQQ2PDZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 9823 /* vcvtqq2pd */, X86::VCVTQQ2PDZrmkz, Convert__Reg1_0__Reg1_2__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512 }, },
{ 9823 /* vcvtqq2pd */, X86::VCVTQQ2PDZrrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__AVX512RC1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_AVX512RC }, },
{ 9823 /* vcvtqq2pd */, X86::VCVTQQ2PDZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 9823 /* vcvtqq2pd */, X86::VCVTQQ2PDZ128rmbkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 9823 /* vcvtqq2pd */, X86::VCVTQQ2PDZ256rmbkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 9823 /* vcvtqq2pd */, X86::VCVTQQ2PDZrrbkz, Convert__Reg1_0__Reg1_2__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_AVX512RC }, },
{ 9823 /* vcvtqq2pd */, X86::VCVTQQ2PDZrmbkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 9833 /* vcvtqq2ph */, X86::VCVTQQ2PHZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 9833 /* vcvtqq2ph */, X86::VCVTQQ2PHZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_VR256X }, },
{ 9833 /* vcvtqq2ph */, X86::VCVTQQ2PHZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_VR512 }, },
{ 9833 /* vcvtqq2ph */, X86::VCVTQQ2PHZ128rm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16X, MCK_Mem128 }, },
{ 9833 /* vcvtqq2ph */, X86::VCVTQQ2PHZ256rm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_FR16X, MCK_Mem256 }, },
{ 9833 /* vcvtqq2ph */, X86::VCVTQQ2PHZrm, Convert__Reg1_0__Mem5125_1, AMFBS_None, { MCK_FR16X, MCK_Mem512 }, },
{ 9833 /* vcvtqq2ph */, X86::VCVTQQ2PHZrrb, Convert__Reg1_0__Reg1_1__AVX512RC1_2, AMFBS_None, { MCK_FR16X, MCK_VR512, MCK_AVX512RC }, },
{ 9833 /* vcvtqq2ph */, X86::VCVTQQ2PHZ128rmb, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 9833 /* vcvtqq2ph */, X86::VCVTQQ2PHZ256rmb, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_FR16X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 9833 /* vcvtqq2ph */, X86::VCVTQQ2PHZrmb, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_FR16X, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 9833 /* vcvtqq2ph */, X86::VCVTQQ2PHZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 9833 /* vcvtqq2ph */, X86::VCVTQQ2PHZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 9833 /* vcvtqq2ph */, X86::VCVTQQ2PHZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 9833 /* vcvtqq2ph */, X86::VCVTQQ2PHZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 9833 /* vcvtqq2ph */, X86::VCVTQQ2PHZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 9833 /* vcvtqq2ph */, X86::VCVTQQ2PHZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512 }, },
{ 9833 /* vcvtqq2ph */, X86::VCVTQQ2PHZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 9833 /* vcvtqq2ph */, X86::VCVTQQ2PHZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 9833 /* vcvtqq2ph */, X86::VCVTQQ2PHZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 9833 /* vcvtqq2ph */, X86::VCVTQQ2PHZ128rmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 9833 /* vcvtqq2ph */, X86::VCVTQQ2PHZ256rmkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 9833 /* vcvtqq2ph */, X86::VCVTQQ2PHZrmkz, Convert__Reg1_0__Reg1_2__Mem5125_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512 }, },
{ 9833 /* vcvtqq2ph */, X86::VCVTQQ2PHZrrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__AVX512RC1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_AVX512RC }, },
{ 9833 /* vcvtqq2ph */, X86::VCVTQQ2PHZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 9833 /* vcvtqq2ph */, X86::VCVTQQ2PHZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 9833 /* vcvtqq2ph */, X86::VCVTQQ2PHZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 9833 /* vcvtqq2ph */, X86::VCVTQQ2PHZrrbkz, Convert__Reg1_0__Reg1_2__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_AVX512RC }, },
{ 9833 /* vcvtqq2ph */, X86::VCVTQQ2PHZ128rmbkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 9833 /* vcvtqq2ph */, X86::VCVTQQ2PHZ256rmbkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 9833 /* vcvtqq2ph */, X86::VCVTQQ2PHZrmbkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 9876 /* vcvtqq2ps */, X86::VCVTQQ2PSZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 9876 /* vcvtqq2ps */, X86::VCVTQQ2PSZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_VR256X }, },
{ 9876 /* vcvtqq2ps */, X86::VCVTQQ2PSZ128rm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16X, MCK_Mem128 }, },
{ 9876 /* vcvtqq2ps */, X86::VCVTQQ2PSZ256rm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_FR16X, MCK_Mem256 }, },
{ 9876 /* vcvtqq2ps */, X86::VCVTQQ2PSZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR512 }, },
{ 9876 /* vcvtqq2ps */, X86::VCVTQQ2PSZrm, Convert__Reg1_0__Mem5125_1, AMFBS_None, { MCK_VR256X, MCK_Mem512 }, },
{ 9876 /* vcvtqq2ps */, X86::VCVTQQ2PSZ128rmb, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 9876 /* vcvtqq2ps */, X86::VCVTQQ2PSZ256rmb, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_FR16X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 9876 /* vcvtqq2ps */, X86::VCVTQQ2PSZrrb, Convert__Reg1_0__Reg1_1__AVX512RC1_2, AMFBS_None, { MCK_VR256X, MCK_VR512, MCK_AVX512RC }, },
{ 9876 /* vcvtqq2ps */, X86::VCVTQQ2PSZrmb, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_VR256X, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 9876 /* vcvtqq2ps */, X86::VCVTQQ2PSZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 9876 /* vcvtqq2ps */, X86::VCVTQQ2PSZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 9876 /* vcvtqq2ps */, X86::VCVTQQ2PSZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 9876 /* vcvtqq2ps */, X86::VCVTQQ2PSZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 9876 /* vcvtqq2ps */, X86::VCVTQQ2PSZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 9876 /* vcvtqq2ps */, X86::VCVTQQ2PSZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512 }, },
{ 9876 /* vcvtqq2ps */, X86::VCVTQQ2PSZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 9876 /* vcvtqq2ps */, X86::VCVTQQ2PSZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 9876 /* vcvtqq2ps */, X86::VCVTQQ2PSZ128rmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 9876 /* vcvtqq2ps */, X86::VCVTQQ2PSZ256rmkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 9876 /* vcvtqq2ps */, X86::VCVTQQ2PSZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 9876 /* vcvtqq2ps */, X86::VCVTQQ2PSZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 9876 /* vcvtqq2ps */, X86::VCVTQQ2PSZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 9876 /* vcvtqq2ps */, X86::VCVTQQ2PSZrmkz, Convert__Reg1_0__Reg1_2__Mem5125_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512 }, },
{ 9876 /* vcvtqq2ps */, X86::VCVTQQ2PSZrrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__AVX512RC1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_AVX512RC }, },
{ 9876 /* vcvtqq2ps */, X86::VCVTQQ2PSZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 9876 /* vcvtqq2ps */, X86::VCVTQQ2PSZ128rmbkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 9876 /* vcvtqq2ps */, X86::VCVTQQ2PSZ256rmbkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 9876 /* vcvtqq2ps */, X86::VCVTQQ2PSZrrbkz, Convert__Reg1_0__Reg1_2__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_AVX512RC }, },
{ 9876 /* vcvtqq2ps */, X86::VCVTQQ2PSZrmbkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 9908 /* vcvtsd2sh */, X86::VCVTSD2SHZrr_Int, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 9908 /* vcvtsd2sh */, X86::VCVTSD2SHZrm_Int, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64 }, },
{ 9908 /* vcvtsd2sh */, X86::VCVTSD2SHZrrb_Int, Convert__Reg1_0__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 9908 /* vcvtsd2sh */, X86::VCVTSD2SHZrr_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 9908 /* vcvtsd2sh */, X86::VCVTSD2SHZrm_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64 }, },
{ 9908 /* vcvtsd2sh */, X86::VCVTSD2SHZrr_Intkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 9908 /* vcvtsd2sh */, X86::VCVTSD2SHZrm_Intkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64 }, },
{ 9908 /* vcvtsd2sh */, X86::VCVTSD2SHZrrb_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 9908 /* vcvtsd2sh */, X86::VCVTSD2SHZrrb_Intkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 9918 /* vcvtsd2si */, X86::VCVTSD2SIrr_Int, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR32, MCK_FR16 }, },
{ 9918 /* vcvtsd2si */, X86::VCVTSD2SIZrr_Int, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR32, MCK_FR16X }, },
{ 9918 /* vcvtsd2si */, X86::VCVTSD2SIrm_Int, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_GR32, MCK_Mem64 }, },
{ 9918 /* vcvtsd2si */, X86::VCVTSD2SIZrm_Int, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_GR32, MCK_Mem64 }, },
{ 9918 /* vcvtsd2si */, X86::VCVTSD2SI64rr_Int, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR64, MCK_FR16 }, },
{ 9918 /* vcvtsd2si */, X86::VCVTSD2SI64Zrr_Int, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR64, MCK_FR16X }, },
{ 9918 /* vcvtsd2si */, X86::VCVTSD2SI64rm_Int, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_GR64, MCK_Mem64 }, },
{ 9918 /* vcvtsd2si */, X86::VCVTSD2SI64Zrm_Int, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_GR64, MCK_Mem64 }, },
{ 9918 /* vcvtsd2si */, X86::VCVTSD2SIZrrb_Int, Convert__Reg1_0__Reg1_1__AVX512RC1_2, AMFBS_None, { MCK_GR32, MCK_FR16X, MCK_AVX512RC }, },
{ 9918 /* vcvtsd2si */, X86::VCVTSD2SI64Zrrb_Int, Convert__Reg1_0__Reg1_1__AVX512RC1_2, AMFBS_None, { MCK_GR64, MCK_FR16X, MCK_AVX512RC }, },
{ 9950 /* vcvtsd2ss */, X86::VCVTSD2SSrr_Int, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 9950 /* vcvtsd2ss */, X86::VCVTSD2SSrm_Int, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem64 }, },
{ 9950 /* vcvtsd2ss */, X86::VCVTSD2SSZrr_Int, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 9950 /* vcvtsd2ss */, X86::VCVTSD2SSZrm_Int, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64 }, },
{ 9950 /* vcvtsd2ss */, X86::VCVTSD2SSZrrb_Int, Convert__Reg1_0__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 9950 /* vcvtsd2ss */, X86::VCVTSD2SSZrr_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 9950 /* vcvtsd2ss */, X86::VCVTSD2SSZrm_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64 }, },
{ 9950 /* vcvtsd2ss */, X86::VCVTSD2SSZrr_Intkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 9950 /* vcvtsd2ss */, X86::VCVTSD2SSZrm_Intkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64 }, },
{ 9950 /* vcvtsd2ss */, X86::VCVTSD2SSZrrb_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 9950 /* vcvtsd2ss */, X86::VCVTSD2SSZrrb_Intkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 9960 /* vcvtsd2usi */, X86::VCVTSD2USIZrr_Int, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR32, MCK_FR16X }, },
{ 9960 /* vcvtsd2usi */, X86::VCVTSD2USIZrm_Int, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_GR32, MCK_Mem64 }, },
{ 9960 /* vcvtsd2usi */, X86::VCVTSD2USI64Zrr_Int, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR64, MCK_FR16X }, },
{ 9960 /* vcvtsd2usi */, X86::VCVTSD2USI64Zrm_Int, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_GR64, MCK_Mem64 }, },
{ 9960 /* vcvtsd2usi */, X86::VCVTSD2USIZrrb_Int, Convert__Reg1_0__Reg1_1__AVX512RC1_2, AMFBS_None, { MCK_GR32, MCK_FR16X, MCK_AVX512RC }, },
{ 9960 /* vcvtsd2usi */, X86::VCVTSD2USI64Zrrb_Int, Convert__Reg1_0__Reg1_1__AVX512RC1_2, AMFBS_None, { MCK_GR64, MCK_FR16X, MCK_AVX512RC }, },
{ 9995 /* vcvtsh2sd */, X86::VCVTSH2SDZrr_Int, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 9995 /* vcvtsh2sd */, X86::VCVTSH2SDZrm_Int, Convert__Reg1_0__Reg1_1__Mem165_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem16 }, },
{ 9995 /* vcvtsh2sd */, X86::VCVTSH2SDZrrb_Int, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_sae_125_ }, },
{ 9995 /* vcvtsh2sd */, X86::VCVTSH2SDZrr_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 9995 /* vcvtsh2sd */, X86::VCVTSH2SDZrm_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem16 }, },
{ 9995 /* vcvtsh2sd */, X86::VCVTSH2SDZrr_Intkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 9995 /* vcvtsh2sd */, X86::VCVTSH2SDZrm_Intkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem16 }, },
{ 9995 /* vcvtsh2sd */, X86::VCVTSH2SDZrrb_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK__123_sae_125_ }, },
{ 9995 /* vcvtsh2sd */, X86::VCVTSH2SDZrrb_Intkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK__123_sae_125_ }, },
{ 10005 /* vcvtsh2si */, X86::VCVTSH2SIZrr_Int, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR32, MCK_FR16X }, },
{ 10005 /* vcvtsh2si */, X86::VCVTSH2SIZrm_Int, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_GR32, MCK_Mem16 }, },
{ 10005 /* vcvtsh2si */, X86::VCVTSH2SI64Zrr_Int, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR64, MCK_FR16X }, },
{ 10005 /* vcvtsh2si */, X86::VCVTSH2SI64Zrm_Int, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_GR64, MCK_Mem16 }, },
{ 10005 /* vcvtsh2si */, X86::VCVTSH2SIZrrb_Int, Convert__Reg1_0__Reg1_1__AVX512RC1_2, AMFBS_None, { MCK_GR32, MCK_FR16X, MCK_AVX512RC }, },
{ 10005 /* vcvtsh2si */, X86::VCVTSH2SI64Zrrb_Int, Convert__Reg1_0__Reg1_1__AVX512RC1_2, AMFBS_None, { MCK_GR64, MCK_FR16X, MCK_AVX512RC }, },
{ 10037 /* vcvtsh2ss */, X86::VCVTSH2SSZrr_Int, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 10037 /* vcvtsh2ss */, X86::VCVTSH2SSZrm_Int, Convert__Reg1_0__Reg1_1__Mem165_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem16 }, },
{ 10037 /* vcvtsh2ss */, X86::VCVTSH2SSZrrb_Int, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_sae_125_ }, },
{ 10037 /* vcvtsh2ss */, X86::VCVTSH2SSZrr_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 10037 /* vcvtsh2ss */, X86::VCVTSH2SSZrm_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem16 }, },
{ 10037 /* vcvtsh2ss */, X86::VCVTSH2SSZrr_Intkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 10037 /* vcvtsh2ss */, X86::VCVTSH2SSZrm_Intkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem16 }, },
{ 10037 /* vcvtsh2ss */, X86::VCVTSH2SSZrrb_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK__123_sae_125_ }, },
{ 10037 /* vcvtsh2ss */, X86::VCVTSH2SSZrrb_Intkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK__123_sae_125_ }, },
{ 10047 /* vcvtsh2usi */, X86::VCVTSH2USIZrr_Int, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR32, MCK_FR16X }, },
{ 10047 /* vcvtsh2usi */, X86::VCVTSH2USIZrm_Int, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_GR32, MCK_Mem16 }, },
{ 10047 /* vcvtsh2usi */, X86::VCVTSH2USI64Zrr_Int, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR64, MCK_FR16X }, },
{ 10047 /* vcvtsh2usi */, X86::VCVTSH2USI64Zrm_Int, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_GR64, MCK_Mem16 }, },
{ 10047 /* vcvtsh2usi */, X86::VCVTSH2USIZrrb_Int, Convert__Reg1_0__Reg1_1__AVX512RC1_2, AMFBS_None, { MCK_GR32, MCK_FR16X, MCK_AVX512RC }, },
{ 10047 /* vcvtsh2usi */, X86::VCVTSH2USI64Zrrb_Int, Convert__Reg1_0__Reg1_1__AVX512RC1_2, AMFBS_None, { MCK_GR64, MCK_FR16X, MCK_AVX512RC }, },
{ 10082 /* vcvtsi2sd */, X86::VCVTSI2SDrr_Int, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_GR32 }, },
{ 10082 /* vcvtsi2sd */, X86::VCVTSI642SDrr_Int, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_GR64 }, },
{ 10082 /* vcvtsi2sd */, X86::VCVTSI2SDrm_Int, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem32 }, },
{ 10082 /* vcvtsi2sd */, X86::VCVTSI642SDrm_Int, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem64 }, },
{ 10082 /* vcvtsi2sd */, X86::VCVTSI2SDZrr_Int, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_GR32 }, },
{ 10082 /* vcvtsi2sd */, X86::VCVTSI642SDZrr_Int, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_GR64 }, },
{ 10082 /* vcvtsi2sd */, X86::VCVTSI2SDZrm_Int, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32 }, },
{ 10082 /* vcvtsi2sd */, X86::VCVTSI642SDZrm_Int, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64 }, },
{ 10082 /* vcvtsi2sd */, X86::VCVTSI642SDZrrb_Int, Convert__Reg1_0__Reg1_1__Reg1_3__AVX512RC1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_AVX512RC, MCK_GR64 }, },
{ 10114 /* vcvtsi2sh */, X86::VCVTSI2SHZrr_Int, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_GR32 }, },
{ 10114 /* vcvtsi2sh */, X86::VCVTSI642SHZrr_Int, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_GR64 }, },
{ 10114 /* vcvtsi2sh */, X86::VCVTSI2SHZrm_Int, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32 }, },
{ 10114 /* vcvtsi2sh */, X86::VCVTSI642SHZrm_Int, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64 }, },
{ 10114 /* vcvtsi2sh */, X86::VCVTSI2SHZrrb_Int, Convert__Reg1_0__Reg1_1__Reg1_3__AVX512RC1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_AVX512RC, MCK_GR32 }, },
{ 10114 /* vcvtsi2sh */, X86::VCVTSI642SHZrrb_Int, Convert__Reg1_0__Reg1_1__Reg1_3__AVX512RC1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_AVX512RC, MCK_GR64 }, },
{ 10146 /* vcvtsi2ss */, X86::VCVTSI2SSrr_Int, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_GR32 }, },
{ 10146 /* vcvtsi2ss */, X86::VCVTSI642SSrr_Int, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_GR64 }, },
{ 10146 /* vcvtsi2ss */, X86::VCVTSI2SSrm_Int, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem32 }, },
{ 10146 /* vcvtsi2ss */, X86::VCVTSI642SSrm_Int, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem64 }, },
{ 10146 /* vcvtsi2ss */, X86::VCVTSI2SSZrr_Int, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_GR32 }, },
{ 10146 /* vcvtsi2ss */, X86::VCVTSI642SSZrr_Int, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_GR64 }, },
{ 10146 /* vcvtsi2ss */, X86::VCVTSI2SSZrm_Int, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32 }, },
{ 10146 /* vcvtsi2ss */, X86::VCVTSI642SSZrm_Int, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64 }, },
{ 10146 /* vcvtsi2ss */, X86::VCVTSI2SSZrrb_Int, Convert__Reg1_0__Reg1_1__Reg1_3__AVX512RC1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_AVX512RC, MCK_GR32 }, },
{ 10146 /* vcvtsi2ss */, X86::VCVTSI642SSZrrb_Int, Convert__Reg1_0__Reg1_1__Reg1_3__AVX512RC1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_AVX512RC, MCK_GR64 }, },
{ 10178 /* vcvtss2sd */, X86::VCVTSS2SDrr_Int, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 10178 /* vcvtss2sd */, X86::VCVTSS2SDrm_Int, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem32 }, },
{ 10178 /* vcvtss2sd */, X86::VCVTSS2SDZrr_Int, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 10178 /* vcvtss2sd */, X86::VCVTSS2SDZrm_Int, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32 }, },
{ 10178 /* vcvtss2sd */, X86::VCVTSS2SDZrrb_Int, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_sae_125_ }, },
{ 10178 /* vcvtss2sd */, X86::VCVTSS2SDZrr_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 10178 /* vcvtss2sd */, X86::VCVTSS2SDZrm_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32 }, },
{ 10178 /* vcvtss2sd */, X86::VCVTSS2SDZrr_Intkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 10178 /* vcvtss2sd */, X86::VCVTSS2SDZrm_Intkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32 }, },
{ 10178 /* vcvtss2sd */, X86::VCVTSS2SDZrrb_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK__123_sae_125_ }, },
{ 10178 /* vcvtss2sd */, X86::VCVTSS2SDZrrb_Intkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK__123_sae_125_ }, },
{ 10188 /* vcvtss2sh */, X86::VCVTSS2SHZrr_Int, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 10188 /* vcvtss2sh */, X86::VCVTSS2SHZrm_Int, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32 }, },
{ 10188 /* vcvtss2sh */, X86::VCVTSS2SHZrrb_Int, Convert__Reg1_0__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 10188 /* vcvtss2sh */, X86::VCVTSS2SHZrr_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 10188 /* vcvtss2sh */, X86::VCVTSS2SHZrm_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32 }, },
{ 10188 /* vcvtss2sh */, X86::VCVTSS2SHZrr_Intkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 10188 /* vcvtss2sh */, X86::VCVTSS2SHZrm_Intkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32 }, },
{ 10188 /* vcvtss2sh */, X86::VCVTSS2SHZrrb_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 10188 /* vcvtss2sh */, X86::VCVTSS2SHZrrb_Intkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 10198 /* vcvtss2si */, X86::VCVTSS2SIrr_Int, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR32, MCK_FR16 }, },
{ 10198 /* vcvtss2si */, X86::VCVTSS2SIZrr_Int, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR32, MCK_FR16X }, },
{ 10198 /* vcvtss2si */, X86::VCVTSS2SIrm_Int, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_GR32, MCK_Mem32 }, },
{ 10198 /* vcvtss2si */, X86::VCVTSS2SIZrm_Int, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_GR32, MCK_Mem32 }, },
{ 10198 /* vcvtss2si */, X86::VCVTSS2SI64rr_Int, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR64, MCK_FR16 }, },
{ 10198 /* vcvtss2si */, X86::VCVTSS2SI64Zrr_Int, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR64, MCK_FR16X }, },
{ 10198 /* vcvtss2si */, X86::VCVTSS2SI64rm_Int, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_GR64, MCK_Mem32 }, },
{ 10198 /* vcvtss2si */, X86::VCVTSS2SI64Zrm_Int, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_GR64, MCK_Mem32 }, },
{ 10198 /* vcvtss2si */, X86::VCVTSS2SIZrrb_Int, Convert__Reg1_0__Reg1_1__AVX512RC1_2, AMFBS_None, { MCK_GR32, MCK_FR16X, MCK_AVX512RC }, },
{ 10198 /* vcvtss2si */, X86::VCVTSS2SI64Zrrb_Int, Convert__Reg1_0__Reg1_1__AVX512RC1_2, AMFBS_None, { MCK_GR64, MCK_FR16X, MCK_AVX512RC }, },
{ 10230 /* vcvtss2usi */, X86::VCVTSS2USIZrr_Int, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR32, MCK_FR16X }, },
{ 10230 /* vcvtss2usi */, X86::VCVTSS2USIZrm_Int, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_GR32, MCK_Mem32 }, },
{ 10230 /* vcvtss2usi */, X86::VCVTSS2USI64Zrr_Int, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR64, MCK_FR16X }, },
{ 10230 /* vcvtss2usi */, X86::VCVTSS2USI64Zrm_Int, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_GR64, MCK_Mem32 }, },
{ 10230 /* vcvtss2usi */, X86::VCVTSS2USIZrrb_Int, Convert__Reg1_0__Reg1_1__AVX512RC1_2, AMFBS_None, { MCK_GR32, MCK_FR16X, MCK_AVX512RC }, },
{ 10230 /* vcvtss2usi */, X86::VCVTSS2USI64Zrrb_Int, Convert__Reg1_0__Reg1_1__AVX512RC1_2, AMFBS_None, { MCK_GR64, MCK_FR16X, MCK_AVX512RC }, },
{ 10265 /* vcvttpd2dq */, X86::VCVTTPD2DQrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 10265 /* vcvttpd2dq */, X86::VCVTTPD2DQYrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_VR256 }, },
{ 10265 /* vcvttpd2dq */, X86::VCVTTPD2DQrm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 10265 /* vcvttpd2dq */, X86::VCVTTPD2DQYrm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_FR16, MCK_Mem256 }, },
{ 10265 /* vcvttpd2dq */, X86::VCVTTPD2DQZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 10265 /* vcvttpd2dq */, X86::VCVTTPD2DQZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_VR256X }, },
{ 10265 /* vcvttpd2dq */, X86::VCVTTPD2DQZ128rm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16X, MCK_Mem128 }, },
{ 10265 /* vcvttpd2dq */, X86::VCVTTPD2DQZ256rm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_FR16X, MCK_Mem256 }, },
{ 10265 /* vcvttpd2dq */, X86::VCVTTPD2DQZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR512 }, },
{ 10265 /* vcvttpd2dq */, X86::VCVTTPD2DQZrm, Convert__Reg1_0__Mem5125_1, AMFBS_None, { MCK_VR256X, MCK_Mem512 }, },
{ 10265 /* vcvttpd2dq */, X86::VCVTTPD2DQZ128rmb, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 10265 /* vcvttpd2dq */, X86::VCVTTPD2DQZ256rmb, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_FR16X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 10265 /* vcvttpd2dq */, X86::VCVTTPD2DQZrrb, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR512, MCK__123_sae_125_ }, },
{ 10265 /* vcvttpd2dq */, X86::VCVTTPD2DQZrmb, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_VR256X, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 10265 /* vcvttpd2dq */, X86::VCVTTPD2DQZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 10265 /* vcvttpd2dq */, X86::VCVTTPD2DQZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 10265 /* vcvttpd2dq */, X86::VCVTTPD2DQZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 10265 /* vcvttpd2dq */, X86::VCVTTPD2DQZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 10265 /* vcvttpd2dq */, X86::VCVTTPD2DQZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 10265 /* vcvttpd2dq */, X86::VCVTTPD2DQZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512 }, },
{ 10265 /* vcvttpd2dq */, X86::VCVTTPD2DQZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 10265 /* vcvttpd2dq */, X86::VCVTTPD2DQZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 10265 /* vcvttpd2dq */, X86::VCVTTPD2DQZ128rmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 10265 /* vcvttpd2dq */, X86::VCVTTPD2DQZ256rmkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 10265 /* vcvttpd2dq */, X86::VCVTTPD2DQZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 10265 /* vcvttpd2dq */, X86::VCVTTPD2DQZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 10265 /* vcvttpd2dq */, X86::VCVTTPD2DQZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 10265 /* vcvttpd2dq */, X86::VCVTTPD2DQZrmkz, Convert__Reg1_0__Reg1_2__Mem5125_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512 }, },
{ 10265 /* vcvttpd2dq */, X86::VCVTTPD2DQZrrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK__123_sae_125_ }, },
{ 10265 /* vcvttpd2dq */, X86::VCVTTPD2DQZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 10265 /* vcvttpd2dq */, X86::VCVTTPD2DQZ128rmbkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 10265 /* vcvttpd2dq */, X86::VCVTTPD2DQZ256rmbkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 10265 /* vcvttpd2dq */, X86::VCVTTPD2DQZrrbkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK__123_sae_125_ }, },
{ 10265 /* vcvttpd2dq */, X86::VCVTTPD2DQZrmbkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 10300 /* vcvttpd2qq */, X86::VCVTTPD2QQZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 10300 /* vcvttpd2qq */, X86::VCVTTPD2QQZ128rm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16X, MCK_Mem128 }, },
{ 10300 /* vcvttpd2qq */, X86::VCVTTPD2QQZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 10300 /* vcvttpd2qq */, X86::VCVTTPD2QQZ256rm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR256X, MCK_Mem256 }, },
{ 10300 /* vcvttpd2qq */, X86::VCVTTPD2QQZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 10300 /* vcvttpd2qq */, X86::VCVTTPD2QQZrm, Convert__Reg1_0__Mem5125_1, AMFBS_None, { MCK_VR512, MCK_Mem512 }, },
{ 10300 /* vcvttpd2qq */, X86::VCVTTPD2QQZ128rmb, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 10300 /* vcvttpd2qq */, X86::VCVTTPD2QQZ256rmb, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 10300 /* vcvttpd2qq */, X86::VCVTTPD2QQZrrb, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_sae_125_ }, },
{ 10300 /* vcvttpd2qq */, X86::VCVTTPD2QQZrmb, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 10300 /* vcvttpd2qq */, X86::VCVTTPD2QQZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 10300 /* vcvttpd2qq */, X86::VCVTTPD2QQZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 10300 /* vcvttpd2qq */, X86::VCVTTPD2QQZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 10300 /* vcvttpd2qq */, X86::VCVTTPD2QQZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 10300 /* vcvttpd2qq */, X86::VCVTTPD2QQZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 10300 /* vcvttpd2qq */, X86::VCVTTPD2QQZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512 }, },
{ 10300 /* vcvttpd2qq */, X86::VCVTTPD2QQZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 10300 /* vcvttpd2qq */, X86::VCVTTPD2QQZ128rmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 10300 /* vcvttpd2qq */, X86::VCVTTPD2QQZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 10300 /* vcvttpd2qq */, X86::VCVTTPD2QQZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 10300 /* vcvttpd2qq */, X86::VCVTTPD2QQZ256rmkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 10300 /* vcvttpd2qq */, X86::VCVTTPD2QQZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 10300 /* vcvttpd2qq */, X86::VCVTTPD2QQZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 10300 /* vcvttpd2qq */, X86::VCVTTPD2QQZrmkz, Convert__Reg1_0__Reg1_2__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512 }, },
{ 10300 /* vcvttpd2qq */, X86::VCVTTPD2QQZrrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK__123_sae_125_ }, },
{ 10300 /* vcvttpd2qq */, X86::VCVTTPD2QQZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 10300 /* vcvttpd2qq */, X86::VCVTTPD2QQZ128rmbkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 10300 /* vcvttpd2qq */, X86::VCVTTPD2QQZ256rmbkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 10300 /* vcvttpd2qq */, X86::VCVTTPD2QQZrrbkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK__123_sae_125_ }, },
{ 10300 /* vcvttpd2qq */, X86::VCVTTPD2QQZrmbkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 10311 /* vcvttpd2udq */, X86::VCVTTPD2UDQZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 10311 /* vcvttpd2udq */, X86::VCVTTPD2UDQZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_VR256X }, },
{ 10311 /* vcvttpd2udq */, X86::VCVTTPD2UDQZ128rm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16X, MCK_Mem128 }, },
{ 10311 /* vcvttpd2udq */, X86::VCVTTPD2UDQZ256rm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_FR16X, MCK_Mem256 }, },
{ 10311 /* vcvttpd2udq */, X86::VCVTTPD2UDQZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR512 }, },
{ 10311 /* vcvttpd2udq */, X86::VCVTTPD2UDQZrm, Convert__Reg1_0__Mem5125_1, AMFBS_None, { MCK_VR256X, MCK_Mem512 }, },
{ 10311 /* vcvttpd2udq */, X86::VCVTTPD2UDQZ128rmb, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 10311 /* vcvttpd2udq */, X86::VCVTTPD2UDQZ256rmb, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_FR16X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 10311 /* vcvttpd2udq */, X86::VCVTTPD2UDQZrrb, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR512, MCK__123_sae_125_ }, },
{ 10311 /* vcvttpd2udq */, X86::VCVTTPD2UDQZrmb, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_VR256X, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 10311 /* vcvttpd2udq */, X86::VCVTTPD2UDQZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 10311 /* vcvttpd2udq */, X86::VCVTTPD2UDQZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 10311 /* vcvttpd2udq */, X86::VCVTTPD2UDQZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 10311 /* vcvttpd2udq */, X86::VCVTTPD2UDQZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 10311 /* vcvttpd2udq */, X86::VCVTTPD2UDQZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 10311 /* vcvttpd2udq */, X86::VCVTTPD2UDQZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512 }, },
{ 10311 /* vcvttpd2udq */, X86::VCVTTPD2UDQZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 10311 /* vcvttpd2udq */, X86::VCVTTPD2UDQZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 10311 /* vcvttpd2udq */, X86::VCVTTPD2UDQZ128rmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 10311 /* vcvttpd2udq */, X86::VCVTTPD2UDQZ256rmkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 10311 /* vcvttpd2udq */, X86::VCVTTPD2UDQZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 10311 /* vcvttpd2udq */, X86::VCVTTPD2UDQZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 10311 /* vcvttpd2udq */, X86::VCVTTPD2UDQZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 10311 /* vcvttpd2udq */, X86::VCVTTPD2UDQZrmkz, Convert__Reg1_0__Reg1_2__Mem5125_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512 }, },
{ 10311 /* vcvttpd2udq */, X86::VCVTTPD2UDQZrrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK__123_sae_125_ }, },
{ 10311 /* vcvttpd2udq */, X86::VCVTTPD2UDQZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 10311 /* vcvttpd2udq */, X86::VCVTTPD2UDQZ128rmbkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 10311 /* vcvttpd2udq */, X86::VCVTTPD2UDQZ256rmbkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 10311 /* vcvttpd2udq */, X86::VCVTTPD2UDQZrrbkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK__123_sae_125_ }, },
{ 10311 /* vcvttpd2udq */, X86::VCVTTPD2UDQZrmbkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 10349 /* vcvttpd2uqq */, X86::VCVTTPD2UQQZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 10349 /* vcvttpd2uqq */, X86::VCVTTPD2UQQZ128rm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16X, MCK_Mem128 }, },
{ 10349 /* vcvttpd2uqq */, X86::VCVTTPD2UQQZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 10349 /* vcvttpd2uqq */, X86::VCVTTPD2UQQZ256rm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR256X, MCK_Mem256 }, },
{ 10349 /* vcvttpd2uqq */, X86::VCVTTPD2UQQZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 10349 /* vcvttpd2uqq */, X86::VCVTTPD2UQQZrm, Convert__Reg1_0__Mem5125_1, AMFBS_None, { MCK_VR512, MCK_Mem512 }, },
{ 10349 /* vcvttpd2uqq */, X86::VCVTTPD2UQQZ128rmb, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 10349 /* vcvttpd2uqq */, X86::VCVTTPD2UQQZ256rmb, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 10349 /* vcvttpd2uqq */, X86::VCVTTPD2UQQZrrb, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_sae_125_ }, },
{ 10349 /* vcvttpd2uqq */, X86::VCVTTPD2UQQZrmb, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 10349 /* vcvttpd2uqq */, X86::VCVTTPD2UQQZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 10349 /* vcvttpd2uqq */, X86::VCVTTPD2UQQZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 10349 /* vcvttpd2uqq */, X86::VCVTTPD2UQQZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 10349 /* vcvttpd2uqq */, X86::VCVTTPD2UQQZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 10349 /* vcvttpd2uqq */, X86::VCVTTPD2UQQZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 10349 /* vcvttpd2uqq */, X86::VCVTTPD2UQQZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512 }, },
{ 10349 /* vcvttpd2uqq */, X86::VCVTTPD2UQQZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 10349 /* vcvttpd2uqq */, X86::VCVTTPD2UQQZ128rmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 10349 /* vcvttpd2uqq */, X86::VCVTTPD2UQQZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 10349 /* vcvttpd2uqq */, X86::VCVTTPD2UQQZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 10349 /* vcvttpd2uqq */, X86::VCVTTPD2UQQZ256rmkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 10349 /* vcvttpd2uqq */, X86::VCVTTPD2UQQZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 10349 /* vcvttpd2uqq */, X86::VCVTTPD2UQQZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 10349 /* vcvttpd2uqq */, X86::VCVTTPD2UQQZrmkz, Convert__Reg1_0__Reg1_2__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512 }, },
{ 10349 /* vcvttpd2uqq */, X86::VCVTTPD2UQQZrrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK__123_sae_125_ }, },
{ 10349 /* vcvttpd2uqq */, X86::VCVTTPD2UQQZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 10349 /* vcvttpd2uqq */, X86::VCVTTPD2UQQZ128rmbkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 10349 /* vcvttpd2uqq */, X86::VCVTTPD2UQQZ256rmbkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 10349 /* vcvttpd2uqq */, X86::VCVTTPD2UQQZrrbkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK__123_sae_125_ }, },
{ 10349 /* vcvttpd2uqq */, X86::VCVTTPD2UQQZrmbkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 10361 /* vcvttph2dq */, X86::VCVTTPH2DQZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 10361 /* vcvttph2dq */, X86::VCVTTPH2DQZ128rm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_FR16X, MCK_Mem64 }, },
{ 10361 /* vcvttph2dq */, X86::VCVTTPH2DQZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_FR16X }, },
{ 10361 /* vcvttph2dq */, X86::VCVTTPH2DQZ256rm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_VR256X, MCK_Mem128 }, },
{ 10361 /* vcvttph2dq */, X86::VCVTTPH2DQZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR256X }, },
{ 10361 /* vcvttph2dq */, X86::VCVTTPH2DQZrm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR512, MCK_Mem256 }, },
{ 10361 /* vcvttph2dq */, X86::VCVTTPH2DQZ128rmb, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_FR16X, MCK_Mem16, MCK__123_1to4_125_ }, },
{ 10361 /* vcvttph2dq */, X86::VCVTTPH2DQZ256rmb, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_VR256X, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 10361 /* vcvttph2dq */, X86::VCVTTPH2DQZrrb, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR256X, MCK__123_sae_125_ }, },
{ 10361 /* vcvttph2dq */, X86::VCVTTPH2DQZrmb, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_VR512, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 10361 /* vcvttph2dq */, X86::VCVTTPH2DQZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 10361 /* vcvttph2dq */, X86::VCVTTPH2DQZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64 }, },
{ 10361 /* vcvttph2dq */, X86::VCVTTPH2DQZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 10361 /* vcvttph2dq */, X86::VCVTTPH2DQZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 10361 /* vcvttph2dq */, X86::VCVTTPH2DQZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 10361 /* vcvttph2dq */, X86::VCVTTPH2DQZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 10361 /* vcvttph2dq */, X86::VCVTTPH2DQZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 10361 /* vcvttph2dq */, X86::VCVTTPH2DQZ128rmkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64 }, },
{ 10361 /* vcvttph2dq */, X86::VCVTTPH2DQZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem165_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem16, MCK__123_1to4_125_ }, },
{ 10361 /* vcvttph2dq */, X86::VCVTTPH2DQZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 10361 /* vcvttph2dq */, X86::VCVTTPH2DQZ256rmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 10361 /* vcvttph2dq */, X86::VCVTTPH2DQZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem165_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 10361 /* vcvttph2dq */, X86::VCVTTPH2DQZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 10361 /* vcvttph2dq */, X86::VCVTTPH2DQZrmkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 10361 /* vcvttph2dq */, X86::VCVTTPH2DQZrrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK__123_sae_125_ }, },
{ 10361 /* vcvttph2dq */, X86::VCVTTPH2DQZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem165_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 10361 /* vcvttph2dq */, X86::VCVTTPH2DQZ128rmbkz, Convert__Reg1_0__Reg1_2__Mem165_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem16, MCK__123_1to4_125_ }, },
{ 10361 /* vcvttph2dq */, X86::VCVTTPH2DQZ256rmbkz, Convert__Reg1_0__Reg1_2__Mem165_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 10361 /* vcvttph2dq */, X86::VCVTTPH2DQZrrbkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK__123_sae_125_ }, },
{ 10361 /* vcvttph2dq */, X86::VCVTTPH2DQZrmbkz, Convert__Reg1_0__Reg1_2__Mem165_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 10372 /* vcvttph2qq */, X86::VCVTTPH2QQZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 10372 /* vcvttph2qq */, X86::VCVTTPH2QQZ128rm, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_FR16X, MCK_Mem32 }, },
{ 10372 /* vcvttph2qq */, X86::VCVTTPH2QQZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_FR16X }, },
{ 10372 /* vcvttph2qq */, X86::VCVTTPH2QQZ256rm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_VR256X, MCK_Mem64 }, },
{ 10372 /* vcvttph2qq */, X86::VCVTTPH2QQZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_FR16X }, },
{ 10372 /* vcvttph2qq */, X86::VCVTTPH2QQZrm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_VR512, MCK_Mem128 }, },
{ 10372 /* vcvttph2qq */, X86::VCVTTPH2QQZ128rmb, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_FR16X, MCK_Mem16, MCK__123_1to2_125_ }, },
{ 10372 /* vcvttph2qq */, X86::VCVTTPH2QQZ256rmb, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_VR256X, MCK_Mem16, MCK__123_1to4_125_ }, },
{ 10372 /* vcvttph2qq */, X86::VCVTTPH2QQZrrb, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_FR16X, MCK__123_sae_125_ }, },
{ 10372 /* vcvttph2qq */, X86::VCVTTPH2QQZrmb, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_VR512, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 10372 /* vcvttph2qq */, X86::VCVTTPH2QQZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 10372 /* vcvttph2qq */, X86::VCVTTPH2QQZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32 }, },
{ 10372 /* vcvttph2qq */, X86::VCVTTPH2QQZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 10372 /* vcvttph2qq */, X86::VCVTTPH2QQZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64 }, },
{ 10372 /* vcvttph2qq */, X86::VCVTTPH2QQZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 10372 /* vcvttph2qq */, X86::VCVTTPH2QQZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 10372 /* vcvttph2qq */, X86::VCVTTPH2QQZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 10372 /* vcvttph2qq */, X86::VCVTTPH2QQZ128rmkz, Convert__Reg1_0__Reg1_2__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32 }, },
{ 10372 /* vcvttph2qq */, X86::VCVTTPH2QQZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem165_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem16, MCK__123_1to2_125_ }, },
{ 10372 /* vcvttph2qq */, X86::VCVTTPH2QQZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 10372 /* vcvttph2qq */, X86::VCVTTPH2QQZ256rmkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64 }, },
{ 10372 /* vcvttph2qq */, X86::VCVTTPH2QQZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem165_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem16, MCK__123_1to4_125_ }, },
{ 10372 /* vcvttph2qq */, X86::VCVTTPH2QQZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 10372 /* vcvttph2qq */, X86::VCVTTPH2QQZrmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 10372 /* vcvttph2qq */, X86::VCVTTPH2QQZrrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK__123_sae_125_ }, },
{ 10372 /* vcvttph2qq */, X86::VCVTTPH2QQZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem165_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 10372 /* vcvttph2qq */, X86::VCVTTPH2QQZ128rmbkz, Convert__Reg1_0__Reg1_2__Mem165_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem16, MCK__123_1to2_125_ }, },
{ 10372 /* vcvttph2qq */, X86::VCVTTPH2QQZ256rmbkz, Convert__Reg1_0__Reg1_2__Mem165_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem16, MCK__123_1to4_125_ }, },
{ 10372 /* vcvttph2qq */, X86::VCVTTPH2QQZrrbkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK__123_sae_125_ }, },
{ 10372 /* vcvttph2qq */, X86::VCVTTPH2QQZrmbkz, Convert__Reg1_0__Reg1_2__Mem165_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 10383 /* vcvttph2udq */, X86::VCVTTPH2UDQZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 10383 /* vcvttph2udq */, X86::VCVTTPH2UDQZ128rm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_FR16X, MCK_Mem64 }, },
{ 10383 /* vcvttph2udq */, X86::VCVTTPH2UDQZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_FR16X }, },
{ 10383 /* vcvttph2udq */, X86::VCVTTPH2UDQZ256rm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_VR256X, MCK_Mem128 }, },
{ 10383 /* vcvttph2udq */, X86::VCVTTPH2UDQZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR256X }, },
{ 10383 /* vcvttph2udq */, X86::VCVTTPH2UDQZrm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR512, MCK_Mem256 }, },
{ 10383 /* vcvttph2udq */, X86::VCVTTPH2UDQZ128rmb, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_FR16X, MCK_Mem16, MCK__123_1to4_125_ }, },
{ 10383 /* vcvttph2udq */, X86::VCVTTPH2UDQZ256rmb, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_VR256X, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 10383 /* vcvttph2udq */, X86::VCVTTPH2UDQZrrb, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR256X, MCK__123_sae_125_ }, },
{ 10383 /* vcvttph2udq */, X86::VCVTTPH2UDQZrmb, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_VR512, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 10383 /* vcvttph2udq */, X86::VCVTTPH2UDQZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 10383 /* vcvttph2udq */, X86::VCVTTPH2UDQZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64 }, },
{ 10383 /* vcvttph2udq */, X86::VCVTTPH2UDQZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 10383 /* vcvttph2udq */, X86::VCVTTPH2UDQZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 10383 /* vcvttph2udq */, X86::VCVTTPH2UDQZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 10383 /* vcvttph2udq */, X86::VCVTTPH2UDQZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 10383 /* vcvttph2udq */, X86::VCVTTPH2UDQZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 10383 /* vcvttph2udq */, X86::VCVTTPH2UDQZ128rmkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64 }, },
{ 10383 /* vcvttph2udq */, X86::VCVTTPH2UDQZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem165_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem16, MCK__123_1to4_125_ }, },
{ 10383 /* vcvttph2udq */, X86::VCVTTPH2UDQZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 10383 /* vcvttph2udq */, X86::VCVTTPH2UDQZ256rmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 10383 /* vcvttph2udq */, X86::VCVTTPH2UDQZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem165_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 10383 /* vcvttph2udq */, X86::VCVTTPH2UDQZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 10383 /* vcvttph2udq */, X86::VCVTTPH2UDQZrmkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 10383 /* vcvttph2udq */, X86::VCVTTPH2UDQZrrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK__123_sae_125_ }, },
{ 10383 /* vcvttph2udq */, X86::VCVTTPH2UDQZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem165_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 10383 /* vcvttph2udq */, X86::VCVTTPH2UDQZ128rmbkz, Convert__Reg1_0__Reg1_2__Mem165_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem16, MCK__123_1to4_125_ }, },
{ 10383 /* vcvttph2udq */, X86::VCVTTPH2UDQZ256rmbkz, Convert__Reg1_0__Reg1_2__Mem165_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 10383 /* vcvttph2udq */, X86::VCVTTPH2UDQZrrbkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK__123_sae_125_ }, },
{ 10383 /* vcvttph2udq */, X86::VCVTTPH2UDQZrmbkz, Convert__Reg1_0__Reg1_2__Mem165_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 10395 /* vcvttph2uqq */, X86::VCVTTPH2UQQZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 10395 /* vcvttph2uqq */, X86::VCVTTPH2UQQZ128rm, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_FR16X, MCK_Mem32 }, },
{ 10395 /* vcvttph2uqq */, X86::VCVTTPH2UQQZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_FR16X }, },
{ 10395 /* vcvttph2uqq */, X86::VCVTTPH2UQQZ256rm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_VR256X, MCK_Mem64 }, },
{ 10395 /* vcvttph2uqq */, X86::VCVTTPH2UQQZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_FR16X }, },
{ 10395 /* vcvttph2uqq */, X86::VCVTTPH2UQQZrm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_VR512, MCK_Mem128 }, },
{ 10395 /* vcvttph2uqq */, X86::VCVTTPH2UQQZ128rmb, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_FR16X, MCK_Mem16, MCK__123_1to2_125_ }, },
{ 10395 /* vcvttph2uqq */, X86::VCVTTPH2UQQZ256rmb, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_VR256X, MCK_Mem16, MCK__123_1to4_125_ }, },
{ 10395 /* vcvttph2uqq */, X86::VCVTTPH2UQQZrrb, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_FR16X, MCK__123_sae_125_ }, },
{ 10395 /* vcvttph2uqq */, X86::VCVTTPH2UQQZrmb, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_VR512, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 10395 /* vcvttph2uqq */, X86::VCVTTPH2UQQZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 10395 /* vcvttph2uqq */, X86::VCVTTPH2UQQZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32 }, },
{ 10395 /* vcvttph2uqq */, X86::VCVTTPH2UQQZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 10395 /* vcvttph2uqq */, X86::VCVTTPH2UQQZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64 }, },
{ 10395 /* vcvttph2uqq */, X86::VCVTTPH2UQQZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 10395 /* vcvttph2uqq */, X86::VCVTTPH2UQQZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 10395 /* vcvttph2uqq */, X86::VCVTTPH2UQQZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 10395 /* vcvttph2uqq */, X86::VCVTTPH2UQQZ128rmkz, Convert__Reg1_0__Reg1_2__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32 }, },
{ 10395 /* vcvttph2uqq */, X86::VCVTTPH2UQQZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem165_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem16, MCK__123_1to2_125_ }, },
{ 10395 /* vcvttph2uqq */, X86::VCVTTPH2UQQZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 10395 /* vcvttph2uqq */, X86::VCVTTPH2UQQZ256rmkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64 }, },
{ 10395 /* vcvttph2uqq */, X86::VCVTTPH2UQQZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem165_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem16, MCK__123_1to4_125_ }, },
{ 10395 /* vcvttph2uqq */, X86::VCVTTPH2UQQZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 10395 /* vcvttph2uqq */, X86::VCVTTPH2UQQZrmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 10395 /* vcvttph2uqq */, X86::VCVTTPH2UQQZrrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK__123_sae_125_ }, },
{ 10395 /* vcvttph2uqq */, X86::VCVTTPH2UQQZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem165_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 10395 /* vcvttph2uqq */, X86::VCVTTPH2UQQZ128rmbkz, Convert__Reg1_0__Reg1_2__Mem165_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem16, MCK__123_1to2_125_ }, },
{ 10395 /* vcvttph2uqq */, X86::VCVTTPH2UQQZ256rmbkz, Convert__Reg1_0__Reg1_2__Mem165_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem16, MCK__123_1to4_125_ }, },
{ 10395 /* vcvttph2uqq */, X86::VCVTTPH2UQQZrrbkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK__123_sae_125_ }, },
{ 10395 /* vcvttph2uqq */, X86::VCVTTPH2UQQZrmbkz, Convert__Reg1_0__Reg1_2__Mem165_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 10407 /* vcvttph2uw */, X86::VCVTTPH2UWZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 10407 /* vcvttph2uw */, X86::VCVTTPH2UWZ128rm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16X, MCK_Mem128 }, },
{ 10407 /* vcvttph2uw */, X86::VCVTTPH2UWZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 10407 /* vcvttph2uw */, X86::VCVTTPH2UWZ256rm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR256X, MCK_Mem256 }, },
{ 10407 /* vcvttph2uw */, X86::VCVTTPH2UWZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 10407 /* vcvttph2uw */, X86::VCVTTPH2UWZrm, Convert__Reg1_0__Mem5125_1, AMFBS_None, { MCK_VR512, MCK_Mem512 }, },
{ 10407 /* vcvttph2uw */, X86::VCVTTPH2UWZ128rmb, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_FR16X, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 10407 /* vcvttph2uw */, X86::VCVTTPH2UWZ256rmb, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_VR256X, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 10407 /* vcvttph2uw */, X86::VCVTTPH2UWZrrb, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_sae_125_ }, },
{ 10407 /* vcvttph2uw */, X86::VCVTTPH2UWZrmb, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_VR512, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 10407 /* vcvttph2uw */, X86::VCVTTPH2UWZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 10407 /* vcvttph2uw */, X86::VCVTTPH2UWZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 10407 /* vcvttph2uw */, X86::VCVTTPH2UWZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 10407 /* vcvttph2uw */, X86::VCVTTPH2UWZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 10407 /* vcvttph2uw */, X86::VCVTTPH2UWZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 10407 /* vcvttph2uw */, X86::VCVTTPH2UWZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512 }, },
{ 10407 /* vcvttph2uw */, X86::VCVTTPH2UWZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 10407 /* vcvttph2uw */, X86::VCVTTPH2UWZ128rmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 10407 /* vcvttph2uw */, X86::VCVTTPH2UWZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem165_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 10407 /* vcvttph2uw */, X86::VCVTTPH2UWZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 10407 /* vcvttph2uw */, X86::VCVTTPH2UWZ256rmkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 10407 /* vcvttph2uw */, X86::VCVTTPH2UWZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem165_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 10407 /* vcvttph2uw */, X86::VCVTTPH2UWZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 10407 /* vcvttph2uw */, X86::VCVTTPH2UWZrmkz, Convert__Reg1_0__Reg1_2__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512 }, },
{ 10407 /* vcvttph2uw */, X86::VCVTTPH2UWZrrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK__123_sae_125_ }, },
{ 10407 /* vcvttph2uw */, X86::VCVTTPH2UWZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem165_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 10407 /* vcvttph2uw */, X86::VCVTTPH2UWZ128rmbkz, Convert__Reg1_0__Reg1_2__Mem165_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 10407 /* vcvttph2uw */, X86::VCVTTPH2UWZ256rmbkz, Convert__Reg1_0__Reg1_2__Mem165_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 10407 /* vcvttph2uw */, X86::VCVTTPH2UWZrrbkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK__123_sae_125_ }, },
{ 10407 /* vcvttph2uw */, X86::VCVTTPH2UWZrmbkz, Convert__Reg1_0__Reg1_2__Mem165_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 10418 /* vcvttph2w */, X86::VCVTTPH2WZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 10418 /* vcvttph2w */, X86::VCVTTPH2WZ128rm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16X, MCK_Mem128 }, },
{ 10418 /* vcvttph2w */, X86::VCVTTPH2WZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 10418 /* vcvttph2w */, X86::VCVTTPH2WZ256rm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR256X, MCK_Mem256 }, },
{ 10418 /* vcvttph2w */, X86::VCVTTPH2WZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 10418 /* vcvttph2w */, X86::VCVTTPH2WZrm, Convert__Reg1_0__Mem5125_1, AMFBS_None, { MCK_VR512, MCK_Mem512 }, },
{ 10418 /* vcvttph2w */, X86::VCVTTPH2WZ128rmb, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_FR16X, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 10418 /* vcvttph2w */, X86::VCVTTPH2WZ256rmb, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_VR256X, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 10418 /* vcvttph2w */, X86::VCVTTPH2WZrrb, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_sae_125_ }, },
{ 10418 /* vcvttph2w */, X86::VCVTTPH2WZrmb, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_VR512, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 10418 /* vcvttph2w */, X86::VCVTTPH2WZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 10418 /* vcvttph2w */, X86::VCVTTPH2WZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 10418 /* vcvttph2w */, X86::VCVTTPH2WZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 10418 /* vcvttph2w */, X86::VCVTTPH2WZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 10418 /* vcvttph2w */, X86::VCVTTPH2WZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 10418 /* vcvttph2w */, X86::VCVTTPH2WZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512 }, },
{ 10418 /* vcvttph2w */, X86::VCVTTPH2WZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 10418 /* vcvttph2w */, X86::VCVTTPH2WZ128rmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 10418 /* vcvttph2w */, X86::VCVTTPH2WZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem165_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 10418 /* vcvttph2w */, X86::VCVTTPH2WZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 10418 /* vcvttph2w */, X86::VCVTTPH2WZ256rmkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 10418 /* vcvttph2w */, X86::VCVTTPH2WZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem165_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 10418 /* vcvttph2w */, X86::VCVTTPH2WZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 10418 /* vcvttph2w */, X86::VCVTTPH2WZrmkz, Convert__Reg1_0__Reg1_2__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512 }, },
{ 10418 /* vcvttph2w */, X86::VCVTTPH2WZrrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK__123_sae_125_ }, },
{ 10418 /* vcvttph2w */, X86::VCVTTPH2WZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem165_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 10418 /* vcvttph2w */, X86::VCVTTPH2WZ128rmbkz, Convert__Reg1_0__Reg1_2__Mem165_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 10418 /* vcvttph2w */, X86::VCVTTPH2WZ256rmbkz, Convert__Reg1_0__Reg1_2__Mem165_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 10418 /* vcvttph2w */, X86::VCVTTPH2WZrrbkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK__123_sae_125_ }, },
{ 10418 /* vcvttph2w */, X86::VCVTTPH2WZrmbkz, Convert__Reg1_0__Reg1_2__Mem165_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 10428 /* vcvttps2dq */, X86::VCVTTPS2DQrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 10428 /* vcvttps2dq */, X86::VCVTTPS2DQrm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 10428 /* vcvttps2dq */, X86::VCVTTPS2DQYrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256, MCK_VR256 }, },
{ 10428 /* vcvttps2dq */, X86::VCVTTPS2DQYrm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR256, MCK_Mem256 }, },
{ 10428 /* vcvttps2dq */, X86::VCVTTPS2DQZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 10428 /* vcvttps2dq */, X86::VCVTTPS2DQZ128rm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16X, MCK_Mem128 }, },
{ 10428 /* vcvttps2dq */, X86::VCVTTPS2DQZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 10428 /* vcvttps2dq */, X86::VCVTTPS2DQZ256rm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR256X, MCK_Mem256 }, },
{ 10428 /* vcvttps2dq */, X86::VCVTTPS2DQZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 10428 /* vcvttps2dq */, X86::VCVTTPS2DQZrm, Convert__Reg1_0__Mem5125_1, AMFBS_None, { MCK_VR512, MCK_Mem512 }, },
{ 10428 /* vcvttps2dq */, X86::VCVTTPS2DQZ128rmb, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 10428 /* vcvttps2dq */, X86::VCVTTPS2DQZ256rmb, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 10428 /* vcvttps2dq */, X86::VCVTTPS2DQZrrb, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_sae_125_ }, },
{ 10428 /* vcvttps2dq */, X86::VCVTTPS2DQZrmb, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 10428 /* vcvttps2dq */, X86::VCVTTPS2DQZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 10428 /* vcvttps2dq */, X86::VCVTTPS2DQZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 10428 /* vcvttps2dq */, X86::VCVTTPS2DQZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 10428 /* vcvttps2dq */, X86::VCVTTPS2DQZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 10428 /* vcvttps2dq */, X86::VCVTTPS2DQZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 10428 /* vcvttps2dq */, X86::VCVTTPS2DQZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512 }, },
{ 10428 /* vcvttps2dq */, X86::VCVTTPS2DQZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 10428 /* vcvttps2dq */, X86::VCVTTPS2DQZ128rmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 10428 /* vcvttps2dq */, X86::VCVTTPS2DQZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 10428 /* vcvttps2dq */, X86::VCVTTPS2DQZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 10428 /* vcvttps2dq */, X86::VCVTTPS2DQZ256rmkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 10428 /* vcvttps2dq */, X86::VCVTTPS2DQZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 10428 /* vcvttps2dq */, X86::VCVTTPS2DQZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 10428 /* vcvttps2dq */, X86::VCVTTPS2DQZrmkz, Convert__Reg1_0__Reg1_2__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512 }, },
{ 10428 /* vcvttps2dq */, X86::VCVTTPS2DQZrrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK__123_sae_125_ }, },
{ 10428 /* vcvttps2dq */, X86::VCVTTPS2DQZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 10428 /* vcvttps2dq */, X86::VCVTTPS2DQZ128rmbkz, Convert__Reg1_0__Reg1_2__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 10428 /* vcvttps2dq */, X86::VCVTTPS2DQZ256rmbkz, Convert__Reg1_0__Reg1_2__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 10428 /* vcvttps2dq */, X86::VCVTTPS2DQZrrbkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK__123_sae_125_ }, },
{ 10428 /* vcvttps2dq */, X86::VCVTTPS2DQZrmbkz, Convert__Reg1_0__Reg1_2__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 10439 /* vcvttps2qq */, X86::VCVTTPS2QQZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 10439 /* vcvttps2qq */, X86::VCVTTPS2QQZ128rm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_FR16X, MCK_Mem64 }, },
{ 10439 /* vcvttps2qq */, X86::VCVTTPS2QQZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_FR16X }, },
{ 10439 /* vcvttps2qq */, X86::VCVTTPS2QQZ256rm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_VR256X, MCK_Mem128 }, },
{ 10439 /* vcvttps2qq */, X86::VCVTTPS2QQZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR256X }, },
{ 10439 /* vcvttps2qq */, X86::VCVTTPS2QQZrm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR512, MCK_Mem256 }, },
{ 10439 /* vcvttps2qq */, X86::VCVTTPS2QQZ128rmb, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_FR16X, MCK_Mem32, MCK__123_1to2_125_ }, },
{ 10439 /* vcvttps2qq */, X86::VCVTTPS2QQZ256rmb, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_VR256X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 10439 /* vcvttps2qq */, X86::VCVTTPS2QQZrrb, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR256X, MCK__123_sae_125_ }, },
{ 10439 /* vcvttps2qq */, X86::VCVTTPS2QQZrmb, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_VR512, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 10439 /* vcvttps2qq */, X86::VCVTTPS2QQZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 10439 /* vcvttps2qq */, X86::VCVTTPS2QQZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64 }, },
{ 10439 /* vcvttps2qq */, X86::VCVTTPS2QQZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 10439 /* vcvttps2qq */, X86::VCVTTPS2QQZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 10439 /* vcvttps2qq */, X86::VCVTTPS2QQZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 10439 /* vcvttps2qq */, X86::VCVTTPS2QQZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 10439 /* vcvttps2qq */, X86::VCVTTPS2QQZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 10439 /* vcvttps2qq */, X86::VCVTTPS2QQZ128rmkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64 }, },
{ 10439 /* vcvttps2qq */, X86::VCVTTPS2QQZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to2_125_ }, },
{ 10439 /* vcvttps2qq */, X86::VCVTTPS2QQZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 10439 /* vcvttps2qq */, X86::VCVTTPS2QQZ256rmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 10439 /* vcvttps2qq */, X86::VCVTTPS2QQZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 10439 /* vcvttps2qq */, X86::VCVTTPS2QQZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 10439 /* vcvttps2qq */, X86::VCVTTPS2QQZrmkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 10439 /* vcvttps2qq */, X86::VCVTTPS2QQZrrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK__123_sae_125_ }, },
{ 10439 /* vcvttps2qq */, X86::VCVTTPS2QQZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 10439 /* vcvttps2qq */, X86::VCVTTPS2QQZ128rmbkz, Convert__Reg1_0__Reg1_2__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to2_125_ }, },
{ 10439 /* vcvttps2qq */, X86::VCVTTPS2QQZ256rmbkz, Convert__Reg1_0__Reg1_2__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 10439 /* vcvttps2qq */, X86::VCVTTPS2QQZrrbkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK__123_sae_125_ }, },
{ 10439 /* vcvttps2qq */, X86::VCVTTPS2QQZrmbkz, Convert__Reg1_0__Reg1_2__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 10450 /* vcvttps2udq */, X86::VCVTTPS2UDQZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 10450 /* vcvttps2udq */, X86::VCVTTPS2UDQZ128rm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16X, MCK_Mem128 }, },
{ 10450 /* vcvttps2udq */, X86::VCVTTPS2UDQZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 10450 /* vcvttps2udq */, X86::VCVTTPS2UDQZ256rm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR256X, MCK_Mem256 }, },
{ 10450 /* vcvttps2udq */, X86::VCVTTPS2UDQZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 10450 /* vcvttps2udq */, X86::VCVTTPS2UDQZrm, Convert__Reg1_0__Mem5125_1, AMFBS_None, { MCK_VR512, MCK_Mem512 }, },
{ 10450 /* vcvttps2udq */, X86::VCVTTPS2UDQZ128rmb, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 10450 /* vcvttps2udq */, X86::VCVTTPS2UDQZ256rmb, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 10450 /* vcvttps2udq */, X86::VCVTTPS2UDQZrrb, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_sae_125_ }, },
{ 10450 /* vcvttps2udq */, X86::VCVTTPS2UDQZrmb, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 10450 /* vcvttps2udq */, X86::VCVTTPS2UDQZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 10450 /* vcvttps2udq */, X86::VCVTTPS2UDQZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 10450 /* vcvttps2udq */, X86::VCVTTPS2UDQZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 10450 /* vcvttps2udq */, X86::VCVTTPS2UDQZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 10450 /* vcvttps2udq */, X86::VCVTTPS2UDQZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 10450 /* vcvttps2udq */, X86::VCVTTPS2UDQZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512 }, },
{ 10450 /* vcvttps2udq */, X86::VCVTTPS2UDQZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 10450 /* vcvttps2udq */, X86::VCVTTPS2UDQZ128rmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 10450 /* vcvttps2udq */, X86::VCVTTPS2UDQZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 10450 /* vcvttps2udq */, X86::VCVTTPS2UDQZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 10450 /* vcvttps2udq */, X86::VCVTTPS2UDQZ256rmkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 10450 /* vcvttps2udq */, X86::VCVTTPS2UDQZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 10450 /* vcvttps2udq */, X86::VCVTTPS2UDQZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 10450 /* vcvttps2udq */, X86::VCVTTPS2UDQZrmkz, Convert__Reg1_0__Reg1_2__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512 }, },
{ 10450 /* vcvttps2udq */, X86::VCVTTPS2UDQZrrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK__123_sae_125_ }, },
{ 10450 /* vcvttps2udq */, X86::VCVTTPS2UDQZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 10450 /* vcvttps2udq */, X86::VCVTTPS2UDQZ128rmbkz, Convert__Reg1_0__Reg1_2__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 10450 /* vcvttps2udq */, X86::VCVTTPS2UDQZ256rmbkz, Convert__Reg1_0__Reg1_2__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 10450 /* vcvttps2udq */, X86::VCVTTPS2UDQZrrbkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK__123_sae_125_ }, },
{ 10450 /* vcvttps2udq */, X86::VCVTTPS2UDQZrmbkz, Convert__Reg1_0__Reg1_2__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 10462 /* vcvttps2uqq */, X86::VCVTTPS2UQQZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 10462 /* vcvttps2uqq */, X86::VCVTTPS2UQQZ128rm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_FR16X, MCK_Mem64 }, },
{ 10462 /* vcvttps2uqq */, X86::VCVTTPS2UQQZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_FR16X }, },
{ 10462 /* vcvttps2uqq */, X86::VCVTTPS2UQQZ256rm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_VR256X, MCK_Mem128 }, },
{ 10462 /* vcvttps2uqq */, X86::VCVTTPS2UQQZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR256X }, },
{ 10462 /* vcvttps2uqq */, X86::VCVTTPS2UQQZrm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR512, MCK_Mem256 }, },
{ 10462 /* vcvttps2uqq */, X86::VCVTTPS2UQQZ128rmb, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_FR16X, MCK_Mem32, MCK__123_1to2_125_ }, },
{ 10462 /* vcvttps2uqq */, X86::VCVTTPS2UQQZ256rmb, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_VR256X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 10462 /* vcvttps2uqq */, X86::VCVTTPS2UQQZrrb, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR256X, MCK__123_sae_125_ }, },
{ 10462 /* vcvttps2uqq */, X86::VCVTTPS2UQQZrmb, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_VR512, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 10462 /* vcvttps2uqq */, X86::VCVTTPS2UQQZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 10462 /* vcvttps2uqq */, X86::VCVTTPS2UQQZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64 }, },
{ 10462 /* vcvttps2uqq */, X86::VCVTTPS2UQQZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 10462 /* vcvttps2uqq */, X86::VCVTTPS2UQQZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 10462 /* vcvttps2uqq */, X86::VCVTTPS2UQQZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 10462 /* vcvttps2uqq */, X86::VCVTTPS2UQQZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 10462 /* vcvttps2uqq */, X86::VCVTTPS2UQQZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 10462 /* vcvttps2uqq */, X86::VCVTTPS2UQQZ128rmkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64 }, },
{ 10462 /* vcvttps2uqq */, X86::VCVTTPS2UQQZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to2_125_ }, },
{ 10462 /* vcvttps2uqq */, X86::VCVTTPS2UQQZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 10462 /* vcvttps2uqq */, X86::VCVTTPS2UQQZ256rmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 10462 /* vcvttps2uqq */, X86::VCVTTPS2UQQZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 10462 /* vcvttps2uqq */, X86::VCVTTPS2UQQZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 10462 /* vcvttps2uqq */, X86::VCVTTPS2UQQZrmkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 10462 /* vcvttps2uqq */, X86::VCVTTPS2UQQZrrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK__123_sae_125_ }, },
{ 10462 /* vcvttps2uqq */, X86::VCVTTPS2UQQZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 10462 /* vcvttps2uqq */, X86::VCVTTPS2UQQZ128rmbkz, Convert__Reg1_0__Reg1_2__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to2_125_ }, },
{ 10462 /* vcvttps2uqq */, X86::VCVTTPS2UQQZ256rmbkz, Convert__Reg1_0__Reg1_2__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 10462 /* vcvttps2uqq */, X86::VCVTTPS2UQQZrrbkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK__123_sae_125_ }, },
{ 10462 /* vcvttps2uqq */, X86::VCVTTPS2UQQZrmbkz, Convert__Reg1_0__Reg1_2__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 10474 /* vcvttsd2si */, X86::VCVTTSD2SIrr_Int, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR32, MCK_FR16 }, },
{ 10474 /* vcvttsd2si */, X86::VCVTTSD2SIZrr_Int, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR32, MCK_FR16X }, },
{ 10474 /* vcvttsd2si */, X86::VCVTTSD2SIrm_Int, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_GR32, MCK_Mem64 }, },
{ 10474 /* vcvttsd2si */, X86::VCVTTSD2SIZrm_Int, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_GR32, MCK_Mem64 }, },
{ 10474 /* vcvttsd2si */, X86::VCVTTSD2SI64rr_Int, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR64, MCK_FR16 }, },
{ 10474 /* vcvttsd2si */, X86::VCVTTSD2SI64Zrr_Int, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR64, MCK_FR16X }, },
{ 10474 /* vcvttsd2si */, X86::VCVTTSD2SI64rm_Int, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_GR64, MCK_Mem64 }, },
{ 10474 /* vcvttsd2si */, X86::VCVTTSD2SI64Zrm_Int, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_GR64, MCK_Mem64 }, },
{ 10474 /* vcvttsd2si */, X86::VCVTTSD2SIZrrb_Int, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR32, MCK_FR16X, MCK__123_sae_125_ }, },
{ 10474 /* vcvttsd2si */, X86::VCVTTSD2SI64Zrrb_Int, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR64, MCK_FR16X, MCK__123_sae_125_ }, },
{ 10509 /* vcvttsd2usi */, X86::VCVTTSD2USIZrr_Int, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR32, MCK_FR16X }, },
{ 10509 /* vcvttsd2usi */, X86::VCVTTSD2USIZrm_Int, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_GR32, MCK_Mem64 }, },
{ 10509 /* vcvttsd2usi */, X86::VCVTTSD2USI64Zrr_Int, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR64, MCK_FR16X }, },
{ 10509 /* vcvttsd2usi */, X86::VCVTTSD2USI64Zrm_Int, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_GR64, MCK_Mem64 }, },
{ 10509 /* vcvttsd2usi */, X86::VCVTTSD2USIZrrb_Int, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR32, MCK_FR16X, MCK__123_sae_125_ }, },
{ 10509 /* vcvttsd2usi */, X86::VCVTTSD2USI64Zrrb_Int, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR64, MCK_FR16X, MCK__123_sae_125_ }, },
{ 10547 /* vcvttsh2si */, X86::VCVTTSH2SIZrr_Int, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR32, MCK_FR16X }, },
{ 10547 /* vcvttsh2si */, X86::VCVTTSH2SIZrm_Int, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_GR32, MCK_Mem16 }, },
{ 10547 /* vcvttsh2si */, X86::VCVTTSH2SI64Zrr_Int, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR64, MCK_FR16X }, },
{ 10547 /* vcvttsh2si */, X86::VCVTTSH2SI64Zrm_Int, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_GR64, MCK_Mem16 }, },
{ 10547 /* vcvttsh2si */, X86::VCVTTSH2SIZrrb_Int, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR32, MCK_FR16X, MCK__123_sae_125_ }, },
{ 10547 /* vcvttsh2si */, X86::VCVTTSH2SI64Zrrb_Int, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR64, MCK_FR16X, MCK__123_sae_125_ }, },
{ 10582 /* vcvttsh2usi */, X86::VCVTTSH2USIZrr_Int, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR32, MCK_FR16X }, },
{ 10582 /* vcvttsh2usi */, X86::VCVTTSH2USIZrm_Int, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_GR32, MCK_Mem16 }, },
{ 10582 /* vcvttsh2usi */, X86::VCVTTSH2USI64Zrr_Int, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR64, MCK_FR16X }, },
{ 10582 /* vcvttsh2usi */, X86::VCVTTSH2USI64Zrm_Int, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_GR64, MCK_Mem16 }, },
{ 10582 /* vcvttsh2usi */, X86::VCVTTSH2USIZrrb_Int, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR32, MCK_FR16X, MCK__123_sae_125_ }, },
{ 10582 /* vcvttsh2usi */, X86::VCVTTSH2USI64Zrrb_Int, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR64, MCK_FR16X, MCK__123_sae_125_ }, },
{ 10620 /* vcvttss2si */, X86::VCVTTSS2SIrr_Int, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR32, MCK_FR16 }, },
{ 10620 /* vcvttss2si */, X86::VCVTTSS2SIZrr_Int, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR32, MCK_FR16X }, },
{ 10620 /* vcvttss2si */, X86::VCVTTSS2SIrm_Int, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_GR32, MCK_Mem32 }, },
{ 10620 /* vcvttss2si */, X86::VCVTTSS2SIZrm_Int, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_GR32, MCK_Mem32 }, },
{ 10620 /* vcvttss2si */, X86::VCVTTSS2SI64rr_Int, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR64, MCK_FR16 }, },
{ 10620 /* vcvttss2si */, X86::VCVTTSS2SI64Zrr_Int, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR64, MCK_FR16X }, },
{ 10620 /* vcvttss2si */, X86::VCVTTSS2SI64rm_Int, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_GR64, MCK_Mem32 }, },
{ 10620 /* vcvttss2si */, X86::VCVTTSS2SI64Zrm_Int, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_GR64, MCK_Mem32 }, },
{ 10620 /* vcvttss2si */, X86::VCVTTSS2SIZrrb_Int, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR32, MCK_FR16X, MCK__123_sae_125_ }, },
{ 10620 /* vcvttss2si */, X86::VCVTTSS2SI64Zrrb_Int, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR64, MCK_FR16X, MCK__123_sae_125_ }, },
{ 10655 /* vcvttss2usi */, X86::VCVTTSS2USIZrr_Int, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR32, MCK_FR16X }, },
{ 10655 /* vcvttss2usi */, X86::VCVTTSS2USIZrm_Int, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_GR32, MCK_Mem32 }, },
{ 10655 /* vcvttss2usi */, X86::VCVTTSS2USI64Zrr_Int, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR64, MCK_FR16X }, },
{ 10655 /* vcvttss2usi */, X86::VCVTTSS2USI64Zrm_Int, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_GR64, MCK_Mem32 }, },
{ 10655 /* vcvttss2usi */, X86::VCVTTSS2USIZrrb_Int, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR32, MCK_FR16X, MCK__123_sae_125_ }, },
{ 10655 /* vcvttss2usi */, X86::VCVTTSS2USI64Zrrb_Int, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR64, MCK_FR16X, MCK__123_sae_125_ }, },
{ 10693 /* vcvtudq2pd */, X86::VCVTUDQ2PDZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 10693 /* vcvtudq2pd */, X86::VCVTUDQ2PDZ128rm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_FR16X, MCK_Mem64 }, },
{ 10693 /* vcvtudq2pd */, X86::VCVTUDQ2PDZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_FR16X }, },
{ 10693 /* vcvtudq2pd */, X86::VCVTUDQ2PDZ256rm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_VR256X, MCK_Mem128 }, },
{ 10693 /* vcvtudq2pd */, X86::VCVTUDQ2PDZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR256X }, },
{ 10693 /* vcvtudq2pd */, X86::VCVTUDQ2PDZrm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR512, MCK_Mem256 }, },
{ 10693 /* vcvtudq2pd */, X86::VCVTUDQ2PDZ128rmb, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_FR16X, MCK_Mem32, MCK__123_1to2_125_ }, },
{ 10693 /* vcvtudq2pd */, X86::VCVTUDQ2PDZ256rmb, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_VR256X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 10693 /* vcvtudq2pd */, X86::VCVTUDQ2PDZrmb, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_VR512, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 10693 /* vcvtudq2pd */, X86::VCVTUDQ2PDZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 10693 /* vcvtudq2pd */, X86::VCVTUDQ2PDZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64 }, },
{ 10693 /* vcvtudq2pd */, X86::VCVTUDQ2PDZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 10693 /* vcvtudq2pd */, X86::VCVTUDQ2PDZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 10693 /* vcvtudq2pd */, X86::VCVTUDQ2PDZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 10693 /* vcvtudq2pd */, X86::VCVTUDQ2PDZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 10693 /* vcvtudq2pd */, X86::VCVTUDQ2PDZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 10693 /* vcvtudq2pd */, X86::VCVTUDQ2PDZ128rmkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64 }, },
{ 10693 /* vcvtudq2pd */, X86::VCVTUDQ2PDZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to2_125_ }, },
{ 10693 /* vcvtudq2pd */, X86::VCVTUDQ2PDZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 10693 /* vcvtudq2pd */, X86::VCVTUDQ2PDZ256rmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 10693 /* vcvtudq2pd */, X86::VCVTUDQ2PDZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 10693 /* vcvtudq2pd */, X86::VCVTUDQ2PDZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 10693 /* vcvtudq2pd */, X86::VCVTUDQ2PDZrmkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 10693 /* vcvtudq2pd */, X86::VCVTUDQ2PDZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 10693 /* vcvtudq2pd */, X86::VCVTUDQ2PDZ128rmbkz, Convert__Reg1_0__Reg1_2__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to2_125_ }, },
{ 10693 /* vcvtudq2pd */, X86::VCVTUDQ2PDZ256rmbkz, Convert__Reg1_0__Reg1_2__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 10693 /* vcvtudq2pd */, X86::VCVTUDQ2PDZrmbkz, Convert__Reg1_0__Reg1_2__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 10704 /* vcvtudq2ph */, X86::VCVTUDQ2PHZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 10704 /* vcvtudq2ph */, X86::VCVTUDQ2PHZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_VR256X }, },
{ 10704 /* vcvtudq2ph */, X86::VCVTUDQ2PHZ128rm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16X, MCK_Mem128 }, },
{ 10704 /* vcvtudq2ph */, X86::VCVTUDQ2PHZ256rm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_FR16X, MCK_Mem256 }, },
{ 10704 /* vcvtudq2ph */, X86::VCVTUDQ2PHZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR512 }, },
{ 10704 /* vcvtudq2ph */, X86::VCVTUDQ2PHZrm, Convert__Reg1_0__Mem5125_1, AMFBS_None, { MCK_VR256X, MCK_Mem512 }, },
{ 10704 /* vcvtudq2ph */, X86::VCVTUDQ2PHZ128rmb, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 10704 /* vcvtudq2ph */, X86::VCVTUDQ2PHZ256rmb, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_FR16X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 10704 /* vcvtudq2ph */, X86::VCVTUDQ2PHZrrb, Convert__Reg1_0__Reg1_1__AVX512RC1_2, AMFBS_None, { MCK_VR256X, MCK_VR512, MCK_AVX512RC }, },
{ 10704 /* vcvtudq2ph */, X86::VCVTUDQ2PHZrmb, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_VR256X, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 10704 /* vcvtudq2ph */, X86::VCVTUDQ2PHZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 10704 /* vcvtudq2ph */, X86::VCVTUDQ2PHZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 10704 /* vcvtudq2ph */, X86::VCVTUDQ2PHZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 10704 /* vcvtudq2ph */, X86::VCVTUDQ2PHZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 10704 /* vcvtudq2ph */, X86::VCVTUDQ2PHZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 10704 /* vcvtudq2ph */, X86::VCVTUDQ2PHZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512 }, },
{ 10704 /* vcvtudq2ph */, X86::VCVTUDQ2PHZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 10704 /* vcvtudq2ph */, X86::VCVTUDQ2PHZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 10704 /* vcvtudq2ph */, X86::VCVTUDQ2PHZ128rmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 10704 /* vcvtudq2ph */, X86::VCVTUDQ2PHZ256rmkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 10704 /* vcvtudq2ph */, X86::VCVTUDQ2PHZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 10704 /* vcvtudq2ph */, X86::VCVTUDQ2PHZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 10704 /* vcvtudq2ph */, X86::VCVTUDQ2PHZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 10704 /* vcvtudq2ph */, X86::VCVTUDQ2PHZrmkz, Convert__Reg1_0__Reg1_2__Mem5125_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512 }, },
{ 10704 /* vcvtudq2ph */, X86::VCVTUDQ2PHZrrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__AVX512RC1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_AVX512RC }, },
{ 10704 /* vcvtudq2ph */, X86::VCVTUDQ2PHZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 10704 /* vcvtudq2ph */, X86::VCVTUDQ2PHZ128rmbkz, Convert__Reg1_0__Reg1_2__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 10704 /* vcvtudq2ph */, X86::VCVTUDQ2PHZ256rmbkz, Convert__Reg1_0__Reg1_2__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 10704 /* vcvtudq2ph */, X86::VCVTUDQ2PHZrrbkz, Convert__Reg1_0__Reg1_2__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_AVX512RC }, },
{ 10704 /* vcvtudq2ph */, X86::VCVTUDQ2PHZrmbkz, Convert__Reg1_0__Reg1_2__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 10739 /* vcvtudq2ps */, X86::VCVTUDQ2PSZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 10739 /* vcvtudq2ps */, X86::VCVTUDQ2PSZ128rm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16X, MCK_Mem128 }, },
{ 10739 /* vcvtudq2ps */, X86::VCVTUDQ2PSZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 10739 /* vcvtudq2ps */, X86::VCVTUDQ2PSZ256rm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR256X, MCK_Mem256 }, },
{ 10739 /* vcvtudq2ps */, X86::VCVTUDQ2PSZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 10739 /* vcvtudq2ps */, X86::VCVTUDQ2PSZrm, Convert__Reg1_0__Mem5125_1, AMFBS_None, { MCK_VR512, MCK_Mem512 }, },
{ 10739 /* vcvtudq2ps */, X86::VCVTUDQ2PSZ128rmb, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 10739 /* vcvtudq2ps */, X86::VCVTUDQ2PSZ256rmb, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 10739 /* vcvtudq2ps */, X86::VCVTUDQ2PSZrrb, Convert__Reg1_0__Reg1_1__AVX512RC1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 10739 /* vcvtudq2ps */, X86::VCVTUDQ2PSZrmb, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 10739 /* vcvtudq2ps */, X86::VCVTUDQ2PSZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 10739 /* vcvtudq2ps */, X86::VCVTUDQ2PSZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 10739 /* vcvtudq2ps */, X86::VCVTUDQ2PSZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 10739 /* vcvtudq2ps */, X86::VCVTUDQ2PSZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 10739 /* vcvtudq2ps */, X86::VCVTUDQ2PSZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 10739 /* vcvtudq2ps */, X86::VCVTUDQ2PSZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512 }, },
{ 10739 /* vcvtudq2ps */, X86::VCVTUDQ2PSZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 10739 /* vcvtudq2ps */, X86::VCVTUDQ2PSZ128rmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 10739 /* vcvtudq2ps */, X86::VCVTUDQ2PSZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 10739 /* vcvtudq2ps */, X86::VCVTUDQ2PSZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 10739 /* vcvtudq2ps */, X86::VCVTUDQ2PSZ256rmkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 10739 /* vcvtudq2ps */, X86::VCVTUDQ2PSZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 10739 /* vcvtudq2ps */, X86::VCVTUDQ2PSZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 10739 /* vcvtudq2ps */, X86::VCVTUDQ2PSZrmkz, Convert__Reg1_0__Reg1_2__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512 }, },
{ 10739 /* vcvtudq2ps */, X86::VCVTUDQ2PSZrrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__AVX512RC1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_AVX512RC }, },
{ 10739 /* vcvtudq2ps */, X86::VCVTUDQ2PSZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 10739 /* vcvtudq2ps */, X86::VCVTUDQ2PSZ128rmbkz, Convert__Reg1_0__Reg1_2__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 10739 /* vcvtudq2ps */, X86::VCVTUDQ2PSZ256rmbkz, Convert__Reg1_0__Reg1_2__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 10739 /* vcvtudq2ps */, X86::VCVTUDQ2PSZrrbkz, Convert__Reg1_0__Reg1_2__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_AVX512RC }, },
{ 10739 /* vcvtudq2ps */, X86::VCVTUDQ2PSZrmbkz, Convert__Reg1_0__Reg1_2__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 10750 /* vcvtuqq2pd */, X86::VCVTUQQ2PDZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 10750 /* vcvtuqq2pd */, X86::VCVTUQQ2PDZ128rm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16X, MCK_Mem128 }, },
{ 10750 /* vcvtuqq2pd */, X86::VCVTUQQ2PDZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 10750 /* vcvtuqq2pd */, X86::VCVTUQQ2PDZ256rm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR256X, MCK_Mem256 }, },
{ 10750 /* vcvtuqq2pd */, X86::VCVTUQQ2PDZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 10750 /* vcvtuqq2pd */, X86::VCVTUQQ2PDZrm, Convert__Reg1_0__Mem5125_1, AMFBS_None, { MCK_VR512, MCK_Mem512 }, },
{ 10750 /* vcvtuqq2pd */, X86::VCVTUQQ2PDZ128rmb, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 10750 /* vcvtuqq2pd */, X86::VCVTUQQ2PDZ256rmb, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 10750 /* vcvtuqq2pd */, X86::VCVTUQQ2PDZrrb, Convert__Reg1_0__Reg1_1__AVX512RC1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 10750 /* vcvtuqq2pd */, X86::VCVTUQQ2PDZrmb, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 10750 /* vcvtuqq2pd */, X86::VCVTUQQ2PDZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 10750 /* vcvtuqq2pd */, X86::VCVTUQQ2PDZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 10750 /* vcvtuqq2pd */, X86::VCVTUQQ2PDZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 10750 /* vcvtuqq2pd */, X86::VCVTUQQ2PDZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 10750 /* vcvtuqq2pd */, X86::VCVTUQQ2PDZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 10750 /* vcvtuqq2pd */, X86::VCVTUQQ2PDZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512 }, },
{ 10750 /* vcvtuqq2pd */, X86::VCVTUQQ2PDZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 10750 /* vcvtuqq2pd */, X86::VCVTUQQ2PDZ128rmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 10750 /* vcvtuqq2pd */, X86::VCVTUQQ2PDZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 10750 /* vcvtuqq2pd */, X86::VCVTUQQ2PDZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 10750 /* vcvtuqq2pd */, X86::VCVTUQQ2PDZ256rmkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 10750 /* vcvtuqq2pd */, X86::VCVTUQQ2PDZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 10750 /* vcvtuqq2pd */, X86::VCVTUQQ2PDZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 10750 /* vcvtuqq2pd */, X86::VCVTUQQ2PDZrmkz, Convert__Reg1_0__Reg1_2__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512 }, },
{ 10750 /* vcvtuqq2pd */, X86::VCVTUQQ2PDZrrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__AVX512RC1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_AVX512RC }, },
{ 10750 /* vcvtuqq2pd */, X86::VCVTUQQ2PDZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 10750 /* vcvtuqq2pd */, X86::VCVTUQQ2PDZ128rmbkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 10750 /* vcvtuqq2pd */, X86::VCVTUQQ2PDZ256rmbkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 10750 /* vcvtuqq2pd */, X86::VCVTUQQ2PDZrrbkz, Convert__Reg1_0__Reg1_2__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_AVX512RC }, },
{ 10750 /* vcvtuqq2pd */, X86::VCVTUQQ2PDZrmbkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 10761 /* vcvtuqq2ph */, X86::VCVTUQQ2PHZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 10761 /* vcvtuqq2ph */, X86::VCVTUQQ2PHZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_VR256X }, },
{ 10761 /* vcvtuqq2ph */, X86::VCVTUQQ2PHZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_VR512 }, },
{ 10761 /* vcvtuqq2ph */, X86::VCVTUQQ2PHZ128rm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16X, MCK_Mem128 }, },
{ 10761 /* vcvtuqq2ph */, X86::VCVTUQQ2PHZ256rm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_FR16X, MCK_Mem256 }, },
{ 10761 /* vcvtuqq2ph */, X86::VCVTUQQ2PHZrm, Convert__Reg1_0__Mem5125_1, AMFBS_None, { MCK_FR16X, MCK_Mem512 }, },
{ 10761 /* vcvtuqq2ph */, X86::VCVTUQQ2PHZrrb, Convert__Reg1_0__Reg1_1__AVX512RC1_2, AMFBS_None, { MCK_FR16X, MCK_VR512, MCK_AVX512RC }, },
{ 10761 /* vcvtuqq2ph */, X86::VCVTUQQ2PHZ128rmb, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 10761 /* vcvtuqq2ph */, X86::VCVTUQQ2PHZ256rmb, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_FR16X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 10761 /* vcvtuqq2ph */, X86::VCVTUQQ2PHZrmb, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_FR16X, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 10761 /* vcvtuqq2ph */, X86::VCVTUQQ2PHZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 10761 /* vcvtuqq2ph */, X86::VCVTUQQ2PHZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 10761 /* vcvtuqq2ph */, X86::VCVTUQQ2PHZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 10761 /* vcvtuqq2ph */, X86::VCVTUQQ2PHZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 10761 /* vcvtuqq2ph */, X86::VCVTUQQ2PHZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 10761 /* vcvtuqq2ph */, X86::VCVTUQQ2PHZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512 }, },
{ 10761 /* vcvtuqq2ph */, X86::VCVTUQQ2PHZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 10761 /* vcvtuqq2ph */, X86::VCVTUQQ2PHZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 10761 /* vcvtuqq2ph */, X86::VCVTUQQ2PHZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 10761 /* vcvtuqq2ph */, X86::VCVTUQQ2PHZ128rmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 10761 /* vcvtuqq2ph */, X86::VCVTUQQ2PHZ256rmkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 10761 /* vcvtuqq2ph */, X86::VCVTUQQ2PHZrmkz, Convert__Reg1_0__Reg1_2__Mem5125_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512 }, },
{ 10761 /* vcvtuqq2ph */, X86::VCVTUQQ2PHZrrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__AVX512RC1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_AVX512RC }, },
{ 10761 /* vcvtuqq2ph */, X86::VCVTUQQ2PHZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 10761 /* vcvtuqq2ph */, X86::VCVTUQQ2PHZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 10761 /* vcvtuqq2ph */, X86::VCVTUQQ2PHZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 10761 /* vcvtuqq2ph */, X86::VCVTUQQ2PHZrrbkz, Convert__Reg1_0__Reg1_2__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_AVX512RC }, },
{ 10761 /* vcvtuqq2ph */, X86::VCVTUQQ2PHZ128rmbkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 10761 /* vcvtuqq2ph */, X86::VCVTUQQ2PHZ256rmbkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 10761 /* vcvtuqq2ph */, X86::VCVTUQQ2PHZrmbkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 10808 /* vcvtuqq2ps */, X86::VCVTUQQ2PSZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 10808 /* vcvtuqq2ps */, X86::VCVTUQQ2PSZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_VR256X }, },
{ 10808 /* vcvtuqq2ps */, X86::VCVTUQQ2PSZ128rm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16X, MCK_Mem128 }, },
{ 10808 /* vcvtuqq2ps */, X86::VCVTUQQ2PSZ256rm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_FR16X, MCK_Mem256 }, },
{ 10808 /* vcvtuqq2ps */, X86::VCVTUQQ2PSZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR512 }, },
{ 10808 /* vcvtuqq2ps */, X86::VCVTUQQ2PSZrm, Convert__Reg1_0__Mem5125_1, AMFBS_None, { MCK_VR256X, MCK_Mem512 }, },
{ 10808 /* vcvtuqq2ps */, X86::VCVTUQQ2PSZ128rmb, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 10808 /* vcvtuqq2ps */, X86::VCVTUQQ2PSZ256rmb, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_FR16X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 10808 /* vcvtuqq2ps */, X86::VCVTUQQ2PSZrrb, Convert__Reg1_0__Reg1_1__AVX512RC1_2, AMFBS_None, { MCK_VR256X, MCK_VR512, MCK_AVX512RC }, },
{ 10808 /* vcvtuqq2ps */, X86::VCVTUQQ2PSZrmb, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_VR256X, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 10808 /* vcvtuqq2ps */, X86::VCVTUQQ2PSZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 10808 /* vcvtuqq2ps */, X86::VCVTUQQ2PSZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 10808 /* vcvtuqq2ps */, X86::VCVTUQQ2PSZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 10808 /* vcvtuqq2ps */, X86::VCVTUQQ2PSZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 10808 /* vcvtuqq2ps */, X86::VCVTUQQ2PSZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 10808 /* vcvtuqq2ps */, X86::VCVTUQQ2PSZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512 }, },
{ 10808 /* vcvtuqq2ps */, X86::VCVTUQQ2PSZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 10808 /* vcvtuqq2ps */, X86::VCVTUQQ2PSZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 10808 /* vcvtuqq2ps */, X86::VCVTUQQ2PSZ128rmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 10808 /* vcvtuqq2ps */, X86::VCVTUQQ2PSZ256rmkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 10808 /* vcvtuqq2ps */, X86::VCVTUQQ2PSZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 10808 /* vcvtuqq2ps */, X86::VCVTUQQ2PSZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 10808 /* vcvtuqq2ps */, X86::VCVTUQQ2PSZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 10808 /* vcvtuqq2ps */, X86::VCVTUQQ2PSZrmkz, Convert__Reg1_0__Reg1_2__Mem5125_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512 }, },
{ 10808 /* vcvtuqq2ps */, X86::VCVTUQQ2PSZrrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__AVX512RC1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_AVX512RC }, },
{ 10808 /* vcvtuqq2ps */, X86::VCVTUQQ2PSZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 10808 /* vcvtuqq2ps */, X86::VCVTUQQ2PSZ128rmbkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 10808 /* vcvtuqq2ps */, X86::VCVTUQQ2PSZ256rmbkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 10808 /* vcvtuqq2ps */, X86::VCVTUQQ2PSZrrbkz, Convert__Reg1_0__Reg1_2__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_AVX512RC }, },
{ 10808 /* vcvtuqq2ps */, X86::VCVTUQQ2PSZrmbkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 10843 /* vcvtusi2sd */, X86::VCVTUSI2SDZrr_Int, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_GR32 }, },
{ 10843 /* vcvtusi2sd */, X86::VCVTUSI642SDZrr_Int, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_GR64 }, },
{ 10843 /* vcvtusi2sd */, X86::VCVTUSI2SDZrm_Int, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32 }, },
{ 10843 /* vcvtusi2sd */, X86::VCVTUSI642SDZrm_Int, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64 }, },
{ 10843 /* vcvtusi2sd */, X86::VCVTUSI642SDZrrb_Int, Convert__Reg1_0__Reg1_1__Reg1_3__AVX512RC1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_AVX512RC, MCK_GR64 }, },
{ 10878 /* vcvtusi2sh */, X86::VCVTUSI2SHZrr_Int, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_GR32 }, },
{ 10878 /* vcvtusi2sh */, X86::VCVTUSI642SHZrr_Int, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_GR64 }, },
{ 10878 /* vcvtusi2sh */, X86::VCVTUSI2SHZrm_Int, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32 }, },
{ 10878 /* vcvtusi2sh */, X86::VCVTUSI642SHZrm_Int, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64 }, },
{ 10878 /* vcvtusi2sh */, X86::VCVTUSI2SHZrrb_Int, Convert__Reg1_0__Reg1_1__Reg1_3__AVX512RC1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_AVX512RC, MCK_GR32 }, },
{ 10878 /* vcvtusi2sh */, X86::VCVTUSI642SHZrrb_Int, Convert__Reg1_0__Reg1_1__Reg1_3__AVX512RC1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_AVX512RC, MCK_GR64 }, },
{ 10913 /* vcvtusi2ss */, X86::VCVTUSI2SSZrr_Int, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_GR32 }, },
{ 10913 /* vcvtusi2ss */, X86::VCVTUSI642SSZrr_Int, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_GR64 }, },
{ 10913 /* vcvtusi2ss */, X86::VCVTUSI2SSZrm_Int, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32 }, },
{ 10913 /* vcvtusi2ss */, X86::VCVTUSI642SSZrm_Int, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64 }, },
{ 10913 /* vcvtusi2ss */, X86::VCVTUSI2SSZrrb_Int, Convert__Reg1_0__Reg1_1__Reg1_3__AVX512RC1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_AVX512RC, MCK_GR32 }, },
{ 10913 /* vcvtusi2ss */, X86::VCVTUSI642SSZrrb_Int, Convert__Reg1_0__Reg1_1__Reg1_3__AVX512RC1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_AVX512RC, MCK_GR64 }, },
{ 10948 /* vcvtuw2ph */, X86::VCVTUW2PHZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 10948 /* vcvtuw2ph */, X86::VCVTUW2PHZ128rm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16X, MCK_Mem128 }, },
{ 10948 /* vcvtuw2ph */, X86::VCVTUW2PHZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 10948 /* vcvtuw2ph */, X86::VCVTUW2PHZ256rm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR256X, MCK_Mem256 }, },
{ 10948 /* vcvtuw2ph */, X86::VCVTUW2PHZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 10948 /* vcvtuw2ph */, X86::VCVTUW2PHZrm, Convert__Reg1_0__Mem5125_1, AMFBS_None, { MCK_VR512, MCK_Mem512 }, },
{ 10948 /* vcvtuw2ph */, X86::VCVTUW2PHZ128rmb, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_FR16X, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 10948 /* vcvtuw2ph */, X86::VCVTUW2PHZ256rmb, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_VR256X, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 10948 /* vcvtuw2ph */, X86::VCVTUW2PHZrrb, Convert__Reg1_0__Reg1_1__AVX512RC1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 10948 /* vcvtuw2ph */, X86::VCVTUW2PHZrmb, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_VR512, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 10948 /* vcvtuw2ph */, X86::VCVTUW2PHZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 10948 /* vcvtuw2ph */, X86::VCVTUW2PHZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 10948 /* vcvtuw2ph */, X86::VCVTUW2PHZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 10948 /* vcvtuw2ph */, X86::VCVTUW2PHZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 10948 /* vcvtuw2ph */, X86::VCVTUW2PHZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 10948 /* vcvtuw2ph */, X86::VCVTUW2PHZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512 }, },
{ 10948 /* vcvtuw2ph */, X86::VCVTUW2PHZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 10948 /* vcvtuw2ph */, X86::VCVTUW2PHZ128rmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 10948 /* vcvtuw2ph */, X86::VCVTUW2PHZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem165_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 10948 /* vcvtuw2ph */, X86::VCVTUW2PHZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 10948 /* vcvtuw2ph */, X86::VCVTUW2PHZ256rmkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 10948 /* vcvtuw2ph */, X86::VCVTUW2PHZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem165_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 10948 /* vcvtuw2ph */, X86::VCVTUW2PHZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 10948 /* vcvtuw2ph */, X86::VCVTUW2PHZrmkz, Convert__Reg1_0__Reg1_2__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512 }, },
{ 10948 /* vcvtuw2ph */, X86::VCVTUW2PHZrrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__AVX512RC1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_AVX512RC }, },
{ 10948 /* vcvtuw2ph */, X86::VCVTUW2PHZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem165_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 10948 /* vcvtuw2ph */, X86::VCVTUW2PHZ128rmbkz, Convert__Reg1_0__Reg1_2__Mem165_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 10948 /* vcvtuw2ph */, X86::VCVTUW2PHZ256rmbkz, Convert__Reg1_0__Reg1_2__Mem165_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 10948 /* vcvtuw2ph */, X86::VCVTUW2PHZrrbkz, Convert__Reg1_0__Reg1_2__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_AVX512RC }, },
{ 10948 /* vcvtuw2ph */, X86::VCVTUW2PHZrmbkz, Convert__Reg1_0__Reg1_2__Mem165_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 10958 /* vcvtw2ph */, X86::VCVTW2PHZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 10958 /* vcvtw2ph */, X86::VCVTW2PHZ128rm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16X, MCK_Mem128 }, },
{ 10958 /* vcvtw2ph */, X86::VCVTW2PHZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 10958 /* vcvtw2ph */, X86::VCVTW2PHZ256rm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR256X, MCK_Mem256 }, },
{ 10958 /* vcvtw2ph */, X86::VCVTW2PHZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 10958 /* vcvtw2ph */, X86::VCVTW2PHZrm, Convert__Reg1_0__Mem5125_1, AMFBS_None, { MCK_VR512, MCK_Mem512 }, },
{ 10958 /* vcvtw2ph */, X86::VCVTW2PHZ128rmb, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_FR16X, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 10958 /* vcvtw2ph */, X86::VCVTW2PHZ256rmb, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_VR256X, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 10958 /* vcvtw2ph */, X86::VCVTW2PHZrrb, Convert__Reg1_0__Reg1_1__AVX512RC1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 10958 /* vcvtw2ph */, X86::VCVTW2PHZrmb, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_VR512, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 10958 /* vcvtw2ph */, X86::VCVTW2PHZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 10958 /* vcvtw2ph */, X86::VCVTW2PHZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 10958 /* vcvtw2ph */, X86::VCVTW2PHZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 10958 /* vcvtw2ph */, X86::VCVTW2PHZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 10958 /* vcvtw2ph */, X86::VCVTW2PHZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 10958 /* vcvtw2ph */, X86::VCVTW2PHZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512 }, },
{ 10958 /* vcvtw2ph */, X86::VCVTW2PHZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 10958 /* vcvtw2ph */, X86::VCVTW2PHZ128rmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 10958 /* vcvtw2ph */, X86::VCVTW2PHZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem165_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 10958 /* vcvtw2ph */, X86::VCVTW2PHZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 10958 /* vcvtw2ph */, X86::VCVTW2PHZ256rmkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 10958 /* vcvtw2ph */, X86::VCVTW2PHZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem165_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 10958 /* vcvtw2ph */, X86::VCVTW2PHZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 10958 /* vcvtw2ph */, X86::VCVTW2PHZrmkz, Convert__Reg1_0__Reg1_2__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512 }, },
{ 10958 /* vcvtw2ph */, X86::VCVTW2PHZrrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__AVX512RC1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_AVX512RC }, },
{ 10958 /* vcvtw2ph */, X86::VCVTW2PHZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem165_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 10958 /* vcvtw2ph */, X86::VCVTW2PHZ128rmbkz, Convert__Reg1_0__Reg1_2__Mem165_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 10958 /* vcvtw2ph */, X86::VCVTW2PHZ256rmbkz, Convert__Reg1_0__Reg1_2__Mem165_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 10958 /* vcvtw2ph */, X86::VCVTW2PHZrrbkz, Convert__Reg1_0__Reg1_2__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_AVX512RC }, },
{ 10958 /* vcvtw2ph */, X86::VCVTW2PHZrmbkz, Convert__Reg1_0__Reg1_2__Mem165_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 10967 /* vdbpsadbw */, X86::VDBPSADBWZ128rri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 10967 /* vdbpsadbw */, X86::VDBPSADBWZ128rmi, Convert__Reg1_0__Reg1_1__Mem1285_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 10967 /* vdbpsadbw */, X86::VDBPSADBWZ256rri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 10967 /* vdbpsadbw */, X86::VDBPSADBWZ256rmi, Convert__Reg1_0__Reg1_1__Mem2565_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 10967 /* vdbpsadbw */, X86::VDBPSADBWZrri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 10967 /* vdbpsadbw */, X86::VDBPSADBWZrmi, Convert__Reg1_0__Reg1_1__Mem5125_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 10967 /* vdbpsadbw */, X86::VDBPSADBWZ128rrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 10967 /* vdbpsadbw */, X86::VDBPSADBWZ128rmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 10967 /* vdbpsadbw */, X86::VDBPSADBWZ256rrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 10967 /* vdbpsadbw */, X86::VDBPSADBWZ256rmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 10967 /* vdbpsadbw */, X86::VDBPSADBWZrrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 10967 /* vdbpsadbw */, X86::VDBPSADBWZrmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 10967 /* vdbpsadbw */, X86::VDBPSADBWZ128rrikz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 10967 /* vdbpsadbw */, X86::VDBPSADBWZ128rmikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 10967 /* vdbpsadbw */, X86::VDBPSADBWZ256rrikz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 10967 /* vdbpsadbw */, X86::VDBPSADBWZ256rmikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 10967 /* vdbpsadbw */, X86::VDBPSADBWZrrikz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 10967 /* vdbpsadbw */, X86::VDBPSADBWZrmikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 10977 /* vdivpd */, X86::VDIVPDrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 10977 /* vdivpd */, X86::VDIVPDrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 10977 /* vdivpd */, X86::VDIVPDYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 10977 /* vdivpd */, X86::VDIVPDYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 10977 /* vdivpd */, X86::VDIVPDZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 10977 /* vdivpd */, X86::VDIVPDZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 10977 /* vdivpd */, X86::VDIVPDZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 10977 /* vdivpd */, X86::VDIVPDZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 10977 /* vdivpd */, X86::VDIVPDZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 10977 /* vdivpd */, X86::VDIVPDZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 10977 /* vdivpd */, X86::VDIVPDZ128rmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 10977 /* vdivpd */, X86::VDIVPDZ256rmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 10977 /* vdivpd */, X86::VDIVPDZrrb, Convert__Reg1_0__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 10977 /* vdivpd */, X86::VDIVPDZrmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 10977 /* vdivpd */, X86::VDIVPDZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 10977 /* vdivpd */, X86::VDIVPDZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 10977 /* vdivpd */, X86::VDIVPDZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 10977 /* vdivpd */, X86::VDIVPDZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 10977 /* vdivpd */, X86::VDIVPDZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 10977 /* vdivpd */, X86::VDIVPDZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 10977 /* vdivpd */, X86::VDIVPDZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 10977 /* vdivpd */, X86::VDIVPDZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 10977 /* vdivpd */, X86::VDIVPDZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 10977 /* vdivpd */, X86::VDIVPDZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 10977 /* vdivpd */, X86::VDIVPDZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 10977 /* vdivpd */, X86::VDIVPDZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 10977 /* vdivpd */, X86::VDIVPDZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 10977 /* vdivpd */, X86::VDIVPDZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 10977 /* vdivpd */, X86::VDIVPDZrrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 10977 /* vdivpd */, X86::VDIVPDZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 10977 /* vdivpd */, X86::VDIVPDZ128rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 10977 /* vdivpd */, X86::VDIVPDZ256rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 10977 /* vdivpd */, X86::VDIVPDZrrbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 10977 /* vdivpd */, X86::VDIVPDZrmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 10984 /* vdivph */, X86::VDIVPHZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 10984 /* vdivph */, X86::VDIVPHZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 10984 /* vdivph */, X86::VDIVPHZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 10984 /* vdivph */, X86::VDIVPHZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 10984 /* vdivph */, X86::VDIVPHZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 10984 /* vdivph */, X86::VDIVPHZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 10984 /* vdivph */, X86::VDIVPHZ128rmb, Convert__Reg1_0__Reg1_1__Mem165_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 10984 /* vdivph */, X86::VDIVPHZ256rmb, Convert__Reg1_0__Reg1_1__Mem165_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 10984 /* vdivph */, X86::VDIVPHZrrb, Convert__Reg1_0__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 10984 /* vdivph */, X86::VDIVPHZrmb, Convert__Reg1_0__Reg1_1__Mem165_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 10984 /* vdivph */, X86::VDIVPHZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 10984 /* vdivph */, X86::VDIVPHZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 10984 /* vdivph */, X86::VDIVPHZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 10984 /* vdivph */, X86::VDIVPHZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 10984 /* vdivph */, X86::VDIVPHZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 10984 /* vdivph */, X86::VDIVPHZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 10984 /* vdivph */, X86::VDIVPHZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 10984 /* vdivph */, X86::VDIVPHZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 10984 /* vdivph */, X86::VDIVPHZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 10984 /* vdivph */, X86::VDIVPHZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 10984 /* vdivph */, X86::VDIVPHZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 10984 /* vdivph */, X86::VDIVPHZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 10984 /* vdivph */, X86::VDIVPHZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 10984 /* vdivph */, X86::VDIVPHZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 10984 /* vdivph */, X86::VDIVPHZrrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 10984 /* vdivph */, X86::VDIVPHZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 10984 /* vdivph */, X86::VDIVPHZ128rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 10984 /* vdivph */, X86::VDIVPHZ256rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 10984 /* vdivph */, X86::VDIVPHZrrbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 10984 /* vdivph */, X86::VDIVPHZrmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 10991 /* vdivps */, X86::VDIVPSrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 10991 /* vdivps */, X86::VDIVPSrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 10991 /* vdivps */, X86::VDIVPSYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 10991 /* vdivps */, X86::VDIVPSYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 10991 /* vdivps */, X86::VDIVPSZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 10991 /* vdivps */, X86::VDIVPSZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 10991 /* vdivps */, X86::VDIVPSZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 10991 /* vdivps */, X86::VDIVPSZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 10991 /* vdivps */, X86::VDIVPSZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 10991 /* vdivps */, X86::VDIVPSZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 10991 /* vdivps */, X86::VDIVPSZ128rmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 10991 /* vdivps */, X86::VDIVPSZ256rmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 10991 /* vdivps */, X86::VDIVPSZrrb, Convert__Reg1_0__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 10991 /* vdivps */, X86::VDIVPSZrmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 10991 /* vdivps */, X86::VDIVPSZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 10991 /* vdivps */, X86::VDIVPSZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 10991 /* vdivps */, X86::VDIVPSZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 10991 /* vdivps */, X86::VDIVPSZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 10991 /* vdivps */, X86::VDIVPSZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 10991 /* vdivps */, X86::VDIVPSZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 10991 /* vdivps */, X86::VDIVPSZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 10991 /* vdivps */, X86::VDIVPSZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 10991 /* vdivps */, X86::VDIVPSZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 10991 /* vdivps */, X86::VDIVPSZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 10991 /* vdivps */, X86::VDIVPSZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 10991 /* vdivps */, X86::VDIVPSZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 10991 /* vdivps */, X86::VDIVPSZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 10991 /* vdivps */, X86::VDIVPSZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 10991 /* vdivps */, X86::VDIVPSZrrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 10991 /* vdivps */, X86::VDIVPSZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 10991 /* vdivps */, X86::VDIVPSZ128rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 10991 /* vdivps */, X86::VDIVPSZ256rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 10991 /* vdivps */, X86::VDIVPSZrrbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 10991 /* vdivps */, X86::VDIVPSZrmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 10998 /* vdivsd */, X86::VDIVSDrr_Int, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 10998 /* vdivsd */, X86::VDIVSDrm_Int, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem64 }, },
{ 10998 /* vdivsd */, X86::VDIVSDZrr_Int, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 10998 /* vdivsd */, X86::VDIVSDZrm_Int, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64 }, },
{ 10998 /* vdivsd */, X86::VDIVSDZrrb_Int, Convert__Reg1_0__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 10998 /* vdivsd */, X86::VDIVSDZrr_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 10998 /* vdivsd */, X86::VDIVSDZrm_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64 }, },
{ 10998 /* vdivsd */, X86::VDIVSDZrr_Intkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 10998 /* vdivsd */, X86::VDIVSDZrm_Intkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64 }, },
{ 10998 /* vdivsd */, X86::VDIVSDZrrb_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 10998 /* vdivsd */, X86::VDIVSDZrrb_Intkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 11005 /* vdivsh */, X86::VDIVSHZrr_Int, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11005 /* vdivsh */, X86::VDIVSHZrm_Int, Convert__Reg1_0__Reg1_1__Mem165_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem16 }, },
{ 11005 /* vdivsh */, X86::VDIVSHZrrb_Int, Convert__Reg1_0__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 11005 /* vdivsh */, X86::VDIVSHZrr_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 11005 /* vdivsh */, X86::VDIVSHZrm_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem16 }, },
{ 11005 /* vdivsh */, X86::VDIVSHZrr_Intkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 11005 /* vdivsh */, X86::VDIVSHZrm_Intkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem16 }, },
{ 11005 /* vdivsh */, X86::VDIVSHZrrb_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 11005 /* vdivsh */, X86::VDIVSHZrrb_Intkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 11012 /* vdivss */, X86::VDIVSSrr_Int, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 11012 /* vdivss */, X86::VDIVSSrm_Int, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem32 }, },
{ 11012 /* vdivss */, X86::VDIVSSZrr_Int, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11012 /* vdivss */, X86::VDIVSSZrm_Int, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32 }, },
{ 11012 /* vdivss */, X86::VDIVSSZrrb_Int, Convert__Reg1_0__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 11012 /* vdivss */, X86::VDIVSSZrr_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 11012 /* vdivss */, X86::VDIVSSZrm_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32 }, },
{ 11012 /* vdivss */, X86::VDIVSSZrr_Intkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 11012 /* vdivss */, X86::VDIVSSZrm_Intkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32 }, },
{ 11012 /* vdivss */, X86::VDIVSSZrrb_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 11012 /* vdivss */, X86::VDIVSSZrrb_Intkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 11019 /* vdpbf16ps */, X86::VDPBF16PSZ128r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11019 /* vdpbf16ps */, X86::VDPBF16PSZ128m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 11019 /* vdpbf16ps */, X86::VDPBF16PSZ256r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 11019 /* vdpbf16ps */, X86::VDPBF16PSZ256m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 11019 /* vdpbf16ps */, X86::VDPBF16PSZr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11019 /* vdpbf16ps */, X86::VDPBF16PSZm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 11019 /* vdpbf16ps */, X86::VDPBF16PSZ128mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 11019 /* vdpbf16ps */, X86::VDPBF16PSZ256mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 11019 /* vdpbf16ps */, X86::VDPBF16PSZmb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 11019 /* vdpbf16ps */, X86::VDPBF16PSZ128rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 11019 /* vdpbf16ps */, X86::VDPBF16PSZ128mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 11019 /* vdpbf16ps */, X86::VDPBF16PSZ256rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 11019 /* vdpbf16ps */, X86::VDPBF16PSZ256mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 11019 /* vdpbf16ps */, X86::VDPBF16PSZrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 11019 /* vdpbf16ps */, X86::VDPBF16PSZmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 11019 /* vdpbf16ps */, X86::VDPBF16PSZ128rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 11019 /* vdpbf16ps */, X86::VDPBF16PSZ128mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 11019 /* vdpbf16ps */, X86::VDPBF16PSZ128mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 11019 /* vdpbf16ps */, X86::VDPBF16PSZ256rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 11019 /* vdpbf16ps */, X86::VDPBF16PSZ256mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 11019 /* vdpbf16ps */, X86::VDPBF16PSZ256mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 11019 /* vdpbf16ps */, X86::VDPBF16PSZrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 11019 /* vdpbf16ps */, X86::VDPBF16PSZmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 11019 /* vdpbf16ps */, X86::VDPBF16PSZmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 11019 /* vdpbf16ps */, X86::VDPBF16PSZ128mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 11019 /* vdpbf16ps */, X86::VDPBF16PSZ256mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 11019 /* vdpbf16ps */, X86::VDPBF16PSZmbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 11029 /* vdppd */, X86::VDPPDrri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 11029 /* vdppd */, X86::VDPPDrmi, Convert__Reg1_0__Reg1_1__Mem1285_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 11035 /* vdpps */, X86::VDPPSrri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 11035 /* vdpps */, X86::VDPPSrmi, Convert__Reg1_0__Reg1_1__Mem1285_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 11035 /* vdpps */, X86::VDPPSYrri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256, MCK_ImmUnsignedi8 }, },
{ 11035 /* vdpps */, X86::VDPPSYrmi, Convert__Reg1_0__Reg1_1__Mem2565_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 11041 /* verr */, X86::VERRr, Convert__Reg1_0, AMFBS_None, { MCK_GR16 }, },
{ 11041 /* verr */, X86::VERRm, Convert__Mem165_0, AMFBS_None, { MCK_Mem16 }, },
{ 11046 /* verw */, X86::VERWr, Convert__Reg1_0, AMFBS_None, { MCK_GR16 }, },
{ 11046 /* verw */, X86::VERWm, Convert__Mem165_0, AMFBS_None, { MCK_Mem16 }, },
{ 11051 /* vexp2pd */, X86::VEXP2PDZr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 11051 /* vexp2pd */, X86::VEXP2PDZm, Convert__Reg1_0__Mem5125_1, AMFBS_None, { MCK_VR512, MCK_Mem512 }, },
{ 11051 /* vexp2pd */, X86::VEXP2PDZrb, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_sae_125_ }, },
{ 11051 /* vexp2pd */, X86::VEXP2PDZmb, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 11051 /* vexp2pd */, X86::VEXP2PDZrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 11051 /* vexp2pd */, X86::VEXP2PDZmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512 }, },
{ 11051 /* vexp2pd */, X86::VEXP2PDZrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 11051 /* vexp2pd */, X86::VEXP2PDZmkz, Convert__Reg1_0__Reg1_2__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512 }, },
{ 11051 /* vexp2pd */, X86::VEXP2PDZrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK__123_sae_125_ }, },
{ 11051 /* vexp2pd */, X86::VEXP2PDZmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 11051 /* vexp2pd */, X86::VEXP2PDZrbkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK__123_sae_125_ }, },
{ 11051 /* vexp2pd */, X86::VEXP2PDZmbkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 11059 /* vexp2ps */, X86::VEXP2PSZr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 11059 /* vexp2ps */, X86::VEXP2PSZm, Convert__Reg1_0__Mem5125_1, AMFBS_None, { MCK_VR512, MCK_Mem512 }, },
{ 11059 /* vexp2ps */, X86::VEXP2PSZrb, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_sae_125_ }, },
{ 11059 /* vexp2ps */, X86::VEXP2PSZmb, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 11059 /* vexp2ps */, X86::VEXP2PSZrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 11059 /* vexp2ps */, X86::VEXP2PSZmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512 }, },
{ 11059 /* vexp2ps */, X86::VEXP2PSZrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 11059 /* vexp2ps */, X86::VEXP2PSZmkz, Convert__Reg1_0__Reg1_2__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512 }, },
{ 11059 /* vexp2ps */, X86::VEXP2PSZrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK__123_sae_125_ }, },
{ 11059 /* vexp2ps */, X86::VEXP2PSZmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 11059 /* vexp2ps */, X86::VEXP2PSZrbkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK__123_sae_125_ }, },
{ 11059 /* vexp2ps */, X86::VEXP2PSZmbkz, Convert__Reg1_0__Reg1_2__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 11067 /* vexpandpd */, X86::VEXPANDPDZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 11067 /* vexpandpd */, X86::VEXPANDPDZ128rm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16X, MCK_Mem128 }, },
{ 11067 /* vexpandpd */, X86::VEXPANDPDZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 11067 /* vexpandpd */, X86::VEXPANDPDZ256rm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR256X, MCK_Mem256 }, },
{ 11067 /* vexpandpd */, X86::VEXPANDPDZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 11067 /* vexpandpd */, X86::VEXPANDPDZrm, Convert__Reg1_0__Mem5125_1, AMFBS_None, { MCK_VR512, MCK_Mem512 }, },
{ 11067 /* vexpandpd */, X86::VEXPANDPDZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 11067 /* vexpandpd */, X86::VEXPANDPDZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 11067 /* vexpandpd */, X86::VEXPANDPDZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 11067 /* vexpandpd */, X86::VEXPANDPDZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 11067 /* vexpandpd */, X86::VEXPANDPDZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 11067 /* vexpandpd */, X86::VEXPANDPDZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512 }, },
{ 11067 /* vexpandpd */, X86::VEXPANDPDZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 11067 /* vexpandpd */, X86::VEXPANDPDZ128rmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 11067 /* vexpandpd */, X86::VEXPANDPDZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 11067 /* vexpandpd */, X86::VEXPANDPDZ256rmkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 11067 /* vexpandpd */, X86::VEXPANDPDZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 11067 /* vexpandpd */, X86::VEXPANDPDZrmkz, Convert__Reg1_0__Reg1_2__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512 }, },
{ 11077 /* vexpandps */, X86::VEXPANDPSZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 11077 /* vexpandps */, X86::VEXPANDPSZ128rm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16X, MCK_Mem128 }, },
{ 11077 /* vexpandps */, X86::VEXPANDPSZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 11077 /* vexpandps */, X86::VEXPANDPSZ256rm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR256X, MCK_Mem256 }, },
{ 11077 /* vexpandps */, X86::VEXPANDPSZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 11077 /* vexpandps */, X86::VEXPANDPSZrm, Convert__Reg1_0__Mem5125_1, AMFBS_None, { MCK_VR512, MCK_Mem512 }, },
{ 11077 /* vexpandps */, X86::VEXPANDPSZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 11077 /* vexpandps */, X86::VEXPANDPSZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 11077 /* vexpandps */, X86::VEXPANDPSZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 11077 /* vexpandps */, X86::VEXPANDPSZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 11077 /* vexpandps */, X86::VEXPANDPSZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 11077 /* vexpandps */, X86::VEXPANDPSZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512 }, },
{ 11077 /* vexpandps */, X86::VEXPANDPSZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 11077 /* vexpandps */, X86::VEXPANDPSZ128rmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 11077 /* vexpandps */, X86::VEXPANDPSZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 11077 /* vexpandps */, X86::VEXPANDPSZ256rmkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 11077 /* vexpandps */, X86::VEXPANDPSZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 11077 /* vexpandps */, X86::VEXPANDPSZrmkz, Convert__Reg1_0__Reg1_2__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512 }, },
{ 11087 /* vextractf128 */, X86::VEXTRACTF128rr, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_VR256, MCK_ImmUnsignedi8 }, },
{ 11087 /* vextractf128 */, X86::VEXTRACTF128mr, Convert__Mem1285_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_Mem128, MCK_VR256, MCK_ImmUnsignedi8 }, },
{ 11100 /* vextractf32x4 */, X86::VEXTRACTF32x4Z256rr, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 11100 /* vextractf32x4 */, X86::VEXTRACTF32x4Zrr, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16X, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 11100 /* vextractf32x4 */, X86::VEXTRACTF32x4Z256mr, Convert__Mem1285_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_Mem128, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 11100 /* vextractf32x4 */, X86::VEXTRACTF32x4Zmr, Convert__Mem1285_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_Mem128, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 11100 /* vextractf32x4 */, X86::VEXTRACTF32x4Z256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 11100 /* vextractf32x4 */, X86::VEXTRACTF32x4Zrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 11100 /* vextractf32x4 */, X86::VEXTRACTF32x4Z256mrk, Convert__Mem1285_0__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_Mem128, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 11100 /* vextractf32x4 */, X86::VEXTRACTF32x4Zmrk, Convert__Mem1285_0__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_Mem128, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 11100 /* vextractf32x4 */, X86::VEXTRACTF32x4Z256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 11100 /* vextractf32x4 */, X86::VEXTRACTF32x4Zrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 11114 /* vextractf32x8 */, X86::VEXTRACTF32x8Zrr, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR256X, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 11114 /* vextractf32x8 */, X86::VEXTRACTF32x8Zmr, Convert__Mem2565_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_Mem256, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 11114 /* vextractf32x8 */, X86::VEXTRACTF32x8Zrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 11114 /* vextractf32x8 */, X86::VEXTRACTF32x8Zmrk, Convert__Mem2565_0__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_Mem256, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 11114 /* vextractf32x8 */, X86::VEXTRACTF32x8Zrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 11128 /* vextractf64x2 */, X86::VEXTRACTF64x2Z256rr, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 11128 /* vextractf64x2 */, X86::VEXTRACTF64x2Zrr, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16X, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 11128 /* vextractf64x2 */, X86::VEXTRACTF64x2Z256mr, Convert__Mem1285_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_Mem128, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 11128 /* vextractf64x2 */, X86::VEXTRACTF64x2Zmr, Convert__Mem1285_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_Mem128, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 11128 /* vextractf64x2 */, X86::VEXTRACTF64x2Z256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 11128 /* vextractf64x2 */, X86::VEXTRACTF64x2Zrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 11128 /* vextractf64x2 */, X86::VEXTRACTF64x2Z256mrk, Convert__Mem1285_0__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_Mem128, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 11128 /* vextractf64x2 */, X86::VEXTRACTF64x2Zmrk, Convert__Mem1285_0__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_Mem128, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 11128 /* vextractf64x2 */, X86::VEXTRACTF64x2Z256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 11128 /* vextractf64x2 */, X86::VEXTRACTF64x2Zrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 11142 /* vextractf64x4 */, X86::VEXTRACTF64x4Zrr, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR256X, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 11142 /* vextractf64x4 */, X86::VEXTRACTF64x4Zmr, Convert__Mem2565_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_Mem256, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 11142 /* vextractf64x4 */, X86::VEXTRACTF64x4Zrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 11142 /* vextractf64x4 */, X86::VEXTRACTF64x4Zmrk, Convert__Mem2565_0__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_Mem256, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 11142 /* vextractf64x4 */, X86::VEXTRACTF64x4Zrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 11156 /* vextracti128 */, X86::VEXTRACTI128rr, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_VR256, MCK_ImmUnsignedi8 }, },
{ 11156 /* vextracti128 */, X86::VEXTRACTI128mr, Convert__Mem1285_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_Mem128, MCK_VR256, MCK_ImmUnsignedi8 }, },
{ 11169 /* vextracti32x4 */, X86::VEXTRACTI32x4Z256rr, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 11169 /* vextracti32x4 */, X86::VEXTRACTI32x4Zrr, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16X, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 11169 /* vextracti32x4 */, X86::VEXTRACTI32x4Z256mr, Convert__Mem1285_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_Mem128, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 11169 /* vextracti32x4 */, X86::VEXTRACTI32x4Zmr, Convert__Mem1285_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_Mem128, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 11169 /* vextracti32x4 */, X86::VEXTRACTI32x4Z256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 11169 /* vextracti32x4 */, X86::VEXTRACTI32x4Zrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 11169 /* vextracti32x4 */, X86::VEXTRACTI32x4Z256mrk, Convert__Mem1285_0__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_Mem128, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 11169 /* vextracti32x4 */, X86::VEXTRACTI32x4Zmrk, Convert__Mem1285_0__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_Mem128, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 11169 /* vextracti32x4 */, X86::VEXTRACTI32x4Z256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 11169 /* vextracti32x4 */, X86::VEXTRACTI32x4Zrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 11183 /* vextracti32x8 */, X86::VEXTRACTI32x8Zrr, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR256X, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 11183 /* vextracti32x8 */, X86::VEXTRACTI32x8Zmr, Convert__Mem2565_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_Mem256, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 11183 /* vextracti32x8 */, X86::VEXTRACTI32x8Zrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 11183 /* vextracti32x8 */, X86::VEXTRACTI32x8Zmrk, Convert__Mem2565_0__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_Mem256, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 11183 /* vextracti32x8 */, X86::VEXTRACTI32x8Zrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 11197 /* vextracti64x2 */, X86::VEXTRACTI64x2Z256rr, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 11197 /* vextracti64x2 */, X86::VEXTRACTI64x2Zrr, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16X, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 11197 /* vextracti64x2 */, X86::VEXTRACTI64x2Z256mr, Convert__Mem1285_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_Mem128, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 11197 /* vextracti64x2 */, X86::VEXTRACTI64x2Zmr, Convert__Mem1285_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_Mem128, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 11197 /* vextracti64x2 */, X86::VEXTRACTI64x2Z256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 11197 /* vextracti64x2 */, X86::VEXTRACTI64x2Zrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 11197 /* vextracti64x2 */, X86::VEXTRACTI64x2Z256mrk, Convert__Mem1285_0__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_Mem128, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 11197 /* vextracti64x2 */, X86::VEXTRACTI64x2Zmrk, Convert__Mem1285_0__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_Mem128, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 11197 /* vextracti64x2 */, X86::VEXTRACTI64x2Z256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 11197 /* vextracti64x2 */, X86::VEXTRACTI64x2Zrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 11211 /* vextracti64x4 */, X86::VEXTRACTI64x4Zrr, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR256X, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 11211 /* vextracti64x4 */, X86::VEXTRACTI64x4Zmr, Convert__Mem2565_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_Mem256, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 11211 /* vextracti64x4 */, X86::VEXTRACTI64x4Zrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 11211 /* vextracti64x4 */, X86::VEXTRACTI64x4Zmrk, Convert__Mem2565_0__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_Mem256, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 11211 /* vextracti64x4 */, X86::VEXTRACTI64x4Zrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 11225 /* vextractps */, X86::VEXTRACTPSrr, Convert__GR32orGR641_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_GR32orGR64, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 11225 /* vextractps */, X86::VEXTRACTPSZrr, Convert__GR32orGR641_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_GR32orGR64, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 11225 /* vextractps */, X86::VEXTRACTPSmr, Convert__Mem325_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_Mem32, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 11225 /* vextractps */, X86::VEXTRACTPSZmr, Convert__Mem325_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 11236 /* vfcmaddcph */, X86::VFCMADDCPHZ128r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11236 /* vfcmaddcph */, X86::VFCMADDCPHZ128m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 11236 /* vfcmaddcph */, X86::VFCMADDCPHZ256r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 11236 /* vfcmaddcph */, X86::VFCMADDCPHZ256m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 11236 /* vfcmaddcph */, X86::VFCMADDCPHZr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11236 /* vfcmaddcph */, X86::VFCMADDCPHZm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 11236 /* vfcmaddcph */, X86::VFCMADDCPHZ128mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 11236 /* vfcmaddcph */, X86::VFCMADDCPHZ256mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 11236 /* vfcmaddcph */, X86::VFCMADDCPHZrb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 11236 /* vfcmaddcph */, X86::VFCMADDCPHZmb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 11236 /* vfcmaddcph */, X86::VFCMADDCPHZ128rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 11236 /* vfcmaddcph */, X86::VFCMADDCPHZ128mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 11236 /* vfcmaddcph */, X86::VFCMADDCPHZ256rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 11236 /* vfcmaddcph */, X86::VFCMADDCPHZ256mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 11236 /* vfcmaddcph */, X86::VFCMADDCPHZrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 11236 /* vfcmaddcph */, X86::VFCMADDCPHZmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 11236 /* vfcmaddcph */, X86::VFCMADDCPHZ128rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 11236 /* vfcmaddcph */, X86::VFCMADDCPHZ128mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 11236 /* vfcmaddcph */, X86::VFCMADDCPHZ128mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 11236 /* vfcmaddcph */, X86::VFCMADDCPHZ256rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 11236 /* vfcmaddcph */, X86::VFCMADDCPHZ256mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 11236 /* vfcmaddcph */, X86::VFCMADDCPHZ256mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 11236 /* vfcmaddcph */, X86::VFCMADDCPHZrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 11236 /* vfcmaddcph */, X86::VFCMADDCPHZmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 11236 /* vfcmaddcph */, X86::VFCMADDCPHZrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 11236 /* vfcmaddcph */, X86::VFCMADDCPHZmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 11236 /* vfcmaddcph */, X86::VFCMADDCPHZ128mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 11236 /* vfcmaddcph */, X86::VFCMADDCPHZ256mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 11236 /* vfcmaddcph */, X86::VFCMADDCPHZrbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 11236 /* vfcmaddcph */, X86::VFCMADDCPHZmbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 11247 /* vfcmaddcsh */, X86::VFCMADDCSHZr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11247 /* vfcmaddcsh */, X86::VFCMADDCSHZm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32 }, },
{ 11247 /* vfcmaddcsh */, X86::VFCMADDCSHZrb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 11247 /* vfcmaddcsh */, X86::VFCMADDCSHZrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 11247 /* vfcmaddcsh */, X86::VFCMADDCSHZmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32 }, },
{ 11247 /* vfcmaddcsh */, X86::VFCMADDCSHZrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 11247 /* vfcmaddcsh */, X86::VFCMADDCSHZmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32 }, },
{ 11247 /* vfcmaddcsh */, X86::VFCMADDCSHZrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 11247 /* vfcmaddcsh */, X86::VFCMADDCSHZrbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 11258 /* vfcmulcph */, X86::VFCMULCPHZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11258 /* vfcmulcph */, X86::VFCMULCPHZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 11258 /* vfcmulcph */, X86::VFCMULCPHZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 11258 /* vfcmulcph */, X86::VFCMULCPHZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 11258 /* vfcmulcph */, X86::VFCMULCPHZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11258 /* vfcmulcph */, X86::VFCMULCPHZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 11258 /* vfcmulcph */, X86::VFCMULCPHZ128rmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 11258 /* vfcmulcph */, X86::VFCMULCPHZ256rmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 11258 /* vfcmulcph */, X86::VFCMULCPHZrrb, Convert__Reg1_0__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 11258 /* vfcmulcph */, X86::VFCMULCPHZrmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 11258 /* vfcmulcph */, X86::VFCMULCPHZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 11258 /* vfcmulcph */, X86::VFCMULCPHZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 11258 /* vfcmulcph */, X86::VFCMULCPHZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 11258 /* vfcmulcph */, X86::VFCMULCPHZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 11258 /* vfcmulcph */, X86::VFCMULCPHZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 11258 /* vfcmulcph */, X86::VFCMULCPHZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 11258 /* vfcmulcph */, X86::VFCMULCPHZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 11258 /* vfcmulcph */, X86::VFCMULCPHZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 11258 /* vfcmulcph */, X86::VFCMULCPHZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 11258 /* vfcmulcph */, X86::VFCMULCPHZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 11258 /* vfcmulcph */, X86::VFCMULCPHZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 11258 /* vfcmulcph */, X86::VFCMULCPHZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 11258 /* vfcmulcph */, X86::VFCMULCPHZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 11258 /* vfcmulcph */, X86::VFCMULCPHZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 11258 /* vfcmulcph */, X86::VFCMULCPHZrrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 11258 /* vfcmulcph */, X86::VFCMULCPHZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 11258 /* vfcmulcph */, X86::VFCMULCPHZ128rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 11258 /* vfcmulcph */, X86::VFCMULCPHZ256rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 11258 /* vfcmulcph */, X86::VFCMULCPHZrrbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 11258 /* vfcmulcph */, X86::VFCMULCPHZrmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 11268 /* vfcmulcsh */, X86::VFCMULCSHZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11268 /* vfcmulcsh */, X86::VFCMULCSHZrm, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32 }, },
{ 11268 /* vfcmulcsh */, X86::VFCMULCSHZrrb, Convert__Reg1_0__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 11268 /* vfcmulcsh */, X86::VFCMULCSHZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 11268 /* vfcmulcsh */, X86::VFCMULCSHZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32 }, },
{ 11268 /* vfcmulcsh */, X86::VFCMULCSHZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 11268 /* vfcmulcsh */, X86::VFCMULCSHZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32 }, },
{ 11268 /* vfcmulcsh */, X86::VFCMULCSHZrrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 11268 /* vfcmulcsh */, X86::VFCMULCSHZrrbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 11278 /* vfixupimmpd */, X86::VFIXUPIMMPDZ128rri, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 11278 /* vfixupimmpd */, X86::VFIXUPIMMPDZ128rmi, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 11278 /* vfixupimmpd */, X86::VFIXUPIMMPDZ256rri, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 11278 /* vfixupimmpd */, X86::VFIXUPIMMPDZ256rmi, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 11278 /* vfixupimmpd */, X86::VFIXUPIMMPDZrri, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 11278 /* vfixupimmpd */, X86::VFIXUPIMMPDZrmi, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem5125_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 11278 /* vfixupimmpd */, X86::VFIXUPIMMPDZ128rmbi, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2__ImmUnsignedi81_4, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_, MCK_ImmUnsignedi8 }, },
{ 11278 /* vfixupimmpd */, X86::VFIXUPIMMPDZ256rmbi, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2__ImmUnsignedi81_4, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 11278 /* vfixupimmpd */, X86::VFIXUPIMMPDZrrib, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__ImmUnsignedi81_4, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_sae_125_, MCK_ImmUnsignedi8 }, },
{ 11278 /* vfixupimmpd */, X86::VFIXUPIMMPDZrmbi, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2__ImmUnsignedi81_4, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 11278 /* vfixupimmpd */, X86::VFIXUPIMMPDZ128rrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 11278 /* vfixupimmpd */, X86::VFIXUPIMMPDZ128rmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 11278 /* vfixupimmpd */, X86::VFIXUPIMMPDZ256rrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 11278 /* vfixupimmpd */, X86::VFIXUPIMMPDZ256rmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 11278 /* vfixupimmpd */, X86::VFIXUPIMMPDZrrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 11278 /* vfixupimmpd */, X86::VFIXUPIMMPDZrmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 11278 /* vfixupimmpd */, X86::VFIXUPIMMPDZ128rrikz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 11278 /* vfixupimmpd */, X86::VFIXUPIMMPDZ128rmikz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem1285_6__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 11278 /* vfixupimmpd */, X86::VFIXUPIMMPDZ128rmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_, MCK_ImmUnsignedi8 }, },
{ 11278 /* vfixupimmpd */, X86::VFIXUPIMMPDZ256rrikz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 11278 /* vfixupimmpd */, X86::VFIXUPIMMPDZ256rmikz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem2565_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 11278 /* vfixupimmpd */, X86::VFIXUPIMMPDZ256rmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 11278 /* vfixupimmpd */, X86::VFIXUPIMMPDZrrikz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 11278 /* vfixupimmpd */, X86::VFIXUPIMMPDZrmikz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem5125_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 11278 /* vfixupimmpd */, X86::VFIXUPIMMPDZrribk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK__123_sae_125_, MCK_ImmUnsignedi8 }, },
{ 11278 /* vfixupimmpd */, X86::VFIXUPIMMPDZrmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 11278 /* vfixupimmpd */, X86::VFIXUPIMMPDZ128rmbikz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6__ImmUnsignedi81_8, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_, MCK_ImmUnsignedi8 }, },
{ 11278 /* vfixupimmpd */, X86::VFIXUPIMMPDZ256rmbikz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6__ImmUnsignedi81_8, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 11278 /* vfixupimmpd */, X86::VFIXUPIMMPDZrribkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_8, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK__123_sae_125_, MCK_ImmUnsignedi8 }, },
{ 11278 /* vfixupimmpd */, X86::VFIXUPIMMPDZrmbikz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6__ImmUnsignedi81_8, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 11290 /* vfixupimmps */, X86::VFIXUPIMMPSZ128rri, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 11290 /* vfixupimmps */, X86::VFIXUPIMMPSZ128rmi, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 11290 /* vfixupimmps */, X86::VFIXUPIMMPSZ256rri, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 11290 /* vfixupimmps */, X86::VFIXUPIMMPSZ256rmi, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 11290 /* vfixupimmps */, X86::VFIXUPIMMPSZrri, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 11290 /* vfixupimmps */, X86::VFIXUPIMMPSZrmi, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem5125_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 11290 /* vfixupimmps */, X86::VFIXUPIMMPSZ128rmbi, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2__ImmUnsignedi81_4, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 11290 /* vfixupimmps */, X86::VFIXUPIMMPSZ256rmbi, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2__ImmUnsignedi81_4, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 11290 /* vfixupimmps */, X86::VFIXUPIMMPSZrrib, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__ImmUnsignedi81_4, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_sae_125_, MCK_ImmUnsignedi8 }, },
{ 11290 /* vfixupimmps */, X86::VFIXUPIMMPSZrmbi, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2__ImmUnsignedi81_4, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_, MCK_ImmUnsignedi8 }, },
{ 11290 /* vfixupimmps */, X86::VFIXUPIMMPSZ128rrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 11290 /* vfixupimmps */, X86::VFIXUPIMMPSZ128rmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 11290 /* vfixupimmps */, X86::VFIXUPIMMPSZ256rrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 11290 /* vfixupimmps */, X86::VFIXUPIMMPSZ256rmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 11290 /* vfixupimmps */, X86::VFIXUPIMMPSZrrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 11290 /* vfixupimmps */, X86::VFIXUPIMMPSZrmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 11290 /* vfixupimmps */, X86::VFIXUPIMMPSZ128rrikz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 11290 /* vfixupimmps */, X86::VFIXUPIMMPSZ128rmikz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem1285_6__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 11290 /* vfixupimmps */, X86::VFIXUPIMMPSZ128rmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 11290 /* vfixupimmps */, X86::VFIXUPIMMPSZ256rrikz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 11290 /* vfixupimmps */, X86::VFIXUPIMMPSZ256rmikz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem2565_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 11290 /* vfixupimmps */, X86::VFIXUPIMMPSZ256rmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 11290 /* vfixupimmps */, X86::VFIXUPIMMPSZrrikz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 11290 /* vfixupimmps */, X86::VFIXUPIMMPSZrmikz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem5125_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 11290 /* vfixupimmps */, X86::VFIXUPIMMPSZrribk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK__123_sae_125_, MCK_ImmUnsignedi8 }, },
{ 11290 /* vfixupimmps */, X86::VFIXUPIMMPSZrmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_, MCK_ImmUnsignedi8 }, },
{ 11290 /* vfixupimmps */, X86::VFIXUPIMMPSZ128rmbikz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6__ImmUnsignedi81_8, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 11290 /* vfixupimmps */, X86::VFIXUPIMMPSZ256rmbikz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6__ImmUnsignedi81_8, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 11290 /* vfixupimmps */, X86::VFIXUPIMMPSZrribkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_8, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK__123_sae_125_, MCK_ImmUnsignedi8 }, },
{ 11290 /* vfixupimmps */, X86::VFIXUPIMMPSZrmbikz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6__ImmUnsignedi81_8, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_, MCK_ImmUnsignedi8 }, },
{ 11302 /* vfixupimmsd */, X86::VFIXUPIMMSDZrri, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 11302 /* vfixupimmsd */, X86::VFIXUPIMMSDZrmi, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64, MCK_ImmUnsignedi8 }, },
{ 11302 /* vfixupimmsd */, X86::VFIXUPIMMSDZrrib, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__ImmUnsignedi81_4, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_sae_125_, MCK_ImmUnsignedi8 }, },
{ 11302 /* vfixupimmsd */, X86::VFIXUPIMMSDZrrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 11302 /* vfixupimmsd */, X86::VFIXUPIMMSDZrmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64, MCK_ImmUnsignedi8 }, },
{ 11302 /* vfixupimmsd */, X86::VFIXUPIMMSDZrrikz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 11302 /* vfixupimmsd */, X86::VFIXUPIMMSDZrmikz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64, MCK_ImmUnsignedi8 }, },
{ 11302 /* vfixupimmsd */, X86::VFIXUPIMMSDZrribk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK__123_sae_125_, MCK_ImmUnsignedi8 }, },
{ 11302 /* vfixupimmsd */, X86::VFIXUPIMMSDZrribkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_8, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK__123_sae_125_, MCK_ImmUnsignedi8 }, },
{ 11314 /* vfixupimmss */, X86::VFIXUPIMMSSZrri, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 11314 /* vfixupimmss */, X86::VFIXUPIMMSSZrmi, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32, MCK_ImmUnsignedi8 }, },
{ 11314 /* vfixupimmss */, X86::VFIXUPIMMSSZrrib, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__ImmUnsignedi81_4, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_sae_125_, MCK_ImmUnsignedi8 }, },
{ 11314 /* vfixupimmss */, X86::VFIXUPIMMSSZrrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 11314 /* vfixupimmss */, X86::VFIXUPIMMSSZrmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32, MCK_ImmUnsignedi8 }, },
{ 11314 /* vfixupimmss */, X86::VFIXUPIMMSSZrrikz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 11314 /* vfixupimmss */, X86::VFIXUPIMMSSZrmikz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32, MCK_ImmUnsignedi8 }, },
{ 11314 /* vfixupimmss */, X86::VFIXUPIMMSSZrribk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK__123_sae_125_, MCK_ImmUnsignedi8 }, },
{ 11314 /* vfixupimmss */, X86::VFIXUPIMMSSZrribkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_8, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK__123_sae_125_, MCK_ImmUnsignedi8 }, },
{ 11326 /* vfmadd132pd */, X86::VFMADD132PDr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 11326 /* vfmadd132pd */, X86::VFMADD132PDm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 11326 /* vfmadd132pd */, X86::VFMADD132PDYr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 11326 /* vfmadd132pd */, X86::VFMADD132PDYm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 11326 /* vfmadd132pd */, X86::VFMADD132PDZ128r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11326 /* vfmadd132pd */, X86::VFMADD132PDZ128m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 11326 /* vfmadd132pd */, X86::VFMADD132PDZ256r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 11326 /* vfmadd132pd */, X86::VFMADD132PDZ256m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 11326 /* vfmadd132pd */, X86::VFMADD132PDZr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11326 /* vfmadd132pd */, X86::VFMADD132PDZm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 11326 /* vfmadd132pd */, X86::VFMADD132PDZ128mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 11326 /* vfmadd132pd */, X86::VFMADD132PDZ256mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 11326 /* vfmadd132pd */, X86::VFMADD132PDZrb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 11326 /* vfmadd132pd */, X86::VFMADD132PDZmb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 11326 /* vfmadd132pd */, X86::VFMADD132PDZ128rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 11326 /* vfmadd132pd */, X86::VFMADD132PDZ128mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 11326 /* vfmadd132pd */, X86::VFMADD132PDZ256rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 11326 /* vfmadd132pd */, X86::VFMADD132PDZ256mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 11326 /* vfmadd132pd */, X86::VFMADD132PDZrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 11326 /* vfmadd132pd */, X86::VFMADD132PDZmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 11326 /* vfmadd132pd */, X86::VFMADD132PDZ128rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 11326 /* vfmadd132pd */, X86::VFMADD132PDZ128mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 11326 /* vfmadd132pd */, X86::VFMADD132PDZ128mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 11326 /* vfmadd132pd */, X86::VFMADD132PDZ256rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 11326 /* vfmadd132pd */, X86::VFMADD132PDZ256mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 11326 /* vfmadd132pd */, X86::VFMADD132PDZ256mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 11326 /* vfmadd132pd */, X86::VFMADD132PDZrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 11326 /* vfmadd132pd */, X86::VFMADD132PDZmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 11326 /* vfmadd132pd */, X86::VFMADD132PDZrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 11326 /* vfmadd132pd */, X86::VFMADD132PDZmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 11326 /* vfmadd132pd */, X86::VFMADD132PDZ128mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 11326 /* vfmadd132pd */, X86::VFMADD132PDZ256mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 11326 /* vfmadd132pd */, X86::VFMADD132PDZrbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 11326 /* vfmadd132pd */, X86::VFMADD132PDZmbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 11338 /* vfmadd132ph */, X86::VFMADD132PHZ128r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11338 /* vfmadd132ph */, X86::VFMADD132PHZ128m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 11338 /* vfmadd132ph */, X86::VFMADD132PHZ256r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 11338 /* vfmadd132ph */, X86::VFMADD132PHZ256m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 11338 /* vfmadd132ph */, X86::VFMADD132PHZr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11338 /* vfmadd132ph */, X86::VFMADD132PHZm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 11338 /* vfmadd132ph */, X86::VFMADD132PHZ128mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem165_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 11338 /* vfmadd132ph */, X86::VFMADD132PHZ256mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem165_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 11338 /* vfmadd132ph */, X86::VFMADD132PHZrb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 11338 /* vfmadd132ph */, X86::VFMADD132PHZmb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem165_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 11338 /* vfmadd132ph */, X86::VFMADD132PHZ128rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 11338 /* vfmadd132ph */, X86::VFMADD132PHZ128mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 11338 /* vfmadd132ph */, X86::VFMADD132PHZ256rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 11338 /* vfmadd132ph */, X86::VFMADD132PHZ256mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 11338 /* vfmadd132ph */, X86::VFMADD132PHZrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 11338 /* vfmadd132ph */, X86::VFMADD132PHZmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 11338 /* vfmadd132ph */, X86::VFMADD132PHZ128rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 11338 /* vfmadd132ph */, X86::VFMADD132PHZ128mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 11338 /* vfmadd132ph */, X86::VFMADD132PHZ128mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 11338 /* vfmadd132ph */, X86::VFMADD132PHZ256rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 11338 /* vfmadd132ph */, X86::VFMADD132PHZ256mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 11338 /* vfmadd132ph */, X86::VFMADD132PHZ256mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 11338 /* vfmadd132ph */, X86::VFMADD132PHZrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 11338 /* vfmadd132ph */, X86::VFMADD132PHZmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 11338 /* vfmadd132ph */, X86::VFMADD132PHZrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 11338 /* vfmadd132ph */, X86::VFMADD132PHZmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 11338 /* vfmadd132ph */, X86::VFMADD132PHZ128mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 11338 /* vfmadd132ph */, X86::VFMADD132PHZ256mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 11338 /* vfmadd132ph */, X86::VFMADD132PHZrbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 11338 /* vfmadd132ph */, X86::VFMADD132PHZmbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 11350 /* vfmadd132ps */, X86::VFMADD132PSr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 11350 /* vfmadd132ps */, X86::VFMADD132PSm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 11350 /* vfmadd132ps */, X86::VFMADD132PSYr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 11350 /* vfmadd132ps */, X86::VFMADD132PSYm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 11350 /* vfmadd132ps */, X86::VFMADD132PSZ128r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11350 /* vfmadd132ps */, X86::VFMADD132PSZ128m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 11350 /* vfmadd132ps */, X86::VFMADD132PSZ256r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 11350 /* vfmadd132ps */, X86::VFMADD132PSZ256m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 11350 /* vfmadd132ps */, X86::VFMADD132PSZr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11350 /* vfmadd132ps */, X86::VFMADD132PSZm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 11350 /* vfmadd132ps */, X86::VFMADD132PSZ128mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 11350 /* vfmadd132ps */, X86::VFMADD132PSZ256mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 11350 /* vfmadd132ps */, X86::VFMADD132PSZrb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 11350 /* vfmadd132ps */, X86::VFMADD132PSZmb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 11350 /* vfmadd132ps */, X86::VFMADD132PSZ128rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 11350 /* vfmadd132ps */, X86::VFMADD132PSZ128mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 11350 /* vfmadd132ps */, X86::VFMADD132PSZ256rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 11350 /* vfmadd132ps */, X86::VFMADD132PSZ256mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 11350 /* vfmadd132ps */, X86::VFMADD132PSZrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 11350 /* vfmadd132ps */, X86::VFMADD132PSZmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 11350 /* vfmadd132ps */, X86::VFMADD132PSZ128rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 11350 /* vfmadd132ps */, X86::VFMADD132PSZ128mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 11350 /* vfmadd132ps */, X86::VFMADD132PSZ128mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 11350 /* vfmadd132ps */, X86::VFMADD132PSZ256rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 11350 /* vfmadd132ps */, X86::VFMADD132PSZ256mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 11350 /* vfmadd132ps */, X86::VFMADD132PSZ256mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 11350 /* vfmadd132ps */, X86::VFMADD132PSZrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 11350 /* vfmadd132ps */, X86::VFMADD132PSZmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 11350 /* vfmadd132ps */, X86::VFMADD132PSZrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 11350 /* vfmadd132ps */, X86::VFMADD132PSZmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 11350 /* vfmadd132ps */, X86::VFMADD132PSZ128mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 11350 /* vfmadd132ps */, X86::VFMADD132PSZ256mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 11350 /* vfmadd132ps */, X86::VFMADD132PSZrbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 11350 /* vfmadd132ps */, X86::VFMADD132PSZmbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 11362 /* vfmadd132sd */, X86::VFMADD132SDr_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 11362 /* vfmadd132sd */, X86::VFMADD132SDm_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem64 }, },
{ 11362 /* vfmadd132sd */, X86::VFMADD132SDZr_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11362 /* vfmadd132sd */, X86::VFMADD132SDZm_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64 }, },
{ 11362 /* vfmadd132sd */, X86::VFMADD132SDZrb_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 11362 /* vfmadd132sd */, X86::VFMADD132SDZr_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 11362 /* vfmadd132sd */, X86::VFMADD132SDZm_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64 }, },
{ 11362 /* vfmadd132sd */, X86::VFMADD132SDZr_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 11362 /* vfmadd132sd */, X86::VFMADD132SDZm_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64 }, },
{ 11362 /* vfmadd132sd */, X86::VFMADD132SDZrb_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 11362 /* vfmadd132sd */, X86::VFMADD132SDZrb_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 11374 /* vfmadd132sh */, X86::VFMADD132SHZr_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11374 /* vfmadd132sh */, X86::VFMADD132SHZm_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem165_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem16 }, },
{ 11374 /* vfmadd132sh */, X86::VFMADD132SHZrb_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 11374 /* vfmadd132sh */, X86::VFMADD132SHZr_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 11374 /* vfmadd132sh */, X86::VFMADD132SHZm_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem16 }, },
{ 11374 /* vfmadd132sh */, X86::VFMADD132SHZr_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 11374 /* vfmadd132sh */, X86::VFMADD132SHZm_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem16 }, },
{ 11374 /* vfmadd132sh */, X86::VFMADD132SHZrb_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 11374 /* vfmadd132sh */, X86::VFMADD132SHZrb_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 11386 /* vfmadd132ss */, X86::VFMADD132SSr_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 11386 /* vfmadd132ss */, X86::VFMADD132SSm_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem32 }, },
{ 11386 /* vfmadd132ss */, X86::VFMADD132SSZr_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11386 /* vfmadd132ss */, X86::VFMADD132SSZm_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32 }, },
{ 11386 /* vfmadd132ss */, X86::VFMADD132SSZrb_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 11386 /* vfmadd132ss */, X86::VFMADD132SSZr_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 11386 /* vfmadd132ss */, X86::VFMADD132SSZm_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32 }, },
{ 11386 /* vfmadd132ss */, X86::VFMADD132SSZr_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 11386 /* vfmadd132ss */, X86::VFMADD132SSZm_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32 }, },
{ 11386 /* vfmadd132ss */, X86::VFMADD132SSZrb_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 11386 /* vfmadd132ss */, X86::VFMADD132SSZrb_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 11398 /* vfmadd213pd */, X86::VFMADD213PDr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 11398 /* vfmadd213pd */, X86::VFMADD213PDm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 11398 /* vfmadd213pd */, X86::VFMADD213PDYr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 11398 /* vfmadd213pd */, X86::VFMADD213PDYm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 11398 /* vfmadd213pd */, X86::VFMADD213PDZ128r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11398 /* vfmadd213pd */, X86::VFMADD213PDZ128m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 11398 /* vfmadd213pd */, X86::VFMADD213PDZ256r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 11398 /* vfmadd213pd */, X86::VFMADD213PDZ256m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 11398 /* vfmadd213pd */, X86::VFMADD213PDZr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11398 /* vfmadd213pd */, X86::VFMADD213PDZm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 11398 /* vfmadd213pd */, X86::VFMADD213PDZ128mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 11398 /* vfmadd213pd */, X86::VFMADD213PDZ256mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 11398 /* vfmadd213pd */, X86::VFMADD213PDZrb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 11398 /* vfmadd213pd */, X86::VFMADD213PDZmb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 11398 /* vfmadd213pd */, X86::VFMADD213PDZ128rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 11398 /* vfmadd213pd */, X86::VFMADD213PDZ128mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 11398 /* vfmadd213pd */, X86::VFMADD213PDZ256rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 11398 /* vfmadd213pd */, X86::VFMADD213PDZ256mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 11398 /* vfmadd213pd */, X86::VFMADD213PDZrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 11398 /* vfmadd213pd */, X86::VFMADD213PDZmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 11398 /* vfmadd213pd */, X86::VFMADD213PDZ128rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 11398 /* vfmadd213pd */, X86::VFMADD213PDZ128mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 11398 /* vfmadd213pd */, X86::VFMADD213PDZ128mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 11398 /* vfmadd213pd */, X86::VFMADD213PDZ256rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 11398 /* vfmadd213pd */, X86::VFMADD213PDZ256mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 11398 /* vfmadd213pd */, X86::VFMADD213PDZ256mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 11398 /* vfmadd213pd */, X86::VFMADD213PDZrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 11398 /* vfmadd213pd */, X86::VFMADD213PDZmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 11398 /* vfmadd213pd */, X86::VFMADD213PDZrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 11398 /* vfmadd213pd */, X86::VFMADD213PDZmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 11398 /* vfmadd213pd */, X86::VFMADD213PDZ128mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 11398 /* vfmadd213pd */, X86::VFMADD213PDZ256mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 11398 /* vfmadd213pd */, X86::VFMADD213PDZrbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 11398 /* vfmadd213pd */, X86::VFMADD213PDZmbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 11410 /* vfmadd213ph */, X86::VFMADD213PHZ128r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11410 /* vfmadd213ph */, X86::VFMADD213PHZ128m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 11410 /* vfmadd213ph */, X86::VFMADD213PHZ256r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 11410 /* vfmadd213ph */, X86::VFMADD213PHZ256m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 11410 /* vfmadd213ph */, X86::VFMADD213PHZr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11410 /* vfmadd213ph */, X86::VFMADD213PHZm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 11410 /* vfmadd213ph */, X86::VFMADD213PHZ128mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem165_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 11410 /* vfmadd213ph */, X86::VFMADD213PHZ256mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem165_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 11410 /* vfmadd213ph */, X86::VFMADD213PHZrb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 11410 /* vfmadd213ph */, X86::VFMADD213PHZmb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem165_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 11410 /* vfmadd213ph */, X86::VFMADD213PHZ128rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 11410 /* vfmadd213ph */, X86::VFMADD213PHZ128mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 11410 /* vfmadd213ph */, X86::VFMADD213PHZ256rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 11410 /* vfmadd213ph */, X86::VFMADD213PHZ256mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 11410 /* vfmadd213ph */, X86::VFMADD213PHZrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 11410 /* vfmadd213ph */, X86::VFMADD213PHZmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 11410 /* vfmadd213ph */, X86::VFMADD213PHZ128rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 11410 /* vfmadd213ph */, X86::VFMADD213PHZ128mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 11410 /* vfmadd213ph */, X86::VFMADD213PHZ128mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 11410 /* vfmadd213ph */, X86::VFMADD213PHZ256rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 11410 /* vfmadd213ph */, X86::VFMADD213PHZ256mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 11410 /* vfmadd213ph */, X86::VFMADD213PHZ256mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 11410 /* vfmadd213ph */, X86::VFMADD213PHZrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 11410 /* vfmadd213ph */, X86::VFMADD213PHZmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 11410 /* vfmadd213ph */, X86::VFMADD213PHZrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 11410 /* vfmadd213ph */, X86::VFMADD213PHZmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 11410 /* vfmadd213ph */, X86::VFMADD213PHZ128mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 11410 /* vfmadd213ph */, X86::VFMADD213PHZ256mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 11410 /* vfmadd213ph */, X86::VFMADD213PHZrbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 11410 /* vfmadd213ph */, X86::VFMADD213PHZmbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 11422 /* vfmadd213ps */, X86::VFMADD213PSr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 11422 /* vfmadd213ps */, X86::VFMADD213PSm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 11422 /* vfmadd213ps */, X86::VFMADD213PSYr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 11422 /* vfmadd213ps */, X86::VFMADD213PSYm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 11422 /* vfmadd213ps */, X86::VFMADD213PSZ128r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11422 /* vfmadd213ps */, X86::VFMADD213PSZ128m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 11422 /* vfmadd213ps */, X86::VFMADD213PSZ256r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 11422 /* vfmadd213ps */, X86::VFMADD213PSZ256m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 11422 /* vfmadd213ps */, X86::VFMADD213PSZr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11422 /* vfmadd213ps */, X86::VFMADD213PSZm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 11422 /* vfmadd213ps */, X86::VFMADD213PSZ128mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 11422 /* vfmadd213ps */, X86::VFMADD213PSZ256mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 11422 /* vfmadd213ps */, X86::VFMADD213PSZrb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 11422 /* vfmadd213ps */, X86::VFMADD213PSZmb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 11422 /* vfmadd213ps */, X86::VFMADD213PSZ128rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 11422 /* vfmadd213ps */, X86::VFMADD213PSZ128mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 11422 /* vfmadd213ps */, X86::VFMADD213PSZ256rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 11422 /* vfmadd213ps */, X86::VFMADD213PSZ256mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 11422 /* vfmadd213ps */, X86::VFMADD213PSZrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 11422 /* vfmadd213ps */, X86::VFMADD213PSZmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 11422 /* vfmadd213ps */, X86::VFMADD213PSZ128rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 11422 /* vfmadd213ps */, X86::VFMADD213PSZ128mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 11422 /* vfmadd213ps */, X86::VFMADD213PSZ128mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 11422 /* vfmadd213ps */, X86::VFMADD213PSZ256rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 11422 /* vfmadd213ps */, X86::VFMADD213PSZ256mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 11422 /* vfmadd213ps */, X86::VFMADD213PSZ256mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 11422 /* vfmadd213ps */, X86::VFMADD213PSZrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 11422 /* vfmadd213ps */, X86::VFMADD213PSZmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 11422 /* vfmadd213ps */, X86::VFMADD213PSZrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 11422 /* vfmadd213ps */, X86::VFMADD213PSZmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 11422 /* vfmadd213ps */, X86::VFMADD213PSZ128mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 11422 /* vfmadd213ps */, X86::VFMADD213PSZ256mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 11422 /* vfmadd213ps */, X86::VFMADD213PSZrbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 11422 /* vfmadd213ps */, X86::VFMADD213PSZmbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 11434 /* vfmadd213sd */, X86::VFMADD213SDr_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 11434 /* vfmadd213sd */, X86::VFMADD213SDm_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem64 }, },
{ 11434 /* vfmadd213sd */, X86::VFMADD213SDZr_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11434 /* vfmadd213sd */, X86::VFMADD213SDZm_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64 }, },
{ 11434 /* vfmadd213sd */, X86::VFMADD213SDZrb_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 11434 /* vfmadd213sd */, X86::VFMADD213SDZr_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 11434 /* vfmadd213sd */, X86::VFMADD213SDZm_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64 }, },
{ 11434 /* vfmadd213sd */, X86::VFMADD213SDZr_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 11434 /* vfmadd213sd */, X86::VFMADD213SDZm_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64 }, },
{ 11434 /* vfmadd213sd */, X86::VFMADD213SDZrb_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 11434 /* vfmadd213sd */, X86::VFMADD213SDZrb_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 11446 /* vfmadd213sh */, X86::VFMADD213SHZr_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11446 /* vfmadd213sh */, X86::VFMADD213SHZm_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem165_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem16 }, },
{ 11446 /* vfmadd213sh */, X86::VFMADD213SHZrb_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 11446 /* vfmadd213sh */, X86::VFMADD213SHZr_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 11446 /* vfmadd213sh */, X86::VFMADD213SHZm_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem16 }, },
{ 11446 /* vfmadd213sh */, X86::VFMADD213SHZr_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 11446 /* vfmadd213sh */, X86::VFMADD213SHZm_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem16 }, },
{ 11446 /* vfmadd213sh */, X86::VFMADD213SHZrb_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 11446 /* vfmadd213sh */, X86::VFMADD213SHZrb_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 11458 /* vfmadd213ss */, X86::VFMADD213SSr_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 11458 /* vfmadd213ss */, X86::VFMADD213SSm_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem32 }, },
{ 11458 /* vfmadd213ss */, X86::VFMADD213SSZr_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11458 /* vfmadd213ss */, X86::VFMADD213SSZm_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32 }, },
{ 11458 /* vfmadd213ss */, X86::VFMADD213SSZrb_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 11458 /* vfmadd213ss */, X86::VFMADD213SSZr_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 11458 /* vfmadd213ss */, X86::VFMADD213SSZm_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32 }, },
{ 11458 /* vfmadd213ss */, X86::VFMADD213SSZr_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 11458 /* vfmadd213ss */, X86::VFMADD213SSZm_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32 }, },
{ 11458 /* vfmadd213ss */, X86::VFMADD213SSZrb_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 11458 /* vfmadd213ss */, X86::VFMADD213SSZrb_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 11470 /* vfmadd231pd */, X86::VFMADD231PDr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 11470 /* vfmadd231pd */, X86::VFMADD231PDm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 11470 /* vfmadd231pd */, X86::VFMADD231PDYr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 11470 /* vfmadd231pd */, X86::VFMADD231PDYm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 11470 /* vfmadd231pd */, X86::VFMADD231PDZ128r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11470 /* vfmadd231pd */, X86::VFMADD231PDZ128m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 11470 /* vfmadd231pd */, X86::VFMADD231PDZ256r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 11470 /* vfmadd231pd */, X86::VFMADD231PDZ256m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 11470 /* vfmadd231pd */, X86::VFMADD231PDZr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11470 /* vfmadd231pd */, X86::VFMADD231PDZm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 11470 /* vfmadd231pd */, X86::VFMADD231PDZ128mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 11470 /* vfmadd231pd */, X86::VFMADD231PDZ256mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 11470 /* vfmadd231pd */, X86::VFMADD231PDZrb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 11470 /* vfmadd231pd */, X86::VFMADD231PDZmb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 11470 /* vfmadd231pd */, X86::VFMADD231PDZ128rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 11470 /* vfmadd231pd */, X86::VFMADD231PDZ128mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 11470 /* vfmadd231pd */, X86::VFMADD231PDZ256rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 11470 /* vfmadd231pd */, X86::VFMADD231PDZ256mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 11470 /* vfmadd231pd */, X86::VFMADD231PDZrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 11470 /* vfmadd231pd */, X86::VFMADD231PDZmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 11470 /* vfmadd231pd */, X86::VFMADD231PDZ128rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 11470 /* vfmadd231pd */, X86::VFMADD231PDZ128mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 11470 /* vfmadd231pd */, X86::VFMADD231PDZ128mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 11470 /* vfmadd231pd */, X86::VFMADD231PDZ256rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 11470 /* vfmadd231pd */, X86::VFMADD231PDZ256mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 11470 /* vfmadd231pd */, X86::VFMADD231PDZ256mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 11470 /* vfmadd231pd */, X86::VFMADD231PDZrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 11470 /* vfmadd231pd */, X86::VFMADD231PDZmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 11470 /* vfmadd231pd */, X86::VFMADD231PDZrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 11470 /* vfmadd231pd */, X86::VFMADD231PDZmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 11470 /* vfmadd231pd */, X86::VFMADD231PDZ128mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 11470 /* vfmadd231pd */, X86::VFMADD231PDZ256mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 11470 /* vfmadd231pd */, X86::VFMADD231PDZrbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 11470 /* vfmadd231pd */, X86::VFMADD231PDZmbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 11482 /* vfmadd231ph */, X86::VFMADD231PHZ128r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11482 /* vfmadd231ph */, X86::VFMADD231PHZ128m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 11482 /* vfmadd231ph */, X86::VFMADD231PHZ256r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 11482 /* vfmadd231ph */, X86::VFMADD231PHZ256m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 11482 /* vfmadd231ph */, X86::VFMADD231PHZr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11482 /* vfmadd231ph */, X86::VFMADD231PHZm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 11482 /* vfmadd231ph */, X86::VFMADD231PHZ128mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem165_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 11482 /* vfmadd231ph */, X86::VFMADD231PHZ256mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem165_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 11482 /* vfmadd231ph */, X86::VFMADD231PHZrb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 11482 /* vfmadd231ph */, X86::VFMADD231PHZmb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem165_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 11482 /* vfmadd231ph */, X86::VFMADD231PHZ128rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 11482 /* vfmadd231ph */, X86::VFMADD231PHZ128mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 11482 /* vfmadd231ph */, X86::VFMADD231PHZ256rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 11482 /* vfmadd231ph */, X86::VFMADD231PHZ256mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 11482 /* vfmadd231ph */, X86::VFMADD231PHZrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 11482 /* vfmadd231ph */, X86::VFMADD231PHZmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 11482 /* vfmadd231ph */, X86::VFMADD231PHZ128rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 11482 /* vfmadd231ph */, X86::VFMADD231PHZ128mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 11482 /* vfmadd231ph */, X86::VFMADD231PHZ128mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 11482 /* vfmadd231ph */, X86::VFMADD231PHZ256rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 11482 /* vfmadd231ph */, X86::VFMADD231PHZ256mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 11482 /* vfmadd231ph */, X86::VFMADD231PHZ256mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 11482 /* vfmadd231ph */, X86::VFMADD231PHZrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 11482 /* vfmadd231ph */, X86::VFMADD231PHZmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 11482 /* vfmadd231ph */, X86::VFMADD231PHZrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 11482 /* vfmadd231ph */, X86::VFMADD231PHZmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 11482 /* vfmadd231ph */, X86::VFMADD231PHZ128mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 11482 /* vfmadd231ph */, X86::VFMADD231PHZ256mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 11482 /* vfmadd231ph */, X86::VFMADD231PHZrbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 11482 /* vfmadd231ph */, X86::VFMADD231PHZmbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 11494 /* vfmadd231ps */, X86::VFMADD231PSr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 11494 /* vfmadd231ps */, X86::VFMADD231PSm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 11494 /* vfmadd231ps */, X86::VFMADD231PSYr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 11494 /* vfmadd231ps */, X86::VFMADD231PSYm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 11494 /* vfmadd231ps */, X86::VFMADD231PSZ128r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11494 /* vfmadd231ps */, X86::VFMADD231PSZ128m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 11494 /* vfmadd231ps */, X86::VFMADD231PSZ256r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 11494 /* vfmadd231ps */, X86::VFMADD231PSZ256m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 11494 /* vfmadd231ps */, X86::VFMADD231PSZr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11494 /* vfmadd231ps */, X86::VFMADD231PSZm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 11494 /* vfmadd231ps */, X86::VFMADD231PSZ128mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 11494 /* vfmadd231ps */, X86::VFMADD231PSZ256mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 11494 /* vfmadd231ps */, X86::VFMADD231PSZrb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 11494 /* vfmadd231ps */, X86::VFMADD231PSZmb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 11494 /* vfmadd231ps */, X86::VFMADD231PSZ128rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 11494 /* vfmadd231ps */, X86::VFMADD231PSZ128mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 11494 /* vfmadd231ps */, X86::VFMADD231PSZ256rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 11494 /* vfmadd231ps */, X86::VFMADD231PSZ256mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 11494 /* vfmadd231ps */, X86::VFMADD231PSZrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 11494 /* vfmadd231ps */, X86::VFMADD231PSZmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 11494 /* vfmadd231ps */, X86::VFMADD231PSZ128rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 11494 /* vfmadd231ps */, X86::VFMADD231PSZ128mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 11494 /* vfmadd231ps */, X86::VFMADD231PSZ128mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 11494 /* vfmadd231ps */, X86::VFMADD231PSZ256rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 11494 /* vfmadd231ps */, X86::VFMADD231PSZ256mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 11494 /* vfmadd231ps */, X86::VFMADD231PSZ256mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 11494 /* vfmadd231ps */, X86::VFMADD231PSZrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 11494 /* vfmadd231ps */, X86::VFMADD231PSZmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 11494 /* vfmadd231ps */, X86::VFMADD231PSZrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 11494 /* vfmadd231ps */, X86::VFMADD231PSZmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 11494 /* vfmadd231ps */, X86::VFMADD231PSZ128mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 11494 /* vfmadd231ps */, X86::VFMADD231PSZ256mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 11494 /* vfmadd231ps */, X86::VFMADD231PSZrbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 11494 /* vfmadd231ps */, X86::VFMADD231PSZmbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 11506 /* vfmadd231sd */, X86::VFMADD231SDr_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 11506 /* vfmadd231sd */, X86::VFMADD231SDm_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem64 }, },
{ 11506 /* vfmadd231sd */, X86::VFMADD231SDZr_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11506 /* vfmadd231sd */, X86::VFMADD231SDZm_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64 }, },
{ 11506 /* vfmadd231sd */, X86::VFMADD231SDZrb_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 11506 /* vfmadd231sd */, X86::VFMADD231SDZr_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 11506 /* vfmadd231sd */, X86::VFMADD231SDZm_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64 }, },
{ 11506 /* vfmadd231sd */, X86::VFMADD231SDZr_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 11506 /* vfmadd231sd */, X86::VFMADD231SDZm_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64 }, },
{ 11506 /* vfmadd231sd */, X86::VFMADD231SDZrb_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 11506 /* vfmadd231sd */, X86::VFMADD231SDZrb_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 11518 /* vfmadd231sh */, X86::VFMADD231SHZr_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11518 /* vfmadd231sh */, X86::VFMADD231SHZm_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem165_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem16 }, },
{ 11518 /* vfmadd231sh */, X86::VFMADD231SHZrb_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 11518 /* vfmadd231sh */, X86::VFMADD231SHZr_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 11518 /* vfmadd231sh */, X86::VFMADD231SHZm_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem16 }, },
{ 11518 /* vfmadd231sh */, X86::VFMADD231SHZr_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 11518 /* vfmadd231sh */, X86::VFMADD231SHZm_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem16 }, },
{ 11518 /* vfmadd231sh */, X86::VFMADD231SHZrb_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 11518 /* vfmadd231sh */, X86::VFMADD231SHZrb_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 11530 /* vfmadd231ss */, X86::VFMADD231SSr_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 11530 /* vfmadd231ss */, X86::VFMADD231SSm_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem32 }, },
{ 11530 /* vfmadd231ss */, X86::VFMADD231SSZr_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11530 /* vfmadd231ss */, X86::VFMADD231SSZm_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32 }, },
{ 11530 /* vfmadd231ss */, X86::VFMADD231SSZrb_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 11530 /* vfmadd231ss */, X86::VFMADD231SSZr_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 11530 /* vfmadd231ss */, X86::VFMADD231SSZm_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32 }, },
{ 11530 /* vfmadd231ss */, X86::VFMADD231SSZr_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 11530 /* vfmadd231ss */, X86::VFMADD231SSZm_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32 }, },
{ 11530 /* vfmadd231ss */, X86::VFMADD231SSZrb_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 11530 /* vfmadd231ss */, X86::VFMADD231SSZrb_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 11542 /* vfmaddcph */, X86::VFMADDCPHZ128r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11542 /* vfmaddcph */, X86::VFMADDCPHZ128m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 11542 /* vfmaddcph */, X86::VFMADDCPHZ256r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 11542 /* vfmaddcph */, X86::VFMADDCPHZ256m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 11542 /* vfmaddcph */, X86::VFMADDCPHZr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11542 /* vfmaddcph */, X86::VFMADDCPHZm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 11542 /* vfmaddcph */, X86::VFMADDCPHZ128mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 11542 /* vfmaddcph */, X86::VFMADDCPHZ256mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 11542 /* vfmaddcph */, X86::VFMADDCPHZrb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 11542 /* vfmaddcph */, X86::VFMADDCPHZmb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 11542 /* vfmaddcph */, X86::VFMADDCPHZ128rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 11542 /* vfmaddcph */, X86::VFMADDCPHZ128mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 11542 /* vfmaddcph */, X86::VFMADDCPHZ256rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 11542 /* vfmaddcph */, X86::VFMADDCPHZ256mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 11542 /* vfmaddcph */, X86::VFMADDCPHZrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 11542 /* vfmaddcph */, X86::VFMADDCPHZmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 11542 /* vfmaddcph */, X86::VFMADDCPHZ128rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 11542 /* vfmaddcph */, X86::VFMADDCPHZ128mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 11542 /* vfmaddcph */, X86::VFMADDCPHZ128mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 11542 /* vfmaddcph */, X86::VFMADDCPHZ256rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 11542 /* vfmaddcph */, X86::VFMADDCPHZ256mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 11542 /* vfmaddcph */, X86::VFMADDCPHZ256mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 11542 /* vfmaddcph */, X86::VFMADDCPHZrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 11542 /* vfmaddcph */, X86::VFMADDCPHZmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 11542 /* vfmaddcph */, X86::VFMADDCPHZrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 11542 /* vfmaddcph */, X86::VFMADDCPHZmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 11542 /* vfmaddcph */, X86::VFMADDCPHZ128mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 11542 /* vfmaddcph */, X86::VFMADDCPHZ256mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 11542 /* vfmaddcph */, X86::VFMADDCPHZrbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 11542 /* vfmaddcph */, X86::VFMADDCPHZmbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 11552 /* vfmaddcsh */, X86::VFMADDCSHZr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11552 /* vfmaddcsh */, X86::VFMADDCSHZm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32 }, },
{ 11552 /* vfmaddcsh */, X86::VFMADDCSHZrb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 11552 /* vfmaddcsh */, X86::VFMADDCSHZrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 11552 /* vfmaddcsh */, X86::VFMADDCSHZmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32 }, },
{ 11552 /* vfmaddcsh */, X86::VFMADDCSHZrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 11552 /* vfmaddcsh */, X86::VFMADDCSHZmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32 }, },
{ 11552 /* vfmaddcsh */, X86::VFMADDCSHZrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 11552 /* vfmaddcsh */, X86::VFMADDCSHZrbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 11562 /* vfmaddpd */, X86::VFMADDPD4rr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 11562 /* vfmaddpd */, X86::VFMADDPD4rm, Convert__Reg1_0__Reg1_1__Reg1_2__Mem1285_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 11562 /* vfmaddpd */, X86::VFMADDPD4mr, Convert__Reg1_0__Reg1_1__Mem1285_2__Reg1_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128, MCK_FR16 }, },
{ 11562 /* vfmaddpd */, X86::VFMADDPD4Yrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 11562 /* vfmaddpd */, X86::VFMADDPD4Yrm, Convert__Reg1_0__Reg1_1__Reg1_2__Mem2565_3, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 11562 /* vfmaddpd */, X86::VFMADDPD4Ymr, Convert__Reg1_0__Reg1_1__Mem2565_2__Reg1_3, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256, MCK_VR256 }, },
{ 11571 /* vfmaddps */, X86::VFMADDPS4rr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 11571 /* vfmaddps */, X86::VFMADDPS4rm, Convert__Reg1_0__Reg1_1__Reg1_2__Mem1285_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 11571 /* vfmaddps */, X86::VFMADDPS4mr, Convert__Reg1_0__Reg1_1__Mem1285_2__Reg1_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128, MCK_FR16 }, },
{ 11571 /* vfmaddps */, X86::VFMADDPS4Yrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 11571 /* vfmaddps */, X86::VFMADDPS4Yrm, Convert__Reg1_0__Reg1_1__Reg1_2__Mem2565_3, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 11571 /* vfmaddps */, X86::VFMADDPS4Ymr, Convert__Reg1_0__Reg1_1__Mem2565_2__Reg1_3, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256, MCK_VR256 }, },
{ 11580 /* vfmaddsd */, X86::VFMADDSD4rr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 11580 /* vfmaddsd */, X86::VFMADDSD4rm, Convert__Reg1_0__Reg1_1__Reg1_2__Mem645_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_Mem64 }, },
{ 11580 /* vfmaddsd */, X86::VFMADDSD4mr, Convert__Reg1_0__Reg1_1__Mem645_2__Reg1_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem64, MCK_FR16 }, },
{ 11589 /* vfmaddss */, X86::VFMADDSS4rr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 11589 /* vfmaddss */, X86::VFMADDSS4rm, Convert__Reg1_0__Reg1_1__Reg1_2__Mem325_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_Mem32 }, },
{ 11589 /* vfmaddss */, X86::VFMADDSS4mr, Convert__Reg1_0__Reg1_1__Mem325_2__Reg1_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem32, MCK_FR16 }, },
{ 11598 /* vfmaddsub132pd */, X86::VFMADDSUB132PDr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 11598 /* vfmaddsub132pd */, X86::VFMADDSUB132PDm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 11598 /* vfmaddsub132pd */, X86::VFMADDSUB132PDYr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 11598 /* vfmaddsub132pd */, X86::VFMADDSUB132PDYm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 11598 /* vfmaddsub132pd */, X86::VFMADDSUB132PDZ128r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11598 /* vfmaddsub132pd */, X86::VFMADDSUB132PDZ128m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 11598 /* vfmaddsub132pd */, X86::VFMADDSUB132PDZ256r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 11598 /* vfmaddsub132pd */, X86::VFMADDSUB132PDZ256m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 11598 /* vfmaddsub132pd */, X86::VFMADDSUB132PDZr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11598 /* vfmaddsub132pd */, X86::VFMADDSUB132PDZm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 11598 /* vfmaddsub132pd */, X86::VFMADDSUB132PDZ128mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 11598 /* vfmaddsub132pd */, X86::VFMADDSUB132PDZ256mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 11598 /* vfmaddsub132pd */, X86::VFMADDSUB132PDZrb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 11598 /* vfmaddsub132pd */, X86::VFMADDSUB132PDZmb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 11598 /* vfmaddsub132pd */, X86::VFMADDSUB132PDZ128rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 11598 /* vfmaddsub132pd */, X86::VFMADDSUB132PDZ128mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 11598 /* vfmaddsub132pd */, X86::VFMADDSUB132PDZ256rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 11598 /* vfmaddsub132pd */, X86::VFMADDSUB132PDZ256mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 11598 /* vfmaddsub132pd */, X86::VFMADDSUB132PDZrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 11598 /* vfmaddsub132pd */, X86::VFMADDSUB132PDZmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 11598 /* vfmaddsub132pd */, X86::VFMADDSUB132PDZ128rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 11598 /* vfmaddsub132pd */, X86::VFMADDSUB132PDZ128mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 11598 /* vfmaddsub132pd */, X86::VFMADDSUB132PDZ128mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 11598 /* vfmaddsub132pd */, X86::VFMADDSUB132PDZ256rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 11598 /* vfmaddsub132pd */, X86::VFMADDSUB132PDZ256mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 11598 /* vfmaddsub132pd */, X86::VFMADDSUB132PDZ256mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 11598 /* vfmaddsub132pd */, X86::VFMADDSUB132PDZrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 11598 /* vfmaddsub132pd */, X86::VFMADDSUB132PDZmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 11598 /* vfmaddsub132pd */, X86::VFMADDSUB132PDZrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 11598 /* vfmaddsub132pd */, X86::VFMADDSUB132PDZmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 11598 /* vfmaddsub132pd */, X86::VFMADDSUB132PDZ128mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 11598 /* vfmaddsub132pd */, X86::VFMADDSUB132PDZ256mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 11598 /* vfmaddsub132pd */, X86::VFMADDSUB132PDZrbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 11598 /* vfmaddsub132pd */, X86::VFMADDSUB132PDZmbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 11613 /* vfmaddsub132ph */, X86::VFMADDSUB132PHZ128r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11613 /* vfmaddsub132ph */, X86::VFMADDSUB132PHZ128m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 11613 /* vfmaddsub132ph */, X86::VFMADDSUB132PHZ256r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 11613 /* vfmaddsub132ph */, X86::VFMADDSUB132PHZ256m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 11613 /* vfmaddsub132ph */, X86::VFMADDSUB132PHZr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11613 /* vfmaddsub132ph */, X86::VFMADDSUB132PHZm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 11613 /* vfmaddsub132ph */, X86::VFMADDSUB132PHZ128mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem165_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 11613 /* vfmaddsub132ph */, X86::VFMADDSUB132PHZ256mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem165_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 11613 /* vfmaddsub132ph */, X86::VFMADDSUB132PHZrb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 11613 /* vfmaddsub132ph */, X86::VFMADDSUB132PHZmb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem165_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 11613 /* vfmaddsub132ph */, X86::VFMADDSUB132PHZ128rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 11613 /* vfmaddsub132ph */, X86::VFMADDSUB132PHZ128mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 11613 /* vfmaddsub132ph */, X86::VFMADDSUB132PHZ256rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 11613 /* vfmaddsub132ph */, X86::VFMADDSUB132PHZ256mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 11613 /* vfmaddsub132ph */, X86::VFMADDSUB132PHZrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 11613 /* vfmaddsub132ph */, X86::VFMADDSUB132PHZmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 11613 /* vfmaddsub132ph */, X86::VFMADDSUB132PHZ128rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 11613 /* vfmaddsub132ph */, X86::VFMADDSUB132PHZ128mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 11613 /* vfmaddsub132ph */, X86::VFMADDSUB132PHZ128mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 11613 /* vfmaddsub132ph */, X86::VFMADDSUB132PHZ256rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 11613 /* vfmaddsub132ph */, X86::VFMADDSUB132PHZ256mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 11613 /* vfmaddsub132ph */, X86::VFMADDSUB132PHZ256mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 11613 /* vfmaddsub132ph */, X86::VFMADDSUB132PHZrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 11613 /* vfmaddsub132ph */, X86::VFMADDSUB132PHZmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 11613 /* vfmaddsub132ph */, X86::VFMADDSUB132PHZrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 11613 /* vfmaddsub132ph */, X86::VFMADDSUB132PHZmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 11613 /* vfmaddsub132ph */, X86::VFMADDSUB132PHZ128mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 11613 /* vfmaddsub132ph */, X86::VFMADDSUB132PHZ256mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 11613 /* vfmaddsub132ph */, X86::VFMADDSUB132PHZrbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 11613 /* vfmaddsub132ph */, X86::VFMADDSUB132PHZmbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 11628 /* vfmaddsub132ps */, X86::VFMADDSUB132PSr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 11628 /* vfmaddsub132ps */, X86::VFMADDSUB132PSm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 11628 /* vfmaddsub132ps */, X86::VFMADDSUB132PSYr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 11628 /* vfmaddsub132ps */, X86::VFMADDSUB132PSYm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 11628 /* vfmaddsub132ps */, X86::VFMADDSUB132PSZ128r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11628 /* vfmaddsub132ps */, X86::VFMADDSUB132PSZ128m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 11628 /* vfmaddsub132ps */, X86::VFMADDSUB132PSZ256r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 11628 /* vfmaddsub132ps */, X86::VFMADDSUB132PSZ256m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 11628 /* vfmaddsub132ps */, X86::VFMADDSUB132PSZr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11628 /* vfmaddsub132ps */, X86::VFMADDSUB132PSZm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 11628 /* vfmaddsub132ps */, X86::VFMADDSUB132PSZ128mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 11628 /* vfmaddsub132ps */, X86::VFMADDSUB132PSZ256mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 11628 /* vfmaddsub132ps */, X86::VFMADDSUB132PSZrb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 11628 /* vfmaddsub132ps */, X86::VFMADDSUB132PSZmb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 11628 /* vfmaddsub132ps */, X86::VFMADDSUB132PSZ128rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 11628 /* vfmaddsub132ps */, X86::VFMADDSUB132PSZ128mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 11628 /* vfmaddsub132ps */, X86::VFMADDSUB132PSZ256rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 11628 /* vfmaddsub132ps */, X86::VFMADDSUB132PSZ256mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 11628 /* vfmaddsub132ps */, X86::VFMADDSUB132PSZrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 11628 /* vfmaddsub132ps */, X86::VFMADDSUB132PSZmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 11628 /* vfmaddsub132ps */, X86::VFMADDSUB132PSZ128rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 11628 /* vfmaddsub132ps */, X86::VFMADDSUB132PSZ128mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 11628 /* vfmaddsub132ps */, X86::VFMADDSUB132PSZ128mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 11628 /* vfmaddsub132ps */, X86::VFMADDSUB132PSZ256rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 11628 /* vfmaddsub132ps */, X86::VFMADDSUB132PSZ256mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 11628 /* vfmaddsub132ps */, X86::VFMADDSUB132PSZ256mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 11628 /* vfmaddsub132ps */, X86::VFMADDSUB132PSZrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 11628 /* vfmaddsub132ps */, X86::VFMADDSUB132PSZmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 11628 /* vfmaddsub132ps */, X86::VFMADDSUB132PSZrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 11628 /* vfmaddsub132ps */, X86::VFMADDSUB132PSZmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 11628 /* vfmaddsub132ps */, X86::VFMADDSUB132PSZ128mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 11628 /* vfmaddsub132ps */, X86::VFMADDSUB132PSZ256mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 11628 /* vfmaddsub132ps */, X86::VFMADDSUB132PSZrbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 11628 /* vfmaddsub132ps */, X86::VFMADDSUB132PSZmbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 11643 /* vfmaddsub213pd */, X86::VFMADDSUB213PDr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 11643 /* vfmaddsub213pd */, X86::VFMADDSUB213PDm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 11643 /* vfmaddsub213pd */, X86::VFMADDSUB213PDYr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 11643 /* vfmaddsub213pd */, X86::VFMADDSUB213PDYm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 11643 /* vfmaddsub213pd */, X86::VFMADDSUB213PDZ128r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11643 /* vfmaddsub213pd */, X86::VFMADDSUB213PDZ128m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 11643 /* vfmaddsub213pd */, X86::VFMADDSUB213PDZ256r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 11643 /* vfmaddsub213pd */, X86::VFMADDSUB213PDZ256m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 11643 /* vfmaddsub213pd */, X86::VFMADDSUB213PDZr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11643 /* vfmaddsub213pd */, X86::VFMADDSUB213PDZm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 11643 /* vfmaddsub213pd */, X86::VFMADDSUB213PDZ128mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 11643 /* vfmaddsub213pd */, X86::VFMADDSUB213PDZ256mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 11643 /* vfmaddsub213pd */, X86::VFMADDSUB213PDZrb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 11643 /* vfmaddsub213pd */, X86::VFMADDSUB213PDZmb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 11643 /* vfmaddsub213pd */, X86::VFMADDSUB213PDZ128rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 11643 /* vfmaddsub213pd */, X86::VFMADDSUB213PDZ128mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 11643 /* vfmaddsub213pd */, X86::VFMADDSUB213PDZ256rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 11643 /* vfmaddsub213pd */, X86::VFMADDSUB213PDZ256mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 11643 /* vfmaddsub213pd */, X86::VFMADDSUB213PDZrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 11643 /* vfmaddsub213pd */, X86::VFMADDSUB213PDZmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 11643 /* vfmaddsub213pd */, X86::VFMADDSUB213PDZ128rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 11643 /* vfmaddsub213pd */, X86::VFMADDSUB213PDZ128mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 11643 /* vfmaddsub213pd */, X86::VFMADDSUB213PDZ128mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 11643 /* vfmaddsub213pd */, X86::VFMADDSUB213PDZ256rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 11643 /* vfmaddsub213pd */, X86::VFMADDSUB213PDZ256mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 11643 /* vfmaddsub213pd */, X86::VFMADDSUB213PDZ256mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 11643 /* vfmaddsub213pd */, X86::VFMADDSUB213PDZrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 11643 /* vfmaddsub213pd */, X86::VFMADDSUB213PDZmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 11643 /* vfmaddsub213pd */, X86::VFMADDSUB213PDZrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 11643 /* vfmaddsub213pd */, X86::VFMADDSUB213PDZmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 11643 /* vfmaddsub213pd */, X86::VFMADDSUB213PDZ128mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 11643 /* vfmaddsub213pd */, X86::VFMADDSUB213PDZ256mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 11643 /* vfmaddsub213pd */, X86::VFMADDSUB213PDZrbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 11643 /* vfmaddsub213pd */, X86::VFMADDSUB213PDZmbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 11658 /* vfmaddsub213ph */, X86::VFMADDSUB213PHZ128r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11658 /* vfmaddsub213ph */, X86::VFMADDSUB213PHZ128m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 11658 /* vfmaddsub213ph */, X86::VFMADDSUB213PHZ256r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 11658 /* vfmaddsub213ph */, X86::VFMADDSUB213PHZ256m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 11658 /* vfmaddsub213ph */, X86::VFMADDSUB213PHZr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11658 /* vfmaddsub213ph */, X86::VFMADDSUB213PHZm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 11658 /* vfmaddsub213ph */, X86::VFMADDSUB213PHZ128mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem165_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 11658 /* vfmaddsub213ph */, X86::VFMADDSUB213PHZ256mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem165_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 11658 /* vfmaddsub213ph */, X86::VFMADDSUB213PHZrb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 11658 /* vfmaddsub213ph */, X86::VFMADDSUB213PHZmb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem165_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 11658 /* vfmaddsub213ph */, X86::VFMADDSUB213PHZ128rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 11658 /* vfmaddsub213ph */, X86::VFMADDSUB213PHZ128mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 11658 /* vfmaddsub213ph */, X86::VFMADDSUB213PHZ256rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 11658 /* vfmaddsub213ph */, X86::VFMADDSUB213PHZ256mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 11658 /* vfmaddsub213ph */, X86::VFMADDSUB213PHZrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 11658 /* vfmaddsub213ph */, X86::VFMADDSUB213PHZmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 11658 /* vfmaddsub213ph */, X86::VFMADDSUB213PHZ128rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 11658 /* vfmaddsub213ph */, X86::VFMADDSUB213PHZ128mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 11658 /* vfmaddsub213ph */, X86::VFMADDSUB213PHZ128mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 11658 /* vfmaddsub213ph */, X86::VFMADDSUB213PHZ256rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 11658 /* vfmaddsub213ph */, X86::VFMADDSUB213PHZ256mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 11658 /* vfmaddsub213ph */, X86::VFMADDSUB213PHZ256mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 11658 /* vfmaddsub213ph */, X86::VFMADDSUB213PHZrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 11658 /* vfmaddsub213ph */, X86::VFMADDSUB213PHZmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 11658 /* vfmaddsub213ph */, X86::VFMADDSUB213PHZrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 11658 /* vfmaddsub213ph */, X86::VFMADDSUB213PHZmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 11658 /* vfmaddsub213ph */, X86::VFMADDSUB213PHZ128mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 11658 /* vfmaddsub213ph */, X86::VFMADDSUB213PHZ256mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 11658 /* vfmaddsub213ph */, X86::VFMADDSUB213PHZrbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 11658 /* vfmaddsub213ph */, X86::VFMADDSUB213PHZmbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 11673 /* vfmaddsub213ps */, X86::VFMADDSUB213PSr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 11673 /* vfmaddsub213ps */, X86::VFMADDSUB213PSm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 11673 /* vfmaddsub213ps */, X86::VFMADDSUB213PSYr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 11673 /* vfmaddsub213ps */, X86::VFMADDSUB213PSYm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 11673 /* vfmaddsub213ps */, X86::VFMADDSUB213PSZ128r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11673 /* vfmaddsub213ps */, X86::VFMADDSUB213PSZ128m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 11673 /* vfmaddsub213ps */, X86::VFMADDSUB213PSZ256r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 11673 /* vfmaddsub213ps */, X86::VFMADDSUB213PSZ256m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 11673 /* vfmaddsub213ps */, X86::VFMADDSUB213PSZr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11673 /* vfmaddsub213ps */, X86::VFMADDSUB213PSZm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 11673 /* vfmaddsub213ps */, X86::VFMADDSUB213PSZ128mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 11673 /* vfmaddsub213ps */, X86::VFMADDSUB213PSZ256mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 11673 /* vfmaddsub213ps */, X86::VFMADDSUB213PSZrb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 11673 /* vfmaddsub213ps */, X86::VFMADDSUB213PSZmb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 11673 /* vfmaddsub213ps */, X86::VFMADDSUB213PSZ128rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 11673 /* vfmaddsub213ps */, X86::VFMADDSUB213PSZ128mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 11673 /* vfmaddsub213ps */, X86::VFMADDSUB213PSZ256rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 11673 /* vfmaddsub213ps */, X86::VFMADDSUB213PSZ256mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 11673 /* vfmaddsub213ps */, X86::VFMADDSUB213PSZrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 11673 /* vfmaddsub213ps */, X86::VFMADDSUB213PSZmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 11673 /* vfmaddsub213ps */, X86::VFMADDSUB213PSZ128rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 11673 /* vfmaddsub213ps */, X86::VFMADDSUB213PSZ128mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 11673 /* vfmaddsub213ps */, X86::VFMADDSUB213PSZ128mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 11673 /* vfmaddsub213ps */, X86::VFMADDSUB213PSZ256rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 11673 /* vfmaddsub213ps */, X86::VFMADDSUB213PSZ256mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 11673 /* vfmaddsub213ps */, X86::VFMADDSUB213PSZ256mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 11673 /* vfmaddsub213ps */, X86::VFMADDSUB213PSZrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 11673 /* vfmaddsub213ps */, X86::VFMADDSUB213PSZmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 11673 /* vfmaddsub213ps */, X86::VFMADDSUB213PSZrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 11673 /* vfmaddsub213ps */, X86::VFMADDSUB213PSZmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 11673 /* vfmaddsub213ps */, X86::VFMADDSUB213PSZ128mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 11673 /* vfmaddsub213ps */, X86::VFMADDSUB213PSZ256mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 11673 /* vfmaddsub213ps */, X86::VFMADDSUB213PSZrbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 11673 /* vfmaddsub213ps */, X86::VFMADDSUB213PSZmbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 11688 /* vfmaddsub231pd */, X86::VFMADDSUB231PDr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 11688 /* vfmaddsub231pd */, X86::VFMADDSUB231PDm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 11688 /* vfmaddsub231pd */, X86::VFMADDSUB231PDYr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 11688 /* vfmaddsub231pd */, X86::VFMADDSUB231PDYm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 11688 /* vfmaddsub231pd */, X86::VFMADDSUB231PDZ128r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11688 /* vfmaddsub231pd */, X86::VFMADDSUB231PDZ128m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 11688 /* vfmaddsub231pd */, X86::VFMADDSUB231PDZ256r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 11688 /* vfmaddsub231pd */, X86::VFMADDSUB231PDZ256m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 11688 /* vfmaddsub231pd */, X86::VFMADDSUB231PDZr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11688 /* vfmaddsub231pd */, X86::VFMADDSUB231PDZm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 11688 /* vfmaddsub231pd */, X86::VFMADDSUB231PDZ128mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 11688 /* vfmaddsub231pd */, X86::VFMADDSUB231PDZ256mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 11688 /* vfmaddsub231pd */, X86::VFMADDSUB231PDZrb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 11688 /* vfmaddsub231pd */, X86::VFMADDSUB231PDZmb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 11688 /* vfmaddsub231pd */, X86::VFMADDSUB231PDZ128rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 11688 /* vfmaddsub231pd */, X86::VFMADDSUB231PDZ128mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 11688 /* vfmaddsub231pd */, X86::VFMADDSUB231PDZ256rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 11688 /* vfmaddsub231pd */, X86::VFMADDSUB231PDZ256mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 11688 /* vfmaddsub231pd */, X86::VFMADDSUB231PDZrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 11688 /* vfmaddsub231pd */, X86::VFMADDSUB231PDZmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 11688 /* vfmaddsub231pd */, X86::VFMADDSUB231PDZ128rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 11688 /* vfmaddsub231pd */, X86::VFMADDSUB231PDZ128mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 11688 /* vfmaddsub231pd */, X86::VFMADDSUB231PDZ128mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 11688 /* vfmaddsub231pd */, X86::VFMADDSUB231PDZ256rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 11688 /* vfmaddsub231pd */, X86::VFMADDSUB231PDZ256mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 11688 /* vfmaddsub231pd */, X86::VFMADDSUB231PDZ256mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 11688 /* vfmaddsub231pd */, X86::VFMADDSUB231PDZrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 11688 /* vfmaddsub231pd */, X86::VFMADDSUB231PDZmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 11688 /* vfmaddsub231pd */, X86::VFMADDSUB231PDZrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 11688 /* vfmaddsub231pd */, X86::VFMADDSUB231PDZmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 11688 /* vfmaddsub231pd */, X86::VFMADDSUB231PDZ128mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 11688 /* vfmaddsub231pd */, X86::VFMADDSUB231PDZ256mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 11688 /* vfmaddsub231pd */, X86::VFMADDSUB231PDZrbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 11688 /* vfmaddsub231pd */, X86::VFMADDSUB231PDZmbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 11703 /* vfmaddsub231ph */, X86::VFMADDSUB231PHZ128r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11703 /* vfmaddsub231ph */, X86::VFMADDSUB231PHZ128m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 11703 /* vfmaddsub231ph */, X86::VFMADDSUB231PHZ256r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 11703 /* vfmaddsub231ph */, X86::VFMADDSUB231PHZ256m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 11703 /* vfmaddsub231ph */, X86::VFMADDSUB231PHZr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11703 /* vfmaddsub231ph */, X86::VFMADDSUB231PHZm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 11703 /* vfmaddsub231ph */, X86::VFMADDSUB231PHZ128mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem165_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 11703 /* vfmaddsub231ph */, X86::VFMADDSUB231PHZ256mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem165_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 11703 /* vfmaddsub231ph */, X86::VFMADDSUB231PHZrb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 11703 /* vfmaddsub231ph */, X86::VFMADDSUB231PHZmb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem165_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 11703 /* vfmaddsub231ph */, X86::VFMADDSUB231PHZ128rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 11703 /* vfmaddsub231ph */, X86::VFMADDSUB231PHZ128mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 11703 /* vfmaddsub231ph */, X86::VFMADDSUB231PHZ256rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 11703 /* vfmaddsub231ph */, X86::VFMADDSUB231PHZ256mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 11703 /* vfmaddsub231ph */, X86::VFMADDSUB231PHZrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 11703 /* vfmaddsub231ph */, X86::VFMADDSUB231PHZmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 11703 /* vfmaddsub231ph */, X86::VFMADDSUB231PHZ128rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 11703 /* vfmaddsub231ph */, X86::VFMADDSUB231PHZ128mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 11703 /* vfmaddsub231ph */, X86::VFMADDSUB231PHZ128mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 11703 /* vfmaddsub231ph */, X86::VFMADDSUB231PHZ256rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 11703 /* vfmaddsub231ph */, X86::VFMADDSUB231PHZ256mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 11703 /* vfmaddsub231ph */, X86::VFMADDSUB231PHZ256mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 11703 /* vfmaddsub231ph */, X86::VFMADDSUB231PHZrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 11703 /* vfmaddsub231ph */, X86::VFMADDSUB231PHZmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 11703 /* vfmaddsub231ph */, X86::VFMADDSUB231PHZrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 11703 /* vfmaddsub231ph */, X86::VFMADDSUB231PHZmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 11703 /* vfmaddsub231ph */, X86::VFMADDSUB231PHZ128mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 11703 /* vfmaddsub231ph */, X86::VFMADDSUB231PHZ256mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 11703 /* vfmaddsub231ph */, X86::VFMADDSUB231PHZrbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 11703 /* vfmaddsub231ph */, X86::VFMADDSUB231PHZmbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 11718 /* vfmaddsub231ps */, X86::VFMADDSUB231PSr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 11718 /* vfmaddsub231ps */, X86::VFMADDSUB231PSm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 11718 /* vfmaddsub231ps */, X86::VFMADDSUB231PSYr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 11718 /* vfmaddsub231ps */, X86::VFMADDSUB231PSYm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 11718 /* vfmaddsub231ps */, X86::VFMADDSUB231PSZ128r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11718 /* vfmaddsub231ps */, X86::VFMADDSUB231PSZ128m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 11718 /* vfmaddsub231ps */, X86::VFMADDSUB231PSZ256r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 11718 /* vfmaddsub231ps */, X86::VFMADDSUB231PSZ256m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 11718 /* vfmaddsub231ps */, X86::VFMADDSUB231PSZr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11718 /* vfmaddsub231ps */, X86::VFMADDSUB231PSZm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 11718 /* vfmaddsub231ps */, X86::VFMADDSUB231PSZ128mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 11718 /* vfmaddsub231ps */, X86::VFMADDSUB231PSZ256mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 11718 /* vfmaddsub231ps */, X86::VFMADDSUB231PSZrb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 11718 /* vfmaddsub231ps */, X86::VFMADDSUB231PSZmb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 11718 /* vfmaddsub231ps */, X86::VFMADDSUB231PSZ128rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 11718 /* vfmaddsub231ps */, X86::VFMADDSUB231PSZ128mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 11718 /* vfmaddsub231ps */, X86::VFMADDSUB231PSZ256rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 11718 /* vfmaddsub231ps */, X86::VFMADDSUB231PSZ256mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 11718 /* vfmaddsub231ps */, X86::VFMADDSUB231PSZrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 11718 /* vfmaddsub231ps */, X86::VFMADDSUB231PSZmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 11718 /* vfmaddsub231ps */, X86::VFMADDSUB231PSZ128rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 11718 /* vfmaddsub231ps */, X86::VFMADDSUB231PSZ128mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 11718 /* vfmaddsub231ps */, X86::VFMADDSUB231PSZ128mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 11718 /* vfmaddsub231ps */, X86::VFMADDSUB231PSZ256rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 11718 /* vfmaddsub231ps */, X86::VFMADDSUB231PSZ256mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 11718 /* vfmaddsub231ps */, X86::VFMADDSUB231PSZ256mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 11718 /* vfmaddsub231ps */, X86::VFMADDSUB231PSZrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 11718 /* vfmaddsub231ps */, X86::VFMADDSUB231PSZmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 11718 /* vfmaddsub231ps */, X86::VFMADDSUB231PSZrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 11718 /* vfmaddsub231ps */, X86::VFMADDSUB231PSZmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 11718 /* vfmaddsub231ps */, X86::VFMADDSUB231PSZ128mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 11718 /* vfmaddsub231ps */, X86::VFMADDSUB231PSZ256mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 11718 /* vfmaddsub231ps */, X86::VFMADDSUB231PSZrbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 11718 /* vfmaddsub231ps */, X86::VFMADDSUB231PSZmbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 11733 /* vfmaddsubpd */, X86::VFMADDSUBPD4rr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 11733 /* vfmaddsubpd */, X86::VFMADDSUBPD4rm, Convert__Reg1_0__Reg1_1__Reg1_2__Mem1285_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 11733 /* vfmaddsubpd */, X86::VFMADDSUBPD4mr, Convert__Reg1_0__Reg1_1__Mem1285_2__Reg1_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128, MCK_FR16 }, },
{ 11733 /* vfmaddsubpd */, X86::VFMADDSUBPD4Yrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 11733 /* vfmaddsubpd */, X86::VFMADDSUBPD4Yrm, Convert__Reg1_0__Reg1_1__Reg1_2__Mem2565_3, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 11733 /* vfmaddsubpd */, X86::VFMADDSUBPD4Ymr, Convert__Reg1_0__Reg1_1__Mem2565_2__Reg1_3, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256, MCK_VR256 }, },
{ 11745 /* vfmaddsubps */, X86::VFMADDSUBPS4rr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 11745 /* vfmaddsubps */, X86::VFMADDSUBPS4rm, Convert__Reg1_0__Reg1_1__Reg1_2__Mem1285_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 11745 /* vfmaddsubps */, X86::VFMADDSUBPS4mr, Convert__Reg1_0__Reg1_1__Mem1285_2__Reg1_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128, MCK_FR16 }, },
{ 11745 /* vfmaddsubps */, X86::VFMADDSUBPS4Yrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 11745 /* vfmaddsubps */, X86::VFMADDSUBPS4Yrm, Convert__Reg1_0__Reg1_1__Reg1_2__Mem2565_3, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 11745 /* vfmaddsubps */, X86::VFMADDSUBPS4Ymr, Convert__Reg1_0__Reg1_1__Mem2565_2__Reg1_3, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256, MCK_VR256 }, },
{ 11757 /* vfmsub132pd */, X86::VFMSUB132PDr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 11757 /* vfmsub132pd */, X86::VFMSUB132PDm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 11757 /* vfmsub132pd */, X86::VFMSUB132PDYr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 11757 /* vfmsub132pd */, X86::VFMSUB132PDYm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 11757 /* vfmsub132pd */, X86::VFMSUB132PDZ128r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11757 /* vfmsub132pd */, X86::VFMSUB132PDZ128m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 11757 /* vfmsub132pd */, X86::VFMSUB132PDZ256r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 11757 /* vfmsub132pd */, X86::VFMSUB132PDZ256m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 11757 /* vfmsub132pd */, X86::VFMSUB132PDZr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11757 /* vfmsub132pd */, X86::VFMSUB132PDZm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 11757 /* vfmsub132pd */, X86::VFMSUB132PDZ128mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 11757 /* vfmsub132pd */, X86::VFMSUB132PDZ256mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 11757 /* vfmsub132pd */, X86::VFMSUB132PDZrb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 11757 /* vfmsub132pd */, X86::VFMSUB132PDZmb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 11757 /* vfmsub132pd */, X86::VFMSUB132PDZ128rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 11757 /* vfmsub132pd */, X86::VFMSUB132PDZ128mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 11757 /* vfmsub132pd */, X86::VFMSUB132PDZ256rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 11757 /* vfmsub132pd */, X86::VFMSUB132PDZ256mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 11757 /* vfmsub132pd */, X86::VFMSUB132PDZrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 11757 /* vfmsub132pd */, X86::VFMSUB132PDZmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 11757 /* vfmsub132pd */, X86::VFMSUB132PDZ128rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 11757 /* vfmsub132pd */, X86::VFMSUB132PDZ128mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 11757 /* vfmsub132pd */, X86::VFMSUB132PDZ128mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 11757 /* vfmsub132pd */, X86::VFMSUB132PDZ256rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 11757 /* vfmsub132pd */, X86::VFMSUB132PDZ256mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 11757 /* vfmsub132pd */, X86::VFMSUB132PDZ256mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 11757 /* vfmsub132pd */, X86::VFMSUB132PDZrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 11757 /* vfmsub132pd */, X86::VFMSUB132PDZmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 11757 /* vfmsub132pd */, X86::VFMSUB132PDZrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 11757 /* vfmsub132pd */, X86::VFMSUB132PDZmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 11757 /* vfmsub132pd */, X86::VFMSUB132PDZ128mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 11757 /* vfmsub132pd */, X86::VFMSUB132PDZ256mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 11757 /* vfmsub132pd */, X86::VFMSUB132PDZrbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 11757 /* vfmsub132pd */, X86::VFMSUB132PDZmbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 11769 /* vfmsub132ph */, X86::VFMSUB132PHZ128r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11769 /* vfmsub132ph */, X86::VFMSUB132PHZ128m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 11769 /* vfmsub132ph */, X86::VFMSUB132PHZ256r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 11769 /* vfmsub132ph */, X86::VFMSUB132PHZ256m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 11769 /* vfmsub132ph */, X86::VFMSUB132PHZr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11769 /* vfmsub132ph */, X86::VFMSUB132PHZm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 11769 /* vfmsub132ph */, X86::VFMSUB132PHZ128mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem165_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 11769 /* vfmsub132ph */, X86::VFMSUB132PHZ256mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem165_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 11769 /* vfmsub132ph */, X86::VFMSUB132PHZrb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 11769 /* vfmsub132ph */, X86::VFMSUB132PHZmb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem165_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 11769 /* vfmsub132ph */, X86::VFMSUB132PHZ128rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 11769 /* vfmsub132ph */, X86::VFMSUB132PHZ128mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 11769 /* vfmsub132ph */, X86::VFMSUB132PHZ256rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 11769 /* vfmsub132ph */, X86::VFMSUB132PHZ256mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 11769 /* vfmsub132ph */, X86::VFMSUB132PHZrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 11769 /* vfmsub132ph */, X86::VFMSUB132PHZmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 11769 /* vfmsub132ph */, X86::VFMSUB132PHZ128rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 11769 /* vfmsub132ph */, X86::VFMSUB132PHZ128mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 11769 /* vfmsub132ph */, X86::VFMSUB132PHZ128mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 11769 /* vfmsub132ph */, X86::VFMSUB132PHZ256rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 11769 /* vfmsub132ph */, X86::VFMSUB132PHZ256mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 11769 /* vfmsub132ph */, X86::VFMSUB132PHZ256mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 11769 /* vfmsub132ph */, X86::VFMSUB132PHZrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 11769 /* vfmsub132ph */, X86::VFMSUB132PHZmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 11769 /* vfmsub132ph */, X86::VFMSUB132PHZrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 11769 /* vfmsub132ph */, X86::VFMSUB132PHZmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 11769 /* vfmsub132ph */, X86::VFMSUB132PHZ128mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 11769 /* vfmsub132ph */, X86::VFMSUB132PHZ256mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 11769 /* vfmsub132ph */, X86::VFMSUB132PHZrbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 11769 /* vfmsub132ph */, X86::VFMSUB132PHZmbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 11781 /* vfmsub132ps */, X86::VFMSUB132PSr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 11781 /* vfmsub132ps */, X86::VFMSUB132PSm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 11781 /* vfmsub132ps */, X86::VFMSUB132PSYr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 11781 /* vfmsub132ps */, X86::VFMSUB132PSYm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 11781 /* vfmsub132ps */, X86::VFMSUB132PSZ128r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11781 /* vfmsub132ps */, X86::VFMSUB132PSZ128m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 11781 /* vfmsub132ps */, X86::VFMSUB132PSZ256r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 11781 /* vfmsub132ps */, X86::VFMSUB132PSZ256m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 11781 /* vfmsub132ps */, X86::VFMSUB132PSZr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11781 /* vfmsub132ps */, X86::VFMSUB132PSZm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 11781 /* vfmsub132ps */, X86::VFMSUB132PSZ128mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 11781 /* vfmsub132ps */, X86::VFMSUB132PSZ256mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 11781 /* vfmsub132ps */, X86::VFMSUB132PSZrb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 11781 /* vfmsub132ps */, X86::VFMSUB132PSZmb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 11781 /* vfmsub132ps */, X86::VFMSUB132PSZ128rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 11781 /* vfmsub132ps */, X86::VFMSUB132PSZ128mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 11781 /* vfmsub132ps */, X86::VFMSUB132PSZ256rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 11781 /* vfmsub132ps */, X86::VFMSUB132PSZ256mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 11781 /* vfmsub132ps */, X86::VFMSUB132PSZrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 11781 /* vfmsub132ps */, X86::VFMSUB132PSZmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 11781 /* vfmsub132ps */, X86::VFMSUB132PSZ128rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 11781 /* vfmsub132ps */, X86::VFMSUB132PSZ128mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 11781 /* vfmsub132ps */, X86::VFMSUB132PSZ128mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 11781 /* vfmsub132ps */, X86::VFMSUB132PSZ256rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 11781 /* vfmsub132ps */, X86::VFMSUB132PSZ256mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 11781 /* vfmsub132ps */, X86::VFMSUB132PSZ256mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 11781 /* vfmsub132ps */, X86::VFMSUB132PSZrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 11781 /* vfmsub132ps */, X86::VFMSUB132PSZmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 11781 /* vfmsub132ps */, X86::VFMSUB132PSZrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 11781 /* vfmsub132ps */, X86::VFMSUB132PSZmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 11781 /* vfmsub132ps */, X86::VFMSUB132PSZ128mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 11781 /* vfmsub132ps */, X86::VFMSUB132PSZ256mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 11781 /* vfmsub132ps */, X86::VFMSUB132PSZrbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 11781 /* vfmsub132ps */, X86::VFMSUB132PSZmbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 11793 /* vfmsub132sd */, X86::VFMSUB132SDr_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 11793 /* vfmsub132sd */, X86::VFMSUB132SDm_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem64 }, },
{ 11793 /* vfmsub132sd */, X86::VFMSUB132SDZr_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11793 /* vfmsub132sd */, X86::VFMSUB132SDZm_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64 }, },
{ 11793 /* vfmsub132sd */, X86::VFMSUB132SDZrb_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 11793 /* vfmsub132sd */, X86::VFMSUB132SDZr_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 11793 /* vfmsub132sd */, X86::VFMSUB132SDZm_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64 }, },
{ 11793 /* vfmsub132sd */, X86::VFMSUB132SDZr_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 11793 /* vfmsub132sd */, X86::VFMSUB132SDZm_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64 }, },
{ 11793 /* vfmsub132sd */, X86::VFMSUB132SDZrb_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 11793 /* vfmsub132sd */, X86::VFMSUB132SDZrb_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 11805 /* vfmsub132sh */, X86::VFMSUB132SHZr_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11805 /* vfmsub132sh */, X86::VFMSUB132SHZm_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem165_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem16 }, },
{ 11805 /* vfmsub132sh */, X86::VFMSUB132SHZrb_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 11805 /* vfmsub132sh */, X86::VFMSUB132SHZr_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 11805 /* vfmsub132sh */, X86::VFMSUB132SHZm_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem16 }, },
{ 11805 /* vfmsub132sh */, X86::VFMSUB132SHZr_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 11805 /* vfmsub132sh */, X86::VFMSUB132SHZm_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem16 }, },
{ 11805 /* vfmsub132sh */, X86::VFMSUB132SHZrb_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 11805 /* vfmsub132sh */, X86::VFMSUB132SHZrb_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 11817 /* vfmsub132ss */, X86::VFMSUB132SSr_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 11817 /* vfmsub132ss */, X86::VFMSUB132SSm_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem32 }, },
{ 11817 /* vfmsub132ss */, X86::VFMSUB132SSZr_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11817 /* vfmsub132ss */, X86::VFMSUB132SSZm_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32 }, },
{ 11817 /* vfmsub132ss */, X86::VFMSUB132SSZrb_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 11817 /* vfmsub132ss */, X86::VFMSUB132SSZr_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 11817 /* vfmsub132ss */, X86::VFMSUB132SSZm_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32 }, },
{ 11817 /* vfmsub132ss */, X86::VFMSUB132SSZr_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 11817 /* vfmsub132ss */, X86::VFMSUB132SSZm_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32 }, },
{ 11817 /* vfmsub132ss */, X86::VFMSUB132SSZrb_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 11817 /* vfmsub132ss */, X86::VFMSUB132SSZrb_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 11829 /* vfmsub213pd */, X86::VFMSUB213PDr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 11829 /* vfmsub213pd */, X86::VFMSUB213PDm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 11829 /* vfmsub213pd */, X86::VFMSUB213PDYr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 11829 /* vfmsub213pd */, X86::VFMSUB213PDYm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 11829 /* vfmsub213pd */, X86::VFMSUB213PDZ128r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11829 /* vfmsub213pd */, X86::VFMSUB213PDZ128m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 11829 /* vfmsub213pd */, X86::VFMSUB213PDZ256r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 11829 /* vfmsub213pd */, X86::VFMSUB213PDZ256m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 11829 /* vfmsub213pd */, X86::VFMSUB213PDZr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11829 /* vfmsub213pd */, X86::VFMSUB213PDZm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 11829 /* vfmsub213pd */, X86::VFMSUB213PDZ128mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 11829 /* vfmsub213pd */, X86::VFMSUB213PDZ256mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 11829 /* vfmsub213pd */, X86::VFMSUB213PDZrb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 11829 /* vfmsub213pd */, X86::VFMSUB213PDZmb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 11829 /* vfmsub213pd */, X86::VFMSUB213PDZ128rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 11829 /* vfmsub213pd */, X86::VFMSUB213PDZ128mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 11829 /* vfmsub213pd */, X86::VFMSUB213PDZ256rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 11829 /* vfmsub213pd */, X86::VFMSUB213PDZ256mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 11829 /* vfmsub213pd */, X86::VFMSUB213PDZrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 11829 /* vfmsub213pd */, X86::VFMSUB213PDZmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 11829 /* vfmsub213pd */, X86::VFMSUB213PDZ128rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 11829 /* vfmsub213pd */, X86::VFMSUB213PDZ128mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 11829 /* vfmsub213pd */, X86::VFMSUB213PDZ128mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 11829 /* vfmsub213pd */, X86::VFMSUB213PDZ256rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 11829 /* vfmsub213pd */, X86::VFMSUB213PDZ256mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 11829 /* vfmsub213pd */, X86::VFMSUB213PDZ256mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 11829 /* vfmsub213pd */, X86::VFMSUB213PDZrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 11829 /* vfmsub213pd */, X86::VFMSUB213PDZmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 11829 /* vfmsub213pd */, X86::VFMSUB213PDZrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 11829 /* vfmsub213pd */, X86::VFMSUB213PDZmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 11829 /* vfmsub213pd */, X86::VFMSUB213PDZ128mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 11829 /* vfmsub213pd */, X86::VFMSUB213PDZ256mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 11829 /* vfmsub213pd */, X86::VFMSUB213PDZrbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 11829 /* vfmsub213pd */, X86::VFMSUB213PDZmbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 11841 /* vfmsub213ph */, X86::VFMSUB213PHZ128r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11841 /* vfmsub213ph */, X86::VFMSUB213PHZ128m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 11841 /* vfmsub213ph */, X86::VFMSUB213PHZ256r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 11841 /* vfmsub213ph */, X86::VFMSUB213PHZ256m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 11841 /* vfmsub213ph */, X86::VFMSUB213PHZr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11841 /* vfmsub213ph */, X86::VFMSUB213PHZm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 11841 /* vfmsub213ph */, X86::VFMSUB213PHZ128mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem165_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 11841 /* vfmsub213ph */, X86::VFMSUB213PHZ256mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem165_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 11841 /* vfmsub213ph */, X86::VFMSUB213PHZrb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 11841 /* vfmsub213ph */, X86::VFMSUB213PHZmb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem165_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 11841 /* vfmsub213ph */, X86::VFMSUB213PHZ128rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 11841 /* vfmsub213ph */, X86::VFMSUB213PHZ128mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 11841 /* vfmsub213ph */, X86::VFMSUB213PHZ256rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 11841 /* vfmsub213ph */, X86::VFMSUB213PHZ256mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 11841 /* vfmsub213ph */, X86::VFMSUB213PHZrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 11841 /* vfmsub213ph */, X86::VFMSUB213PHZmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 11841 /* vfmsub213ph */, X86::VFMSUB213PHZ128rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 11841 /* vfmsub213ph */, X86::VFMSUB213PHZ128mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 11841 /* vfmsub213ph */, X86::VFMSUB213PHZ128mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 11841 /* vfmsub213ph */, X86::VFMSUB213PHZ256rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 11841 /* vfmsub213ph */, X86::VFMSUB213PHZ256mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 11841 /* vfmsub213ph */, X86::VFMSUB213PHZ256mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 11841 /* vfmsub213ph */, X86::VFMSUB213PHZrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 11841 /* vfmsub213ph */, X86::VFMSUB213PHZmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 11841 /* vfmsub213ph */, X86::VFMSUB213PHZrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 11841 /* vfmsub213ph */, X86::VFMSUB213PHZmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 11841 /* vfmsub213ph */, X86::VFMSUB213PHZ128mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 11841 /* vfmsub213ph */, X86::VFMSUB213PHZ256mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 11841 /* vfmsub213ph */, X86::VFMSUB213PHZrbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 11841 /* vfmsub213ph */, X86::VFMSUB213PHZmbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 11853 /* vfmsub213ps */, X86::VFMSUB213PSr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 11853 /* vfmsub213ps */, X86::VFMSUB213PSm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 11853 /* vfmsub213ps */, X86::VFMSUB213PSYr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 11853 /* vfmsub213ps */, X86::VFMSUB213PSYm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 11853 /* vfmsub213ps */, X86::VFMSUB213PSZ128r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11853 /* vfmsub213ps */, X86::VFMSUB213PSZ128m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 11853 /* vfmsub213ps */, X86::VFMSUB213PSZ256r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 11853 /* vfmsub213ps */, X86::VFMSUB213PSZ256m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 11853 /* vfmsub213ps */, X86::VFMSUB213PSZr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11853 /* vfmsub213ps */, X86::VFMSUB213PSZm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 11853 /* vfmsub213ps */, X86::VFMSUB213PSZ128mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 11853 /* vfmsub213ps */, X86::VFMSUB213PSZ256mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 11853 /* vfmsub213ps */, X86::VFMSUB213PSZrb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 11853 /* vfmsub213ps */, X86::VFMSUB213PSZmb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 11853 /* vfmsub213ps */, X86::VFMSUB213PSZ128rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 11853 /* vfmsub213ps */, X86::VFMSUB213PSZ128mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 11853 /* vfmsub213ps */, X86::VFMSUB213PSZ256rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 11853 /* vfmsub213ps */, X86::VFMSUB213PSZ256mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 11853 /* vfmsub213ps */, X86::VFMSUB213PSZrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 11853 /* vfmsub213ps */, X86::VFMSUB213PSZmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 11853 /* vfmsub213ps */, X86::VFMSUB213PSZ128rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 11853 /* vfmsub213ps */, X86::VFMSUB213PSZ128mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 11853 /* vfmsub213ps */, X86::VFMSUB213PSZ128mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 11853 /* vfmsub213ps */, X86::VFMSUB213PSZ256rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 11853 /* vfmsub213ps */, X86::VFMSUB213PSZ256mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 11853 /* vfmsub213ps */, X86::VFMSUB213PSZ256mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 11853 /* vfmsub213ps */, X86::VFMSUB213PSZrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 11853 /* vfmsub213ps */, X86::VFMSUB213PSZmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 11853 /* vfmsub213ps */, X86::VFMSUB213PSZrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 11853 /* vfmsub213ps */, X86::VFMSUB213PSZmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 11853 /* vfmsub213ps */, X86::VFMSUB213PSZ128mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 11853 /* vfmsub213ps */, X86::VFMSUB213PSZ256mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 11853 /* vfmsub213ps */, X86::VFMSUB213PSZrbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 11853 /* vfmsub213ps */, X86::VFMSUB213PSZmbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 11865 /* vfmsub213sd */, X86::VFMSUB213SDr_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 11865 /* vfmsub213sd */, X86::VFMSUB213SDm_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem64 }, },
{ 11865 /* vfmsub213sd */, X86::VFMSUB213SDZr_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11865 /* vfmsub213sd */, X86::VFMSUB213SDZm_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64 }, },
{ 11865 /* vfmsub213sd */, X86::VFMSUB213SDZrb_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 11865 /* vfmsub213sd */, X86::VFMSUB213SDZr_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 11865 /* vfmsub213sd */, X86::VFMSUB213SDZm_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64 }, },
{ 11865 /* vfmsub213sd */, X86::VFMSUB213SDZr_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 11865 /* vfmsub213sd */, X86::VFMSUB213SDZm_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64 }, },
{ 11865 /* vfmsub213sd */, X86::VFMSUB213SDZrb_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 11865 /* vfmsub213sd */, X86::VFMSUB213SDZrb_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 11877 /* vfmsub213sh */, X86::VFMSUB213SHZr_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11877 /* vfmsub213sh */, X86::VFMSUB213SHZm_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem165_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem16 }, },
{ 11877 /* vfmsub213sh */, X86::VFMSUB213SHZrb_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 11877 /* vfmsub213sh */, X86::VFMSUB213SHZr_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 11877 /* vfmsub213sh */, X86::VFMSUB213SHZm_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem16 }, },
{ 11877 /* vfmsub213sh */, X86::VFMSUB213SHZr_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 11877 /* vfmsub213sh */, X86::VFMSUB213SHZm_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem16 }, },
{ 11877 /* vfmsub213sh */, X86::VFMSUB213SHZrb_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 11877 /* vfmsub213sh */, X86::VFMSUB213SHZrb_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 11889 /* vfmsub213ss */, X86::VFMSUB213SSr_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 11889 /* vfmsub213ss */, X86::VFMSUB213SSm_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem32 }, },
{ 11889 /* vfmsub213ss */, X86::VFMSUB213SSZr_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11889 /* vfmsub213ss */, X86::VFMSUB213SSZm_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32 }, },
{ 11889 /* vfmsub213ss */, X86::VFMSUB213SSZrb_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 11889 /* vfmsub213ss */, X86::VFMSUB213SSZr_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 11889 /* vfmsub213ss */, X86::VFMSUB213SSZm_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32 }, },
{ 11889 /* vfmsub213ss */, X86::VFMSUB213SSZr_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 11889 /* vfmsub213ss */, X86::VFMSUB213SSZm_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32 }, },
{ 11889 /* vfmsub213ss */, X86::VFMSUB213SSZrb_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 11889 /* vfmsub213ss */, X86::VFMSUB213SSZrb_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 11901 /* vfmsub231pd */, X86::VFMSUB231PDr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 11901 /* vfmsub231pd */, X86::VFMSUB231PDm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 11901 /* vfmsub231pd */, X86::VFMSUB231PDYr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 11901 /* vfmsub231pd */, X86::VFMSUB231PDYm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 11901 /* vfmsub231pd */, X86::VFMSUB231PDZ128r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11901 /* vfmsub231pd */, X86::VFMSUB231PDZ128m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 11901 /* vfmsub231pd */, X86::VFMSUB231PDZ256r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 11901 /* vfmsub231pd */, X86::VFMSUB231PDZ256m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 11901 /* vfmsub231pd */, X86::VFMSUB231PDZr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11901 /* vfmsub231pd */, X86::VFMSUB231PDZm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 11901 /* vfmsub231pd */, X86::VFMSUB231PDZ128mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 11901 /* vfmsub231pd */, X86::VFMSUB231PDZ256mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 11901 /* vfmsub231pd */, X86::VFMSUB231PDZrb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 11901 /* vfmsub231pd */, X86::VFMSUB231PDZmb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 11901 /* vfmsub231pd */, X86::VFMSUB231PDZ128rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 11901 /* vfmsub231pd */, X86::VFMSUB231PDZ128mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 11901 /* vfmsub231pd */, X86::VFMSUB231PDZ256rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 11901 /* vfmsub231pd */, X86::VFMSUB231PDZ256mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 11901 /* vfmsub231pd */, X86::VFMSUB231PDZrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 11901 /* vfmsub231pd */, X86::VFMSUB231PDZmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 11901 /* vfmsub231pd */, X86::VFMSUB231PDZ128rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 11901 /* vfmsub231pd */, X86::VFMSUB231PDZ128mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 11901 /* vfmsub231pd */, X86::VFMSUB231PDZ128mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 11901 /* vfmsub231pd */, X86::VFMSUB231PDZ256rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 11901 /* vfmsub231pd */, X86::VFMSUB231PDZ256mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 11901 /* vfmsub231pd */, X86::VFMSUB231PDZ256mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 11901 /* vfmsub231pd */, X86::VFMSUB231PDZrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 11901 /* vfmsub231pd */, X86::VFMSUB231PDZmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 11901 /* vfmsub231pd */, X86::VFMSUB231PDZrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 11901 /* vfmsub231pd */, X86::VFMSUB231PDZmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 11901 /* vfmsub231pd */, X86::VFMSUB231PDZ128mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 11901 /* vfmsub231pd */, X86::VFMSUB231PDZ256mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 11901 /* vfmsub231pd */, X86::VFMSUB231PDZrbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 11901 /* vfmsub231pd */, X86::VFMSUB231PDZmbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 11913 /* vfmsub231ph */, X86::VFMSUB231PHZ128r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11913 /* vfmsub231ph */, X86::VFMSUB231PHZ128m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 11913 /* vfmsub231ph */, X86::VFMSUB231PHZ256r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 11913 /* vfmsub231ph */, X86::VFMSUB231PHZ256m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 11913 /* vfmsub231ph */, X86::VFMSUB231PHZr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11913 /* vfmsub231ph */, X86::VFMSUB231PHZm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 11913 /* vfmsub231ph */, X86::VFMSUB231PHZ128mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem165_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 11913 /* vfmsub231ph */, X86::VFMSUB231PHZ256mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem165_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 11913 /* vfmsub231ph */, X86::VFMSUB231PHZrb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 11913 /* vfmsub231ph */, X86::VFMSUB231PHZmb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem165_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 11913 /* vfmsub231ph */, X86::VFMSUB231PHZ128rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 11913 /* vfmsub231ph */, X86::VFMSUB231PHZ128mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 11913 /* vfmsub231ph */, X86::VFMSUB231PHZ256rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 11913 /* vfmsub231ph */, X86::VFMSUB231PHZ256mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 11913 /* vfmsub231ph */, X86::VFMSUB231PHZrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 11913 /* vfmsub231ph */, X86::VFMSUB231PHZmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 11913 /* vfmsub231ph */, X86::VFMSUB231PHZ128rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 11913 /* vfmsub231ph */, X86::VFMSUB231PHZ128mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 11913 /* vfmsub231ph */, X86::VFMSUB231PHZ128mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 11913 /* vfmsub231ph */, X86::VFMSUB231PHZ256rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 11913 /* vfmsub231ph */, X86::VFMSUB231PHZ256mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 11913 /* vfmsub231ph */, X86::VFMSUB231PHZ256mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 11913 /* vfmsub231ph */, X86::VFMSUB231PHZrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 11913 /* vfmsub231ph */, X86::VFMSUB231PHZmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 11913 /* vfmsub231ph */, X86::VFMSUB231PHZrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 11913 /* vfmsub231ph */, X86::VFMSUB231PHZmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 11913 /* vfmsub231ph */, X86::VFMSUB231PHZ128mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 11913 /* vfmsub231ph */, X86::VFMSUB231PHZ256mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 11913 /* vfmsub231ph */, X86::VFMSUB231PHZrbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 11913 /* vfmsub231ph */, X86::VFMSUB231PHZmbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 11925 /* vfmsub231ps */, X86::VFMSUB231PSr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 11925 /* vfmsub231ps */, X86::VFMSUB231PSm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 11925 /* vfmsub231ps */, X86::VFMSUB231PSYr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 11925 /* vfmsub231ps */, X86::VFMSUB231PSYm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 11925 /* vfmsub231ps */, X86::VFMSUB231PSZ128r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11925 /* vfmsub231ps */, X86::VFMSUB231PSZ128m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 11925 /* vfmsub231ps */, X86::VFMSUB231PSZ256r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 11925 /* vfmsub231ps */, X86::VFMSUB231PSZ256m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 11925 /* vfmsub231ps */, X86::VFMSUB231PSZr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11925 /* vfmsub231ps */, X86::VFMSUB231PSZm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 11925 /* vfmsub231ps */, X86::VFMSUB231PSZ128mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 11925 /* vfmsub231ps */, X86::VFMSUB231PSZ256mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 11925 /* vfmsub231ps */, X86::VFMSUB231PSZrb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 11925 /* vfmsub231ps */, X86::VFMSUB231PSZmb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 11925 /* vfmsub231ps */, X86::VFMSUB231PSZ128rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 11925 /* vfmsub231ps */, X86::VFMSUB231PSZ128mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 11925 /* vfmsub231ps */, X86::VFMSUB231PSZ256rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 11925 /* vfmsub231ps */, X86::VFMSUB231PSZ256mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 11925 /* vfmsub231ps */, X86::VFMSUB231PSZrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 11925 /* vfmsub231ps */, X86::VFMSUB231PSZmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 11925 /* vfmsub231ps */, X86::VFMSUB231PSZ128rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 11925 /* vfmsub231ps */, X86::VFMSUB231PSZ128mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 11925 /* vfmsub231ps */, X86::VFMSUB231PSZ128mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 11925 /* vfmsub231ps */, X86::VFMSUB231PSZ256rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 11925 /* vfmsub231ps */, X86::VFMSUB231PSZ256mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 11925 /* vfmsub231ps */, X86::VFMSUB231PSZ256mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 11925 /* vfmsub231ps */, X86::VFMSUB231PSZrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 11925 /* vfmsub231ps */, X86::VFMSUB231PSZmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 11925 /* vfmsub231ps */, X86::VFMSUB231PSZrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 11925 /* vfmsub231ps */, X86::VFMSUB231PSZmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 11925 /* vfmsub231ps */, X86::VFMSUB231PSZ128mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 11925 /* vfmsub231ps */, X86::VFMSUB231PSZ256mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 11925 /* vfmsub231ps */, X86::VFMSUB231PSZrbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 11925 /* vfmsub231ps */, X86::VFMSUB231PSZmbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 11937 /* vfmsub231sd */, X86::VFMSUB231SDr_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 11937 /* vfmsub231sd */, X86::VFMSUB231SDm_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem64 }, },
{ 11937 /* vfmsub231sd */, X86::VFMSUB231SDZr_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11937 /* vfmsub231sd */, X86::VFMSUB231SDZm_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64 }, },
{ 11937 /* vfmsub231sd */, X86::VFMSUB231SDZrb_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 11937 /* vfmsub231sd */, X86::VFMSUB231SDZr_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 11937 /* vfmsub231sd */, X86::VFMSUB231SDZm_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64 }, },
{ 11937 /* vfmsub231sd */, X86::VFMSUB231SDZr_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 11937 /* vfmsub231sd */, X86::VFMSUB231SDZm_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64 }, },
{ 11937 /* vfmsub231sd */, X86::VFMSUB231SDZrb_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 11937 /* vfmsub231sd */, X86::VFMSUB231SDZrb_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 11949 /* vfmsub231sh */, X86::VFMSUB231SHZr_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11949 /* vfmsub231sh */, X86::VFMSUB231SHZm_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem165_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem16 }, },
{ 11949 /* vfmsub231sh */, X86::VFMSUB231SHZrb_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 11949 /* vfmsub231sh */, X86::VFMSUB231SHZr_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 11949 /* vfmsub231sh */, X86::VFMSUB231SHZm_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem16 }, },
{ 11949 /* vfmsub231sh */, X86::VFMSUB231SHZr_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 11949 /* vfmsub231sh */, X86::VFMSUB231SHZm_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem16 }, },
{ 11949 /* vfmsub231sh */, X86::VFMSUB231SHZrb_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 11949 /* vfmsub231sh */, X86::VFMSUB231SHZrb_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 11961 /* vfmsub231ss */, X86::VFMSUB231SSr_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 11961 /* vfmsub231ss */, X86::VFMSUB231SSm_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem32 }, },
{ 11961 /* vfmsub231ss */, X86::VFMSUB231SSZr_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11961 /* vfmsub231ss */, X86::VFMSUB231SSZm_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32 }, },
{ 11961 /* vfmsub231ss */, X86::VFMSUB231SSZrb_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 11961 /* vfmsub231ss */, X86::VFMSUB231SSZr_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 11961 /* vfmsub231ss */, X86::VFMSUB231SSZm_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32 }, },
{ 11961 /* vfmsub231ss */, X86::VFMSUB231SSZr_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 11961 /* vfmsub231ss */, X86::VFMSUB231SSZm_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32 }, },
{ 11961 /* vfmsub231ss */, X86::VFMSUB231SSZrb_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 11961 /* vfmsub231ss */, X86::VFMSUB231SSZrb_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 11973 /* vfmsubadd132pd */, X86::VFMSUBADD132PDr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 11973 /* vfmsubadd132pd */, X86::VFMSUBADD132PDm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 11973 /* vfmsubadd132pd */, X86::VFMSUBADD132PDYr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 11973 /* vfmsubadd132pd */, X86::VFMSUBADD132PDYm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 11973 /* vfmsubadd132pd */, X86::VFMSUBADD132PDZ128r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11973 /* vfmsubadd132pd */, X86::VFMSUBADD132PDZ128m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 11973 /* vfmsubadd132pd */, X86::VFMSUBADD132PDZ256r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 11973 /* vfmsubadd132pd */, X86::VFMSUBADD132PDZ256m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 11973 /* vfmsubadd132pd */, X86::VFMSUBADD132PDZr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11973 /* vfmsubadd132pd */, X86::VFMSUBADD132PDZm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 11973 /* vfmsubadd132pd */, X86::VFMSUBADD132PDZ128mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 11973 /* vfmsubadd132pd */, X86::VFMSUBADD132PDZ256mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 11973 /* vfmsubadd132pd */, X86::VFMSUBADD132PDZrb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 11973 /* vfmsubadd132pd */, X86::VFMSUBADD132PDZmb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 11973 /* vfmsubadd132pd */, X86::VFMSUBADD132PDZ128rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 11973 /* vfmsubadd132pd */, X86::VFMSUBADD132PDZ128mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 11973 /* vfmsubadd132pd */, X86::VFMSUBADD132PDZ256rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 11973 /* vfmsubadd132pd */, X86::VFMSUBADD132PDZ256mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 11973 /* vfmsubadd132pd */, X86::VFMSUBADD132PDZrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 11973 /* vfmsubadd132pd */, X86::VFMSUBADD132PDZmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 11973 /* vfmsubadd132pd */, X86::VFMSUBADD132PDZ128rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 11973 /* vfmsubadd132pd */, X86::VFMSUBADD132PDZ128mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 11973 /* vfmsubadd132pd */, X86::VFMSUBADD132PDZ128mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 11973 /* vfmsubadd132pd */, X86::VFMSUBADD132PDZ256rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 11973 /* vfmsubadd132pd */, X86::VFMSUBADD132PDZ256mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 11973 /* vfmsubadd132pd */, X86::VFMSUBADD132PDZ256mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 11973 /* vfmsubadd132pd */, X86::VFMSUBADD132PDZrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 11973 /* vfmsubadd132pd */, X86::VFMSUBADD132PDZmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 11973 /* vfmsubadd132pd */, X86::VFMSUBADD132PDZrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 11973 /* vfmsubadd132pd */, X86::VFMSUBADD132PDZmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 11973 /* vfmsubadd132pd */, X86::VFMSUBADD132PDZ128mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 11973 /* vfmsubadd132pd */, X86::VFMSUBADD132PDZ256mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 11973 /* vfmsubadd132pd */, X86::VFMSUBADD132PDZrbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 11973 /* vfmsubadd132pd */, X86::VFMSUBADD132PDZmbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 11988 /* vfmsubadd132ph */, X86::VFMSUBADD132PHZ128r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 11988 /* vfmsubadd132ph */, X86::VFMSUBADD132PHZ128m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 11988 /* vfmsubadd132ph */, X86::VFMSUBADD132PHZ256r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 11988 /* vfmsubadd132ph */, X86::VFMSUBADD132PHZ256m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 11988 /* vfmsubadd132ph */, X86::VFMSUBADD132PHZr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 11988 /* vfmsubadd132ph */, X86::VFMSUBADD132PHZm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 11988 /* vfmsubadd132ph */, X86::VFMSUBADD132PHZ128mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem165_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 11988 /* vfmsubadd132ph */, X86::VFMSUBADD132PHZ256mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem165_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 11988 /* vfmsubadd132ph */, X86::VFMSUBADD132PHZrb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 11988 /* vfmsubadd132ph */, X86::VFMSUBADD132PHZmb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem165_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 11988 /* vfmsubadd132ph */, X86::VFMSUBADD132PHZ128rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 11988 /* vfmsubadd132ph */, X86::VFMSUBADD132PHZ128mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 11988 /* vfmsubadd132ph */, X86::VFMSUBADD132PHZ256rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 11988 /* vfmsubadd132ph */, X86::VFMSUBADD132PHZ256mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 11988 /* vfmsubadd132ph */, X86::VFMSUBADD132PHZrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 11988 /* vfmsubadd132ph */, X86::VFMSUBADD132PHZmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 11988 /* vfmsubadd132ph */, X86::VFMSUBADD132PHZ128rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 11988 /* vfmsubadd132ph */, X86::VFMSUBADD132PHZ128mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 11988 /* vfmsubadd132ph */, X86::VFMSUBADD132PHZ128mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 11988 /* vfmsubadd132ph */, X86::VFMSUBADD132PHZ256rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 11988 /* vfmsubadd132ph */, X86::VFMSUBADD132PHZ256mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 11988 /* vfmsubadd132ph */, X86::VFMSUBADD132PHZ256mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 11988 /* vfmsubadd132ph */, X86::VFMSUBADD132PHZrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 11988 /* vfmsubadd132ph */, X86::VFMSUBADD132PHZmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 11988 /* vfmsubadd132ph */, X86::VFMSUBADD132PHZrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 11988 /* vfmsubadd132ph */, X86::VFMSUBADD132PHZmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 11988 /* vfmsubadd132ph */, X86::VFMSUBADD132PHZ128mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 11988 /* vfmsubadd132ph */, X86::VFMSUBADD132PHZ256mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 11988 /* vfmsubadd132ph */, X86::VFMSUBADD132PHZrbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 11988 /* vfmsubadd132ph */, X86::VFMSUBADD132PHZmbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 12003 /* vfmsubadd132ps */, X86::VFMSUBADD132PSr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12003 /* vfmsubadd132ps */, X86::VFMSUBADD132PSm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 12003 /* vfmsubadd132ps */, X86::VFMSUBADD132PSYr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 12003 /* vfmsubadd132ps */, X86::VFMSUBADD132PSYm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 12003 /* vfmsubadd132ps */, X86::VFMSUBADD132PSZ128r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12003 /* vfmsubadd132ps */, X86::VFMSUBADD132PSZ128m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 12003 /* vfmsubadd132ps */, X86::VFMSUBADD132PSZ256r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 12003 /* vfmsubadd132ps */, X86::VFMSUBADD132PSZ256m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 12003 /* vfmsubadd132ps */, X86::VFMSUBADD132PSZr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 12003 /* vfmsubadd132ps */, X86::VFMSUBADD132PSZm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 12003 /* vfmsubadd132ps */, X86::VFMSUBADD132PSZ128mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 12003 /* vfmsubadd132ps */, X86::VFMSUBADD132PSZ256mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 12003 /* vfmsubadd132ps */, X86::VFMSUBADD132PSZrb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 12003 /* vfmsubadd132ps */, X86::VFMSUBADD132PSZmb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 12003 /* vfmsubadd132ps */, X86::VFMSUBADD132PSZ128rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 12003 /* vfmsubadd132ps */, X86::VFMSUBADD132PSZ128mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 12003 /* vfmsubadd132ps */, X86::VFMSUBADD132PSZ256rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 12003 /* vfmsubadd132ps */, X86::VFMSUBADD132PSZ256mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 12003 /* vfmsubadd132ps */, X86::VFMSUBADD132PSZrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 12003 /* vfmsubadd132ps */, X86::VFMSUBADD132PSZmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 12003 /* vfmsubadd132ps */, X86::VFMSUBADD132PSZ128rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 12003 /* vfmsubadd132ps */, X86::VFMSUBADD132PSZ128mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 12003 /* vfmsubadd132ps */, X86::VFMSUBADD132PSZ128mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 12003 /* vfmsubadd132ps */, X86::VFMSUBADD132PSZ256rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 12003 /* vfmsubadd132ps */, X86::VFMSUBADD132PSZ256mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 12003 /* vfmsubadd132ps */, X86::VFMSUBADD132PSZ256mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 12003 /* vfmsubadd132ps */, X86::VFMSUBADD132PSZrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 12003 /* vfmsubadd132ps */, X86::VFMSUBADD132PSZmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 12003 /* vfmsubadd132ps */, X86::VFMSUBADD132PSZrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 12003 /* vfmsubadd132ps */, X86::VFMSUBADD132PSZmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 12003 /* vfmsubadd132ps */, X86::VFMSUBADD132PSZ128mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 12003 /* vfmsubadd132ps */, X86::VFMSUBADD132PSZ256mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 12003 /* vfmsubadd132ps */, X86::VFMSUBADD132PSZrbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 12003 /* vfmsubadd132ps */, X86::VFMSUBADD132PSZmbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 12018 /* vfmsubadd213pd */, X86::VFMSUBADD213PDr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12018 /* vfmsubadd213pd */, X86::VFMSUBADD213PDm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 12018 /* vfmsubadd213pd */, X86::VFMSUBADD213PDYr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 12018 /* vfmsubadd213pd */, X86::VFMSUBADD213PDYm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 12018 /* vfmsubadd213pd */, X86::VFMSUBADD213PDZ128r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12018 /* vfmsubadd213pd */, X86::VFMSUBADD213PDZ128m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 12018 /* vfmsubadd213pd */, X86::VFMSUBADD213PDZ256r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 12018 /* vfmsubadd213pd */, X86::VFMSUBADD213PDZ256m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 12018 /* vfmsubadd213pd */, X86::VFMSUBADD213PDZr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 12018 /* vfmsubadd213pd */, X86::VFMSUBADD213PDZm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 12018 /* vfmsubadd213pd */, X86::VFMSUBADD213PDZ128mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 12018 /* vfmsubadd213pd */, X86::VFMSUBADD213PDZ256mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 12018 /* vfmsubadd213pd */, X86::VFMSUBADD213PDZrb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 12018 /* vfmsubadd213pd */, X86::VFMSUBADD213PDZmb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 12018 /* vfmsubadd213pd */, X86::VFMSUBADD213PDZ128rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 12018 /* vfmsubadd213pd */, X86::VFMSUBADD213PDZ128mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 12018 /* vfmsubadd213pd */, X86::VFMSUBADD213PDZ256rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 12018 /* vfmsubadd213pd */, X86::VFMSUBADD213PDZ256mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 12018 /* vfmsubadd213pd */, X86::VFMSUBADD213PDZrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 12018 /* vfmsubadd213pd */, X86::VFMSUBADD213PDZmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 12018 /* vfmsubadd213pd */, X86::VFMSUBADD213PDZ128rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 12018 /* vfmsubadd213pd */, X86::VFMSUBADD213PDZ128mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 12018 /* vfmsubadd213pd */, X86::VFMSUBADD213PDZ128mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 12018 /* vfmsubadd213pd */, X86::VFMSUBADD213PDZ256rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 12018 /* vfmsubadd213pd */, X86::VFMSUBADD213PDZ256mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 12018 /* vfmsubadd213pd */, X86::VFMSUBADD213PDZ256mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 12018 /* vfmsubadd213pd */, X86::VFMSUBADD213PDZrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 12018 /* vfmsubadd213pd */, X86::VFMSUBADD213PDZmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 12018 /* vfmsubadd213pd */, X86::VFMSUBADD213PDZrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 12018 /* vfmsubadd213pd */, X86::VFMSUBADD213PDZmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 12018 /* vfmsubadd213pd */, X86::VFMSUBADD213PDZ128mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 12018 /* vfmsubadd213pd */, X86::VFMSUBADD213PDZ256mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 12018 /* vfmsubadd213pd */, X86::VFMSUBADD213PDZrbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 12018 /* vfmsubadd213pd */, X86::VFMSUBADD213PDZmbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 12033 /* vfmsubadd213ph */, X86::VFMSUBADD213PHZ128r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12033 /* vfmsubadd213ph */, X86::VFMSUBADD213PHZ128m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 12033 /* vfmsubadd213ph */, X86::VFMSUBADD213PHZ256r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 12033 /* vfmsubadd213ph */, X86::VFMSUBADD213PHZ256m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 12033 /* vfmsubadd213ph */, X86::VFMSUBADD213PHZr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 12033 /* vfmsubadd213ph */, X86::VFMSUBADD213PHZm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 12033 /* vfmsubadd213ph */, X86::VFMSUBADD213PHZ128mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem165_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 12033 /* vfmsubadd213ph */, X86::VFMSUBADD213PHZ256mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem165_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 12033 /* vfmsubadd213ph */, X86::VFMSUBADD213PHZrb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 12033 /* vfmsubadd213ph */, X86::VFMSUBADD213PHZmb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem165_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 12033 /* vfmsubadd213ph */, X86::VFMSUBADD213PHZ128rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 12033 /* vfmsubadd213ph */, X86::VFMSUBADD213PHZ128mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 12033 /* vfmsubadd213ph */, X86::VFMSUBADD213PHZ256rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 12033 /* vfmsubadd213ph */, X86::VFMSUBADD213PHZ256mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 12033 /* vfmsubadd213ph */, X86::VFMSUBADD213PHZrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 12033 /* vfmsubadd213ph */, X86::VFMSUBADD213PHZmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 12033 /* vfmsubadd213ph */, X86::VFMSUBADD213PHZ128rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 12033 /* vfmsubadd213ph */, X86::VFMSUBADD213PHZ128mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 12033 /* vfmsubadd213ph */, X86::VFMSUBADD213PHZ128mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 12033 /* vfmsubadd213ph */, X86::VFMSUBADD213PHZ256rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 12033 /* vfmsubadd213ph */, X86::VFMSUBADD213PHZ256mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 12033 /* vfmsubadd213ph */, X86::VFMSUBADD213PHZ256mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 12033 /* vfmsubadd213ph */, X86::VFMSUBADD213PHZrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 12033 /* vfmsubadd213ph */, X86::VFMSUBADD213PHZmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 12033 /* vfmsubadd213ph */, X86::VFMSUBADD213PHZrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 12033 /* vfmsubadd213ph */, X86::VFMSUBADD213PHZmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 12033 /* vfmsubadd213ph */, X86::VFMSUBADD213PHZ128mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 12033 /* vfmsubadd213ph */, X86::VFMSUBADD213PHZ256mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 12033 /* vfmsubadd213ph */, X86::VFMSUBADD213PHZrbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 12033 /* vfmsubadd213ph */, X86::VFMSUBADD213PHZmbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 12048 /* vfmsubadd213ps */, X86::VFMSUBADD213PSr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12048 /* vfmsubadd213ps */, X86::VFMSUBADD213PSm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 12048 /* vfmsubadd213ps */, X86::VFMSUBADD213PSYr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 12048 /* vfmsubadd213ps */, X86::VFMSUBADD213PSYm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 12048 /* vfmsubadd213ps */, X86::VFMSUBADD213PSZ128r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12048 /* vfmsubadd213ps */, X86::VFMSUBADD213PSZ128m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 12048 /* vfmsubadd213ps */, X86::VFMSUBADD213PSZ256r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 12048 /* vfmsubadd213ps */, X86::VFMSUBADD213PSZ256m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 12048 /* vfmsubadd213ps */, X86::VFMSUBADD213PSZr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 12048 /* vfmsubadd213ps */, X86::VFMSUBADD213PSZm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 12048 /* vfmsubadd213ps */, X86::VFMSUBADD213PSZ128mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 12048 /* vfmsubadd213ps */, X86::VFMSUBADD213PSZ256mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 12048 /* vfmsubadd213ps */, X86::VFMSUBADD213PSZrb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 12048 /* vfmsubadd213ps */, X86::VFMSUBADD213PSZmb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 12048 /* vfmsubadd213ps */, X86::VFMSUBADD213PSZ128rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 12048 /* vfmsubadd213ps */, X86::VFMSUBADD213PSZ128mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 12048 /* vfmsubadd213ps */, X86::VFMSUBADD213PSZ256rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 12048 /* vfmsubadd213ps */, X86::VFMSUBADD213PSZ256mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 12048 /* vfmsubadd213ps */, X86::VFMSUBADD213PSZrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 12048 /* vfmsubadd213ps */, X86::VFMSUBADD213PSZmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 12048 /* vfmsubadd213ps */, X86::VFMSUBADD213PSZ128rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 12048 /* vfmsubadd213ps */, X86::VFMSUBADD213PSZ128mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 12048 /* vfmsubadd213ps */, X86::VFMSUBADD213PSZ128mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 12048 /* vfmsubadd213ps */, X86::VFMSUBADD213PSZ256rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 12048 /* vfmsubadd213ps */, X86::VFMSUBADD213PSZ256mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 12048 /* vfmsubadd213ps */, X86::VFMSUBADD213PSZ256mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 12048 /* vfmsubadd213ps */, X86::VFMSUBADD213PSZrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 12048 /* vfmsubadd213ps */, X86::VFMSUBADD213PSZmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 12048 /* vfmsubadd213ps */, X86::VFMSUBADD213PSZrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 12048 /* vfmsubadd213ps */, X86::VFMSUBADD213PSZmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 12048 /* vfmsubadd213ps */, X86::VFMSUBADD213PSZ128mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 12048 /* vfmsubadd213ps */, X86::VFMSUBADD213PSZ256mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 12048 /* vfmsubadd213ps */, X86::VFMSUBADD213PSZrbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 12048 /* vfmsubadd213ps */, X86::VFMSUBADD213PSZmbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 12063 /* vfmsubadd231pd */, X86::VFMSUBADD231PDr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12063 /* vfmsubadd231pd */, X86::VFMSUBADD231PDm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 12063 /* vfmsubadd231pd */, X86::VFMSUBADD231PDYr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 12063 /* vfmsubadd231pd */, X86::VFMSUBADD231PDYm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 12063 /* vfmsubadd231pd */, X86::VFMSUBADD231PDZ128r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12063 /* vfmsubadd231pd */, X86::VFMSUBADD231PDZ128m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 12063 /* vfmsubadd231pd */, X86::VFMSUBADD231PDZ256r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 12063 /* vfmsubadd231pd */, X86::VFMSUBADD231PDZ256m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 12063 /* vfmsubadd231pd */, X86::VFMSUBADD231PDZr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 12063 /* vfmsubadd231pd */, X86::VFMSUBADD231PDZm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 12063 /* vfmsubadd231pd */, X86::VFMSUBADD231PDZ128mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 12063 /* vfmsubadd231pd */, X86::VFMSUBADD231PDZ256mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 12063 /* vfmsubadd231pd */, X86::VFMSUBADD231PDZrb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 12063 /* vfmsubadd231pd */, X86::VFMSUBADD231PDZmb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 12063 /* vfmsubadd231pd */, X86::VFMSUBADD231PDZ128rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 12063 /* vfmsubadd231pd */, X86::VFMSUBADD231PDZ128mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 12063 /* vfmsubadd231pd */, X86::VFMSUBADD231PDZ256rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 12063 /* vfmsubadd231pd */, X86::VFMSUBADD231PDZ256mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 12063 /* vfmsubadd231pd */, X86::VFMSUBADD231PDZrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 12063 /* vfmsubadd231pd */, X86::VFMSUBADD231PDZmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 12063 /* vfmsubadd231pd */, X86::VFMSUBADD231PDZ128rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 12063 /* vfmsubadd231pd */, X86::VFMSUBADD231PDZ128mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 12063 /* vfmsubadd231pd */, X86::VFMSUBADD231PDZ128mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 12063 /* vfmsubadd231pd */, X86::VFMSUBADD231PDZ256rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 12063 /* vfmsubadd231pd */, X86::VFMSUBADD231PDZ256mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 12063 /* vfmsubadd231pd */, X86::VFMSUBADD231PDZ256mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 12063 /* vfmsubadd231pd */, X86::VFMSUBADD231PDZrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 12063 /* vfmsubadd231pd */, X86::VFMSUBADD231PDZmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 12063 /* vfmsubadd231pd */, X86::VFMSUBADD231PDZrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 12063 /* vfmsubadd231pd */, X86::VFMSUBADD231PDZmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 12063 /* vfmsubadd231pd */, X86::VFMSUBADD231PDZ128mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 12063 /* vfmsubadd231pd */, X86::VFMSUBADD231PDZ256mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 12063 /* vfmsubadd231pd */, X86::VFMSUBADD231PDZrbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 12063 /* vfmsubadd231pd */, X86::VFMSUBADD231PDZmbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 12078 /* vfmsubadd231ph */, X86::VFMSUBADD231PHZ128r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12078 /* vfmsubadd231ph */, X86::VFMSUBADD231PHZ128m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 12078 /* vfmsubadd231ph */, X86::VFMSUBADD231PHZ256r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 12078 /* vfmsubadd231ph */, X86::VFMSUBADD231PHZ256m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 12078 /* vfmsubadd231ph */, X86::VFMSUBADD231PHZr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 12078 /* vfmsubadd231ph */, X86::VFMSUBADD231PHZm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 12078 /* vfmsubadd231ph */, X86::VFMSUBADD231PHZ128mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem165_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 12078 /* vfmsubadd231ph */, X86::VFMSUBADD231PHZ256mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem165_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 12078 /* vfmsubadd231ph */, X86::VFMSUBADD231PHZrb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 12078 /* vfmsubadd231ph */, X86::VFMSUBADD231PHZmb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem165_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 12078 /* vfmsubadd231ph */, X86::VFMSUBADD231PHZ128rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 12078 /* vfmsubadd231ph */, X86::VFMSUBADD231PHZ128mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 12078 /* vfmsubadd231ph */, X86::VFMSUBADD231PHZ256rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 12078 /* vfmsubadd231ph */, X86::VFMSUBADD231PHZ256mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 12078 /* vfmsubadd231ph */, X86::VFMSUBADD231PHZrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 12078 /* vfmsubadd231ph */, X86::VFMSUBADD231PHZmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 12078 /* vfmsubadd231ph */, X86::VFMSUBADD231PHZ128rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 12078 /* vfmsubadd231ph */, X86::VFMSUBADD231PHZ128mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 12078 /* vfmsubadd231ph */, X86::VFMSUBADD231PHZ128mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 12078 /* vfmsubadd231ph */, X86::VFMSUBADD231PHZ256rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 12078 /* vfmsubadd231ph */, X86::VFMSUBADD231PHZ256mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 12078 /* vfmsubadd231ph */, X86::VFMSUBADD231PHZ256mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 12078 /* vfmsubadd231ph */, X86::VFMSUBADD231PHZrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 12078 /* vfmsubadd231ph */, X86::VFMSUBADD231PHZmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 12078 /* vfmsubadd231ph */, X86::VFMSUBADD231PHZrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 12078 /* vfmsubadd231ph */, X86::VFMSUBADD231PHZmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 12078 /* vfmsubadd231ph */, X86::VFMSUBADD231PHZ128mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 12078 /* vfmsubadd231ph */, X86::VFMSUBADD231PHZ256mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 12078 /* vfmsubadd231ph */, X86::VFMSUBADD231PHZrbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 12078 /* vfmsubadd231ph */, X86::VFMSUBADD231PHZmbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 12093 /* vfmsubadd231ps */, X86::VFMSUBADD231PSr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12093 /* vfmsubadd231ps */, X86::VFMSUBADD231PSm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 12093 /* vfmsubadd231ps */, X86::VFMSUBADD231PSYr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 12093 /* vfmsubadd231ps */, X86::VFMSUBADD231PSYm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 12093 /* vfmsubadd231ps */, X86::VFMSUBADD231PSZ128r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12093 /* vfmsubadd231ps */, X86::VFMSUBADD231PSZ128m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 12093 /* vfmsubadd231ps */, X86::VFMSUBADD231PSZ256r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 12093 /* vfmsubadd231ps */, X86::VFMSUBADD231PSZ256m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 12093 /* vfmsubadd231ps */, X86::VFMSUBADD231PSZr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 12093 /* vfmsubadd231ps */, X86::VFMSUBADD231PSZm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 12093 /* vfmsubadd231ps */, X86::VFMSUBADD231PSZ128mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 12093 /* vfmsubadd231ps */, X86::VFMSUBADD231PSZ256mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 12093 /* vfmsubadd231ps */, X86::VFMSUBADD231PSZrb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 12093 /* vfmsubadd231ps */, X86::VFMSUBADD231PSZmb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 12093 /* vfmsubadd231ps */, X86::VFMSUBADD231PSZ128rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 12093 /* vfmsubadd231ps */, X86::VFMSUBADD231PSZ128mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 12093 /* vfmsubadd231ps */, X86::VFMSUBADD231PSZ256rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 12093 /* vfmsubadd231ps */, X86::VFMSUBADD231PSZ256mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 12093 /* vfmsubadd231ps */, X86::VFMSUBADD231PSZrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 12093 /* vfmsubadd231ps */, X86::VFMSUBADD231PSZmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 12093 /* vfmsubadd231ps */, X86::VFMSUBADD231PSZ128rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 12093 /* vfmsubadd231ps */, X86::VFMSUBADD231PSZ128mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 12093 /* vfmsubadd231ps */, X86::VFMSUBADD231PSZ128mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 12093 /* vfmsubadd231ps */, X86::VFMSUBADD231PSZ256rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 12093 /* vfmsubadd231ps */, X86::VFMSUBADD231PSZ256mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 12093 /* vfmsubadd231ps */, X86::VFMSUBADD231PSZ256mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 12093 /* vfmsubadd231ps */, X86::VFMSUBADD231PSZrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 12093 /* vfmsubadd231ps */, X86::VFMSUBADD231PSZmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 12093 /* vfmsubadd231ps */, X86::VFMSUBADD231PSZrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 12093 /* vfmsubadd231ps */, X86::VFMSUBADD231PSZmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 12093 /* vfmsubadd231ps */, X86::VFMSUBADD231PSZ128mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 12093 /* vfmsubadd231ps */, X86::VFMSUBADD231PSZ256mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 12093 /* vfmsubadd231ps */, X86::VFMSUBADD231PSZrbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 12093 /* vfmsubadd231ps */, X86::VFMSUBADD231PSZmbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 12108 /* vfmsubaddpd */, X86::VFMSUBADDPD4rr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12108 /* vfmsubaddpd */, X86::VFMSUBADDPD4rm, Convert__Reg1_0__Reg1_1__Reg1_2__Mem1285_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 12108 /* vfmsubaddpd */, X86::VFMSUBADDPD4mr, Convert__Reg1_0__Reg1_1__Mem1285_2__Reg1_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128, MCK_FR16 }, },
{ 12108 /* vfmsubaddpd */, X86::VFMSUBADDPD4Yrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 12108 /* vfmsubaddpd */, X86::VFMSUBADDPD4Yrm, Convert__Reg1_0__Reg1_1__Reg1_2__Mem2565_3, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 12108 /* vfmsubaddpd */, X86::VFMSUBADDPD4Ymr, Convert__Reg1_0__Reg1_1__Mem2565_2__Reg1_3, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256, MCK_VR256 }, },
{ 12120 /* vfmsubaddps */, X86::VFMSUBADDPS4rr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12120 /* vfmsubaddps */, X86::VFMSUBADDPS4rm, Convert__Reg1_0__Reg1_1__Reg1_2__Mem1285_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 12120 /* vfmsubaddps */, X86::VFMSUBADDPS4mr, Convert__Reg1_0__Reg1_1__Mem1285_2__Reg1_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128, MCK_FR16 }, },
{ 12120 /* vfmsubaddps */, X86::VFMSUBADDPS4Yrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 12120 /* vfmsubaddps */, X86::VFMSUBADDPS4Yrm, Convert__Reg1_0__Reg1_1__Reg1_2__Mem2565_3, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 12120 /* vfmsubaddps */, X86::VFMSUBADDPS4Ymr, Convert__Reg1_0__Reg1_1__Mem2565_2__Reg1_3, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256, MCK_VR256 }, },
{ 12132 /* vfmsubpd */, X86::VFMSUBPD4rr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12132 /* vfmsubpd */, X86::VFMSUBPD4rm, Convert__Reg1_0__Reg1_1__Reg1_2__Mem1285_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 12132 /* vfmsubpd */, X86::VFMSUBPD4mr, Convert__Reg1_0__Reg1_1__Mem1285_2__Reg1_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128, MCK_FR16 }, },
{ 12132 /* vfmsubpd */, X86::VFMSUBPD4Yrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 12132 /* vfmsubpd */, X86::VFMSUBPD4Yrm, Convert__Reg1_0__Reg1_1__Reg1_2__Mem2565_3, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 12132 /* vfmsubpd */, X86::VFMSUBPD4Ymr, Convert__Reg1_0__Reg1_1__Mem2565_2__Reg1_3, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256, MCK_VR256 }, },
{ 12141 /* vfmsubps */, X86::VFMSUBPS4rr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12141 /* vfmsubps */, X86::VFMSUBPS4rm, Convert__Reg1_0__Reg1_1__Reg1_2__Mem1285_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 12141 /* vfmsubps */, X86::VFMSUBPS4mr, Convert__Reg1_0__Reg1_1__Mem1285_2__Reg1_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128, MCK_FR16 }, },
{ 12141 /* vfmsubps */, X86::VFMSUBPS4Yrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 12141 /* vfmsubps */, X86::VFMSUBPS4Yrm, Convert__Reg1_0__Reg1_1__Reg1_2__Mem2565_3, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 12141 /* vfmsubps */, X86::VFMSUBPS4Ymr, Convert__Reg1_0__Reg1_1__Mem2565_2__Reg1_3, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256, MCK_VR256 }, },
{ 12150 /* vfmsubsd */, X86::VFMSUBSD4rr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12150 /* vfmsubsd */, X86::VFMSUBSD4rm, Convert__Reg1_0__Reg1_1__Reg1_2__Mem645_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_Mem64 }, },
{ 12150 /* vfmsubsd */, X86::VFMSUBSD4mr, Convert__Reg1_0__Reg1_1__Mem645_2__Reg1_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem64, MCK_FR16 }, },
{ 12159 /* vfmsubss */, X86::VFMSUBSS4rr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12159 /* vfmsubss */, X86::VFMSUBSS4rm, Convert__Reg1_0__Reg1_1__Reg1_2__Mem325_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_Mem32 }, },
{ 12159 /* vfmsubss */, X86::VFMSUBSS4mr, Convert__Reg1_0__Reg1_1__Mem325_2__Reg1_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem32, MCK_FR16 }, },
{ 12168 /* vfmulcph */, X86::VFMULCPHZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12168 /* vfmulcph */, X86::VFMULCPHZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 12168 /* vfmulcph */, X86::VFMULCPHZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 12168 /* vfmulcph */, X86::VFMULCPHZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 12168 /* vfmulcph */, X86::VFMULCPHZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 12168 /* vfmulcph */, X86::VFMULCPHZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 12168 /* vfmulcph */, X86::VFMULCPHZ128rmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 12168 /* vfmulcph */, X86::VFMULCPHZ256rmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 12168 /* vfmulcph */, X86::VFMULCPHZrrb, Convert__Reg1_0__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 12168 /* vfmulcph */, X86::VFMULCPHZrmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 12168 /* vfmulcph */, X86::VFMULCPHZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 12168 /* vfmulcph */, X86::VFMULCPHZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 12168 /* vfmulcph */, X86::VFMULCPHZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 12168 /* vfmulcph */, X86::VFMULCPHZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 12168 /* vfmulcph */, X86::VFMULCPHZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 12168 /* vfmulcph */, X86::VFMULCPHZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 12168 /* vfmulcph */, X86::VFMULCPHZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 12168 /* vfmulcph */, X86::VFMULCPHZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 12168 /* vfmulcph */, X86::VFMULCPHZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 12168 /* vfmulcph */, X86::VFMULCPHZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 12168 /* vfmulcph */, X86::VFMULCPHZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 12168 /* vfmulcph */, X86::VFMULCPHZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 12168 /* vfmulcph */, X86::VFMULCPHZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 12168 /* vfmulcph */, X86::VFMULCPHZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 12168 /* vfmulcph */, X86::VFMULCPHZrrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 12168 /* vfmulcph */, X86::VFMULCPHZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 12168 /* vfmulcph */, X86::VFMULCPHZ128rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 12168 /* vfmulcph */, X86::VFMULCPHZ256rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 12168 /* vfmulcph */, X86::VFMULCPHZrrbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 12168 /* vfmulcph */, X86::VFMULCPHZrmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 12177 /* vfmulcsh */, X86::VFMULCSHZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12177 /* vfmulcsh */, X86::VFMULCSHZrm, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32 }, },
{ 12177 /* vfmulcsh */, X86::VFMULCSHZrrb, Convert__Reg1_0__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 12177 /* vfmulcsh */, X86::VFMULCSHZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 12177 /* vfmulcsh */, X86::VFMULCSHZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32 }, },
{ 12177 /* vfmulcsh */, X86::VFMULCSHZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 12177 /* vfmulcsh */, X86::VFMULCSHZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32 }, },
{ 12177 /* vfmulcsh */, X86::VFMULCSHZrrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 12177 /* vfmulcsh */, X86::VFMULCSHZrrbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 12186 /* vfnmadd132pd */, X86::VFNMADD132PDr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12186 /* vfnmadd132pd */, X86::VFNMADD132PDm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 12186 /* vfnmadd132pd */, X86::VFNMADD132PDYr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 12186 /* vfnmadd132pd */, X86::VFNMADD132PDYm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 12186 /* vfnmadd132pd */, X86::VFNMADD132PDZ128r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12186 /* vfnmadd132pd */, X86::VFNMADD132PDZ128m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 12186 /* vfnmadd132pd */, X86::VFNMADD132PDZ256r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 12186 /* vfnmadd132pd */, X86::VFNMADD132PDZ256m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 12186 /* vfnmadd132pd */, X86::VFNMADD132PDZr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 12186 /* vfnmadd132pd */, X86::VFNMADD132PDZm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 12186 /* vfnmadd132pd */, X86::VFNMADD132PDZ128mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 12186 /* vfnmadd132pd */, X86::VFNMADD132PDZ256mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 12186 /* vfnmadd132pd */, X86::VFNMADD132PDZrb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 12186 /* vfnmadd132pd */, X86::VFNMADD132PDZmb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 12186 /* vfnmadd132pd */, X86::VFNMADD132PDZ128rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 12186 /* vfnmadd132pd */, X86::VFNMADD132PDZ128mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 12186 /* vfnmadd132pd */, X86::VFNMADD132PDZ256rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 12186 /* vfnmadd132pd */, X86::VFNMADD132PDZ256mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 12186 /* vfnmadd132pd */, X86::VFNMADD132PDZrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 12186 /* vfnmadd132pd */, X86::VFNMADD132PDZmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 12186 /* vfnmadd132pd */, X86::VFNMADD132PDZ128rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 12186 /* vfnmadd132pd */, X86::VFNMADD132PDZ128mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 12186 /* vfnmadd132pd */, X86::VFNMADD132PDZ128mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 12186 /* vfnmadd132pd */, X86::VFNMADD132PDZ256rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 12186 /* vfnmadd132pd */, X86::VFNMADD132PDZ256mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 12186 /* vfnmadd132pd */, X86::VFNMADD132PDZ256mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 12186 /* vfnmadd132pd */, X86::VFNMADD132PDZrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 12186 /* vfnmadd132pd */, X86::VFNMADD132PDZmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 12186 /* vfnmadd132pd */, X86::VFNMADD132PDZrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 12186 /* vfnmadd132pd */, X86::VFNMADD132PDZmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 12186 /* vfnmadd132pd */, X86::VFNMADD132PDZ128mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 12186 /* vfnmadd132pd */, X86::VFNMADD132PDZ256mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 12186 /* vfnmadd132pd */, X86::VFNMADD132PDZrbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 12186 /* vfnmadd132pd */, X86::VFNMADD132PDZmbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 12199 /* vfnmadd132ph */, X86::VFNMADD132PHZ128r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12199 /* vfnmadd132ph */, X86::VFNMADD132PHZ128m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 12199 /* vfnmadd132ph */, X86::VFNMADD132PHZ256r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 12199 /* vfnmadd132ph */, X86::VFNMADD132PHZ256m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 12199 /* vfnmadd132ph */, X86::VFNMADD132PHZr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 12199 /* vfnmadd132ph */, X86::VFNMADD132PHZm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 12199 /* vfnmadd132ph */, X86::VFNMADD132PHZ128mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem165_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 12199 /* vfnmadd132ph */, X86::VFNMADD132PHZ256mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem165_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 12199 /* vfnmadd132ph */, X86::VFNMADD132PHZrb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 12199 /* vfnmadd132ph */, X86::VFNMADD132PHZmb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem165_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 12199 /* vfnmadd132ph */, X86::VFNMADD132PHZ128rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 12199 /* vfnmadd132ph */, X86::VFNMADD132PHZ128mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 12199 /* vfnmadd132ph */, X86::VFNMADD132PHZ256rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 12199 /* vfnmadd132ph */, X86::VFNMADD132PHZ256mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 12199 /* vfnmadd132ph */, X86::VFNMADD132PHZrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 12199 /* vfnmadd132ph */, X86::VFNMADD132PHZmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 12199 /* vfnmadd132ph */, X86::VFNMADD132PHZ128rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 12199 /* vfnmadd132ph */, X86::VFNMADD132PHZ128mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 12199 /* vfnmadd132ph */, X86::VFNMADD132PHZ128mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 12199 /* vfnmadd132ph */, X86::VFNMADD132PHZ256rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 12199 /* vfnmadd132ph */, X86::VFNMADD132PHZ256mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 12199 /* vfnmadd132ph */, X86::VFNMADD132PHZ256mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 12199 /* vfnmadd132ph */, X86::VFNMADD132PHZrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 12199 /* vfnmadd132ph */, X86::VFNMADD132PHZmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 12199 /* vfnmadd132ph */, X86::VFNMADD132PHZrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 12199 /* vfnmadd132ph */, X86::VFNMADD132PHZmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 12199 /* vfnmadd132ph */, X86::VFNMADD132PHZ128mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 12199 /* vfnmadd132ph */, X86::VFNMADD132PHZ256mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 12199 /* vfnmadd132ph */, X86::VFNMADD132PHZrbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 12199 /* vfnmadd132ph */, X86::VFNMADD132PHZmbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 12212 /* vfnmadd132ps */, X86::VFNMADD132PSr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12212 /* vfnmadd132ps */, X86::VFNMADD132PSm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 12212 /* vfnmadd132ps */, X86::VFNMADD132PSYr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 12212 /* vfnmadd132ps */, X86::VFNMADD132PSYm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 12212 /* vfnmadd132ps */, X86::VFNMADD132PSZ128r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12212 /* vfnmadd132ps */, X86::VFNMADD132PSZ128m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 12212 /* vfnmadd132ps */, X86::VFNMADD132PSZ256r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 12212 /* vfnmadd132ps */, X86::VFNMADD132PSZ256m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 12212 /* vfnmadd132ps */, X86::VFNMADD132PSZr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 12212 /* vfnmadd132ps */, X86::VFNMADD132PSZm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 12212 /* vfnmadd132ps */, X86::VFNMADD132PSZ128mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 12212 /* vfnmadd132ps */, X86::VFNMADD132PSZ256mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 12212 /* vfnmadd132ps */, X86::VFNMADD132PSZrb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 12212 /* vfnmadd132ps */, X86::VFNMADD132PSZmb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 12212 /* vfnmadd132ps */, X86::VFNMADD132PSZ128rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 12212 /* vfnmadd132ps */, X86::VFNMADD132PSZ128mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 12212 /* vfnmadd132ps */, X86::VFNMADD132PSZ256rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 12212 /* vfnmadd132ps */, X86::VFNMADD132PSZ256mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 12212 /* vfnmadd132ps */, X86::VFNMADD132PSZrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 12212 /* vfnmadd132ps */, X86::VFNMADD132PSZmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 12212 /* vfnmadd132ps */, X86::VFNMADD132PSZ128rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 12212 /* vfnmadd132ps */, X86::VFNMADD132PSZ128mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 12212 /* vfnmadd132ps */, X86::VFNMADD132PSZ128mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 12212 /* vfnmadd132ps */, X86::VFNMADD132PSZ256rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 12212 /* vfnmadd132ps */, X86::VFNMADD132PSZ256mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 12212 /* vfnmadd132ps */, X86::VFNMADD132PSZ256mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 12212 /* vfnmadd132ps */, X86::VFNMADD132PSZrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 12212 /* vfnmadd132ps */, X86::VFNMADD132PSZmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 12212 /* vfnmadd132ps */, X86::VFNMADD132PSZrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 12212 /* vfnmadd132ps */, X86::VFNMADD132PSZmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 12212 /* vfnmadd132ps */, X86::VFNMADD132PSZ128mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 12212 /* vfnmadd132ps */, X86::VFNMADD132PSZ256mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 12212 /* vfnmadd132ps */, X86::VFNMADD132PSZrbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 12212 /* vfnmadd132ps */, X86::VFNMADD132PSZmbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 12225 /* vfnmadd132sd */, X86::VFNMADD132SDr_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12225 /* vfnmadd132sd */, X86::VFNMADD132SDm_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem64 }, },
{ 12225 /* vfnmadd132sd */, X86::VFNMADD132SDZr_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12225 /* vfnmadd132sd */, X86::VFNMADD132SDZm_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64 }, },
{ 12225 /* vfnmadd132sd */, X86::VFNMADD132SDZrb_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 12225 /* vfnmadd132sd */, X86::VFNMADD132SDZr_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 12225 /* vfnmadd132sd */, X86::VFNMADD132SDZm_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64 }, },
{ 12225 /* vfnmadd132sd */, X86::VFNMADD132SDZr_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 12225 /* vfnmadd132sd */, X86::VFNMADD132SDZm_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64 }, },
{ 12225 /* vfnmadd132sd */, X86::VFNMADD132SDZrb_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 12225 /* vfnmadd132sd */, X86::VFNMADD132SDZrb_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 12238 /* vfnmadd132sh */, X86::VFNMADD132SHZr_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12238 /* vfnmadd132sh */, X86::VFNMADD132SHZm_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem165_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem16 }, },
{ 12238 /* vfnmadd132sh */, X86::VFNMADD132SHZrb_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 12238 /* vfnmadd132sh */, X86::VFNMADD132SHZr_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 12238 /* vfnmadd132sh */, X86::VFNMADD132SHZm_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem16 }, },
{ 12238 /* vfnmadd132sh */, X86::VFNMADD132SHZr_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 12238 /* vfnmadd132sh */, X86::VFNMADD132SHZm_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem16 }, },
{ 12238 /* vfnmadd132sh */, X86::VFNMADD132SHZrb_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 12238 /* vfnmadd132sh */, X86::VFNMADD132SHZrb_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 12251 /* vfnmadd132ss */, X86::VFNMADD132SSr_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12251 /* vfnmadd132ss */, X86::VFNMADD132SSm_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem32 }, },
{ 12251 /* vfnmadd132ss */, X86::VFNMADD132SSZr_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12251 /* vfnmadd132ss */, X86::VFNMADD132SSZm_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32 }, },
{ 12251 /* vfnmadd132ss */, X86::VFNMADD132SSZrb_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 12251 /* vfnmadd132ss */, X86::VFNMADD132SSZr_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 12251 /* vfnmadd132ss */, X86::VFNMADD132SSZm_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32 }, },
{ 12251 /* vfnmadd132ss */, X86::VFNMADD132SSZr_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 12251 /* vfnmadd132ss */, X86::VFNMADD132SSZm_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32 }, },
{ 12251 /* vfnmadd132ss */, X86::VFNMADD132SSZrb_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 12251 /* vfnmadd132ss */, X86::VFNMADD132SSZrb_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 12264 /* vfnmadd213pd */, X86::VFNMADD213PDr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12264 /* vfnmadd213pd */, X86::VFNMADD213PDm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 12264 /* vfnmadd213pd */, X86::VFNMADD213PDYr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 12264 /* vfnmadd213pd */, X86::VFNMADD213PDYm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 12264 /* vfnmadd213pd */, X86::VFNMADD213PDZ128r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12264 /* vfnmadd213pd */, X86::VFNMADD213PDZ128m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 12264 /* vfnmadd213pd */, X86::VFNMADD213PDZ256r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 12264 /* vfnmadd213pd */, X86::VFNMADD213PDZ256m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 12264 /* vfnmadd213pd */, X86::VFNMADD213PDZr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 12264 /* vfnmadd213pd */, X86::VFNMADD213PDZm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 12264 /* vfnmadd213pd */, X86::VFNMADD213PDZ128mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 12264 /* vfnmadd213pd */, X86::VFNMADD213PDZ256mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 12264 /* vfnmadd213pd */, X86::VFNMADD213PDZrb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 12264 /* vfnmadd213pd */, X86::VFNMADD213PDZmb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 12264 /* vfnmadd213pd */, X86::VFNMADD213PDZ128rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 12264 /* vfnmadd213pd */, X86::VFNMADD213PDZ128mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 12264 /* vfnmadd213pd */, X86::VFNMADD213PDZ256rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 12264 /* vfnmadd213pd */, X86::VFNMADD213PDZ256mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 12264 /* vfnmadd213pd */, X86::VFNMADD213PDZrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 12264 /* vfnmadd213pd */, X86::VFNMADD213PDZmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 12264 /* vfnmadd213pd */, X86::VFNMADD213PDZ128rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 12264 /* vfnmadd213pd */, X86::VFNMADD213PDZ128mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 12264 /* vfnmadd213pd */, X86::VFNMADD213PDZ128mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 12264 /* vfnmadd213pd */, X86::VFNMADD213PDZ256rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 12264 /* vfnmadd213pd */, X86::VFNMADD213PDZ256mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 12264 /* vfnmadd213pd */, X86::VFNMADD213PDZ256mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 12264 /* vfnmadd213pd */, X86::VFNMADD213PDZrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 12264 /* vfnmadd213pd */, X86::VFNMADD213PDZmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 12264 /* vfnmadd213pd */, X86::VFNMADD213PDZrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 12264 /* vfnmadd213pd */, X86::VFNMADD213PDZmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 12264 /* vfnmadd213pd */, X86::VFNMADD213PDZ128mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 12264 /* vfnmadd213pd */, X86::VFNMADD213PDZ256mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 12264 /* vfnmadd213pd */, X86::VFNMADD213PDZrbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 12264 /* vfnmadd213pd */, X86::VFNMADD213PDZmbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 12277 /* vfnmadd213ph */, X86::VFNMADD213PHZ128r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12277 /* vfnmadd213ph */, X86::VFNMADD213PHZ128m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 12277 /* vfnmadd213ph */, X86::VFNMADD213PHZ256r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 12277 /* vfnmadd213ph */, X86::VFNMADD213PHZ256m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 12277 /* vfnmadd213ph */, X86::VFNMADD213PHZr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 12277 /* vfnmadd213ph */, X86::VFNMADD213PHZm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 12277 /* vfnmadd213ph */, X86::VFNMADD213PHZ128mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem165_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 12277 /* vfnmadd213ph */, X86::VFNMADD213PHZ256mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem165_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 12277 /* vfnmadd213ph */, X86::VFNMADD213PHZrb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 12277 /* vfnmadd213ph */, X86::VFNMADD213PHZmb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem165_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 12277 /* vfnmadd213ph */, X86::VFNMADD213PHZ128rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 12277 /* vfnmadd213ph */, X86::VFNMADD213PHZ128mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 12277 /* vfnmadd213ph */, X86::VFNMADD213PHZ256rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 12277 /* vfnmadd213ph */, X86::VFNMADD213PHZ256mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 12277 /* vfnmadd213ph */, X86::VFNMADD213PHZrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 12277 /* vfnmadd213ph */, X86::VFNMADD213PHZmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 12277 /* vfnmadd213ph */, X86::VFNMADD213PHZ128rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 12277 /* vfnmadd213ph */, X86::VFNMADD213PHZ128mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 12277 /* vfnmadd213ph */, X86::VFNMADD213PHZ128mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 12277 /* vfnmadd213ph */, X86::VFNMADD213PHZ256rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 12277 /* vfnmadd213ph */, X86::VFNMADD213PHZ256mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 12277 /* vfnmadd213ph */, X86::VFNMADD213PHZ256mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 12277 /* vfnmadd213ph */, X86::VFNMADD213PHZrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 12277 /* vfnmadd213ph */, X86::VFNMADD213PHZmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 12277 /* vfnmadd213ph */, X86::VFNMADD213PHZrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 12277 /* vfnmadd213ph */, X86::VFNMADD213PHZmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 12277 /* vfnmadd213ph */, X86::VFNMADD213PHZ128mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 12277 /* vfnmadd213ph */, X86::VFNMADD213PHZ256mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 12277 /* vfnmadd213ph */, X86::VFNMADD213PHZrbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 12277 /* vfnmadd213ph */, X86::VFNMADD213PHZmbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 12290 /* vfnmadd213ps */, X86::VFNMADD213PSr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12290 /* vfnmadd213ps */, X86::VFNMADD213PSm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 12290 /* vfnmadd213ps */, X86::VFNMADD213PSYr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 12290 /* vfnmadd213ps */, X86::VFNMADD213PSYm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 12290 /* vfnmadd213ps */, X86::VFNMADD213PSZ128r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12290 /* vfnmadd213ps */, X86::VFNMADD213PSZ128m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 12290 /* vfnmadd213ps */, X86::VFNMADD213PSZ256r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 12290 /* vfnmadd213ps */, X86::VFNMADD213PSZ256m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 12290 /* vfnmadd213ps */, X86::VFNMADD213PSZr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 12290 /* vfnmadd213ps */, X86::VFNMADD213PSZm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 12290 /* vfnmadd213ps */, X86::VFNMADD213PSZ128mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 12290 /* vfnmadd213ps */, X86::VFNMADD213PSZ256mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 12290 /* vfnmadd213ps */, X86::VFNMADD213PSZrb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 12290 /* vfnmadd213ps */, X86::VFNMADD213PSZmb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 12290 /* vfnmadd213ps */, X86::VFNMADD213PSZ128rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 12290 /* vfnmadd213ps */, X86::VFNMADD213PSZ128mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 12290 /* vfnmadd213ps */, X86::VFNMADD213PSZ256rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 12290 /* vfnmadd213ps */, X86::VFNMADD213PSZ256mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 12290 /* vfnmadd213ps */, X86::VFNMADD213PSZrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 12290 /* vfnmadd213ps */, X86::VFNMADD213PSZmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 12290 /* vfnmadd213ps */, X86::VFNMADD213PSZ128rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 12290 /* vfnmadd213ps */, X86::VFNMADD213PSZ128mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 12290 /* vfnmadd213ps */, X86::VFNMADD213PSZ128mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 12290 /* vfnmadd213ps */, X86::VFNMADD213PSZ256rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 12290 /* vfnmadd213ps */, X86::VFNMADD213PSZ256mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 12290 /* vfnmadd213ps */, X86::VFNMADD213PSZ256mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 12290 /* vfnmadd213ps */, X86::VFNMADD213PSZrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 12290 /* vfnmadd213ps */, X86::VFNMADD213PSZmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 12290 /* vfnmadd213ps */, X86::VFNMADD213PSZrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 12290 /* vfnmadd213ps */, X86::VFNMADD213PSZmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 12290 /* vfnmadd213ps */, X86::VFNMADD213PSZ128mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 12290 /* vfnmadd213ps */, X86::VFNMADD213PSZ256mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 12290 /* vfnmadd213ps */, X86::VFNMADD213PSZrbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 12290 /* vfnmadd213ps */, X86::VFNMADD213PSZmbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 12303 /* vfnmadd213sd */, X86::VFNMADD213SDr_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12303 /* vfnmadd213sd */, X86::VFNMADD213SDm_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem64 }, },
{ 12303 /* vfnmadd213sd */, X86::VFNMADD213SDZr_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12303 /* vfnmadd213sd */, X86::VFNMADD213SDZm_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64 }, },
{ 12303 /* vfnmadd213sd */, X86::VFNMADD213SDZrb_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 12303 /* vfnmadd213sd */, X86::VFNMADD213SDZr_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 12303 /* vfnmadd213sd */, X86::VFNMADD213SDZm_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64 }, },
{ 12303 /* vfnmadd213sd */, X86::VFNMADD213SDZr_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 12303 /* vfnmadd213sd */, X86::VFNMADD213SDZm_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64 }, },
{ 12303 /* vfnmadd213sd */, X86::VFNMADD213SDZrb_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 12303 /* vfnmadd213sd */, X86::VFNMADD213SDZrb_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 12316 /* vfnmadd213sh */, X86::VFNMADD213SHZr_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12316 /* vfnmadd213sh */, X86::VFNMADD213SHZm_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem165_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem16 }, },
{ 12316 /* vfnmadd213sh */, X86::VFNMADD213SHZrb_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 12316 /* vfnmadd213sh */, X86::VFNMADD213SHZr_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 12316 /* vfnmadd213sh */, X86::VFNMADD213SHZm_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem16 }, },
{ 12316 /* vfnmadd213sh */, X86::VFNMADD213SHZr_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 12316 /* vfnmadd213sh */, X86::VFNMADD213SHZm_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem16 }, },
{ 12316 /* vfnmadd213sh */, X86::VFNMADD213SHZrb_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 12316 /* vfnmadd213sh */, X86::VFNMADD213SHZrb_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 12329 /* vfnmadd213ss */, X86::VFNMADD213SSr_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12329 /* vfnmadd213ss */, X86::VFNMADD213SSm_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem32 }, },
{ 12329 /* vfnmadd213ss */, X86::VFNMADD213SSZr_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12329 /* vfnmadd213ss */, X86::VFNMADD213SSZm_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32 }, },
{ 12329 /* vfnmadd213ss */, X86::VFNMADD213SSZrb_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 12329 /* vfnmadd213ss */, X86::VFNMADD213SSZr_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 12329 /* vfnmadd213ss */, X86::VFNMADD213SSZm_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32 }, },
{ 12329 /* vfnmadd213ss */, X86::VFNMADD213SSZr_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 12329 /* vfnmadd213ss */, X86::VFNMADD213SSZm_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32 }, },
{ 12329 /* vfnmadd213ss */, X86::VFNMADD213SSZrb_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 12329 /* vfnmadd213ss */, X86::VFNMADD213SSZrb_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 12342 /* vfnmadd231pd */, X86::VFNMADD231PDr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12342 /* vfnmadd231pd */, X86::VFNMADD231PDm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 12342 /* vfnmadd231pd */, X86::VFNMADD231PDYr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 12342 /* vfnmadd231pd */, X86::VFNMADD231PDYm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 12342 /* vfnmadd231pd */, X86::VFNMADD231PDZ128r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12342 /* vfnmadd231pd */, X86::VFNMADD231PDZ128m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 12342 /* vfnmadd231pd */, X86::VFNMADD231PDZ256r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 12342 /* vfnmadd231pd */, X86::VFNMADD231PDZ256m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 12342 /* vfnmadd231pd */, X86::VFNMADD231PDZr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 12342 /* vfnmadd231pd */, X86::VFNMADD231PDZm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 12342 /* vfnmadd231pd */, X86::VFNMADD231PDZ128mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 12342 /* vfnmadd231pd */, X86::VFNMADD231PDZ256mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 12342 /* vfnmadd231pd */, X86::VFNMADD231PDZrb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 12342 /* vfnmadd231pd */, X86::VFNMADD231PDZmb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 12342 /* vfnmadd231pd */, X86::VFNMADD231PDZ128rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 12342 /* vfnmadd231pd */, X86::VFNMADD231PDZ128mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 12342 /* vfnmadd231pd */, X86::VFNMADD231PDZ256rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 12342 /* vfnmadd231pd */, X86::VFNMADD231PDZ256mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 12342 /* vfnmadd231pd */, X86::VFNMADD231PDZrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 12342 /* vfnmadd231pd */, X86::VFNMADD231PDZmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 12342 /* vfnmadd231pd */, X86::VFNMADD231PDZ128rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 12342 /* vfnmadd231pd */, X86::VFNMADD231PDZ128mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 12342 /* vfnmadd231pd */, X86::VFNMADD231PDZ128mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 12342 /* vfnmadd231pd */, X86::VFNMADD231PDZ256rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 12342 /* vfnmadd231pd */, X86::VFNMADD231PDZ256mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 12342 /* vfnmadd231pd */, X86::VFNMADD231PDZ256mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 12342 /* vfnmadd231pd */, X86::VFNMADD231PDZrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 12342 /* vfnmadd231pd */, X86::VFNMADD231PDZmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 12342 /* vfnmadd231pd */, X86::VFNMADD231PDZrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 12342 /* vfnmadd231pd */, X86::VFNMADD231PDZmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 12342 /* vfnmadd231pd */, X86::VFNMADD231PDZ128mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 12342 /* vfnmadd231pd */, X86::VFNMADD231PDZ256mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 12342 /* vfnmadd231pd */, X86::VFNMADD231PDZrbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 12342 /* vfnmadd231pd */, X86::VFNMADD231PDZmbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 12355 /* vfnmadd231ph */, X86::VFNMADD231PHZ128r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12355 /* vfnmadd231ph */, X86::VFNMADD231PHZ128m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 12355 /* vfnmadd231ph */, X86::VFNMADD231PHZ256r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 12355 /* vfnmadd231ph */, X86::VFNMADD231PHZ256m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 12355 /* vfnmadd231ph */, X86::VFNMADD231PHZr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 12355 /* vfnmadd231ph */, X86::VFNMADD231PHZm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 12355 /* vfnmadd231ph */, X86::VFNMADD231PHZ128mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem165_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 12355 /* vfnmadd231ph */, X86::VFNMADD231PHZ256mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem165_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 12355 /* vfnmadd231ph */, X86::VFNMADD231PHZrb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 12355 /* vfnmadd231ph */, X86::VFNMADD231PHZmb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem165_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 12355 /* vfnmadd231ph */, X86::VFNMADD231PHZ128rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 12355 /* vfnmadd231ph */, X86::VFNMADD231PHZ128mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 12355 /* vfnmadd231ph */, X86::VFNMADD231PHZ256rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 12355 /* vfnmadd231ph */, X86::VFNMADD231PHZ256mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 12355 /* vfnmadd231ph */, X86::VFNMADD231PHZrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 12355 /* vfnmadd231ph */, X86::VFNMADD231PHZmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 12355 /* vfnmadd231ph */, X86::VFNMADD231PHZ128rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 12355 /* vfnmadd231ph */, X86::VFNMADD231PHZ128mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 12355 /* vfnmadd231ph */, X86::VFNMADD231PHZ128mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 12355 /* vfnmadd231ph */, X86::VFNMADD231PHZ256rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 12355 /* vfnmadd231ph */, X86::VFNMADD231PHZ256mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 12355 /* vfnmadd231ph */, X86::VFNMADD231PHZ256mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 12355 /* vfnmadd231ph */, X86::VFNMADD231PHZrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 12355 /* vfnmadd231ph */, X86::VFNMADD231PHZmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 12355 /* vfnmadd231ph */, X86::VFNMADD231PHZrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 12355 /* vfnmadd231ph */, X86::VFNMADD231PHZmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 12355 /* vfnmadd231ph */, X86::VFNMADD231PHZ128mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 12355 /* vfnmadd231ph */, X86::VFNMADD231PHZ256mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 12355 /* vfnmadd231ph */, X86::VFNMADD231PHZrbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 12355 /* vfnmadd231ph */, X86::VFNMADD231PHZmbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 12368 /* vfnmadd231ps */, X86::VFNMADD231PSr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12368 /* vfnmadd231ps */, X86::VFNMADD231PSm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 12368 /* vfnmadd231ps */, X86::VFNMADD231PSYr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 12368 /* vfnmadd231ps */, X86::VFNMADD231PSYm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 12368 /* vfnmadd231ps */, X86::VFNMADD231PSZ128r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12368 /* vfnmadd231ps */, X86::VFNMADD231PSZ128m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 12368 /* vfnmadd231ps */, X86::VFNMADD231PSZ256r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 12368 /* vfnmadd231ps */, X86::VFNMADD231PSZ256m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 12368 /* vfnmadd231ps */, X86::VFNMADD231PSZr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 12368 /* vfnmadd231ps */, X86::VFNMADD231PSZm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 12368 /* vfnmadd231ps */, X86::VFNMADD231PSZ128mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 12368 /* vfnmadd231ps */, X86::VFNMADD231PSZ256mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 12368 /* vfnmadd231ps */, X86::VFNMADD231PSZrb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 12368 /* vfnmadd231ps */, X86::VFNMADD231PSZmb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 12368 /* vfnmadd231ps */, X86::VFNMADD231PSZ128rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 12368 /* vfnmadd231ps */, X86::VFNMADD231PSZ128mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 12368 /* vfnmadd231ps */, X86::VFNMADD231PSZ256rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 12368 /* vfnmadd231ps */, X86::VFNMADD231PSZ256mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 12368 /* vfnmadd231ps */, X86::VFNMADD231PSZrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 12368 /* vfnmadd231ps */, X86::VFNMADD231PSZmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 12368 /* vfnmadd231ps */, X86::VFNMADD231PSZ128rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 12368 /* vfnmadd231ps */, X86::VFNMADD231PSZ128mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 12368 /* vfnmadd231ps */, X86::VFNMADD231PSZ128mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 12368 /* vfnmadd231ps */, X86::VFNMADD231PSZ256rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 12368 /* vfnmadd231ps */, X86::VFNMADD231PSZ256mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 12368 /* vfnmadd231ps */, X86::VFNMADD231PSZ256mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 12368 /* vfnmadd231ps */, X86::VFNMADD231PSZrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 12368 /* vfnmadd231ps */, X86::VFNMADD231PSZmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 12368 /* vfnmadd231ps */, X86::VFNMADD231PSZrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 12368 /* vfnmadd231ps */, X86::VFNMADD231PSZmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 12368 /* vfnmadd231ps */, X86::VFNMADD231PSZ128mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 12368 /* vfnmadd231ps */, X86::VFNMADD231PSZ256mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 12368 /* vfnmadd231ps */, X86::VFNMADD231PSZrbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 12368 /* vfnmadd231ps */, X86::VFNMADD231PSZmbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 12381 /* vfnmadd231sd */, X86::VFNMADD231SDr_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12381 /* vfnmadd231sd */, X86::VFNMADD231SDm_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem64 }, },
{ 12381 /* vfnmadd231sd */, X86::VFNMADD231SDZr_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12381 /* vfnmadd231sd */, X86::VFNMADD231SDZm_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64 }, },
{ 12381 /* vfnmadd231sd */, X86::VFNMADD231SDZrb_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 12381 /* vfnmadd231sd */, X86::VFNMADD231SDZr_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 12381 /* vfnmadd231sd */, X86::VFNMADD231SDZm_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64 }, },
{ 12381 /* vfnmadd231sd */, X86::VFNMADD231SDZr_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 12381 /* vfnmadd231sd */, X86::VFNMADD231SDZm_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64 }, },
{ 12381 /* vfnmadd231sd */, X86::VFNMADD231SDZrb_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 12381 /* vfnmadd231sd */, X86::VFNMADD231SDZrb_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 12394 /* vfnmadd231sh */, X86::VFNMADD231SHZr_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12394 /* vfnmadd231sh */, X86::VFNMADD231SHZm_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem165_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem16 }, },
{ 12394 /* vfnmadd231sh */, X86::VFNMADD231SHZrb_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 12394 /* vfnmadd231sh */, X86::VFNMADD231SHZr_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 12394 /* vfnmadd231sh */, X86::VFNMADD231SHZm_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem16 }, },
{ 12394 /* vfnmadd231sh */, X86::VFNMADD231SHZr_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 12394 /* vfnmadd231sh */, X86::VFNMADD231SHZm_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem16 }, },
{ 12394 /* vfnmadd231sh */, X86::VFNMADD231SHZrb_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 12394 /* vfnmadd231sh */, X86::VFNMADD231SHZrb_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 12407 /* vfnmadd231ss */, X86::VFNMADD231SSr_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12407 /* vfnmadd231ss */, X86::VFNMADD231SSm_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem32 }, },
{ 12407 /* vfnmadd231ss */, X86::VFNMADD231SSZr_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12407 /* vfnmadd231ss */, X86::VFNMADD231SSZm_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32 }, },
{ 12407 /* vfnmadd231ss */, X86::VFNMADD231SSZrb_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 12407 /* vfnmadd231ss */, X86::VFNMADD231SSZr_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 12407 /* vfnmadd231ss */, X86::VFNMADD231SSZm_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32 }, },
{ 12407 /* vfnmadd231ss */, X86::VFNMADD231SSZr_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 12407 /* vfnmadd231ss */, X86::VFNMADD231SSZm_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32 }, },
{ 12407 /* vfnmadd231ss */, X86::VFNMADD231SSZrb_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 12407 /* vfnmadd231ss */, X86::VFNMADD231SSZrb_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 12420 /* vfnmaddpd */, X86::VFNMADDPD4rr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12420 /* vfnmaddpd */, X86::VFNMADDPD4rm, Convert__Reg1_0__Reg1_1__Reg1_2__Mem1285_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 12420 /* vfnmaddpd */, X86::VFNMADDPD4mr, Convert__Reg1_0__Reg1_1__Mem1285_2__Reg1_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128, MCK_FR16 }, },
{ 12420 /* vfnmaddpd */, X86::VFNMADDPD4Yrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 12420 /* vfnmaddpd */, X86::VFNMADDPD4Yrm, Convert__Reg1_0__Reg1_1__Reg1_2__Mem2565_3, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 12420 /* vfnmaddpd */, X86::VFNMADDPD4Ymr, Convert__Reg1_0__Reg1_1__Mem2565_2__Reg1_3, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256, MCK_VR256 }, },
{ 12430 /* vfnmaddps */, X86::VFNMADDPS4rr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12430 /* vfnmaddps */, X86::VFNMADDPS4rm, Convert__Reg1_0__Reg1_1__Reg1_2__Mem1285_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 12430 /* vfnmaddps */, X86::VFNMADDPS4mr, Convert__Reg1_0__Reg1_1__Mem1285_2__Reg1_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128, MCK_FR16 }, },
{ 12430 /* vfnmaddps */, X86::VFNMADDPS4Yrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 12430 /* vfnmaddps */, X86::VFNMADDPS4Yrm, Convert__Reg1_0__Reg1_1__Reg1_2__Mem2565_3, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 12430 /* vfnmaddps */, X86::VFNMADDPS4Ymr, Convert__Reg1_0__Reg1_1__Mem2565_2__Reg1_3, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256, MCK_VR256 }, },
{ 12440 /* vfnmaddsd */, X86::VFNMADDSD4rr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12440 /* vfnmaddsd */, X86::VFNMADDSD4rm, Convert__Reg1_0__Reg1_1__Reg1_2__Mem645_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_Mem64 }, },
{ 12440 /* vfnmaddsd */, X86::VFNMADDSD4mr, Convert__Reg1_0__Reg1_1__Mem645_2__Reg1_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem64, MCK_FR16 }, },
{ 12450 /* vfnmaddss */, X86::VFNMADDSS4rr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12450 /* vfnmaddss */, X86::VFNMADDSS4rm, Convert__Reg1_0__Reg1_1__Reg1_2__Mem325_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_Mem32 }, },
{ 12450 /* vfnmaddss */, X86::VFNMADDSS4mr, Convert__Reg1_0__Reg1_1__Mem325_2__Reg1_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem32, MCK_FR16 }, },
{ 12460 /* vfnmsub132pd */, X86::VFNMSUB132PDr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12460 /* vfnmsub132pd */, X86::VFNMSUB132PDm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 12460 /* vfnmsub132pd */, X86::VFNMSUB132PDYr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 12460 /* vfnmsub132pd */, X86::VFNMSUB132PDYm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 12460 /* vfnmsub132pd */, X86::VFNMSUB132PDZ128r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12460 /* vfnmsub132pd */, X86::VFNMSUB132PDZ128m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 12460 /* vfnmsub132pd */, X86::VFNMSUB132PDZ256r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 12460 /* vfnmsub132pd */, X86::VFNMSUB132PDZ256m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 12460 /* vfnmsub132pd */, X86::VFNMSUB132PDZr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 12460 /* vfnmsub132pd */, X86::VFNMSUB132PDZm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 12460 /* vfnmsub132pd */, X86::VFNMSUB132PDZ128mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 12460 /* vfnmsub132pd */, X86::VFNMSUB132PDZ256mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 12460 /* vfnmsub132pd */, X86::VFNMSUB132PDZrb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 12460 /* vfnmsub132pd */, X86::VFNMSUB132PDZmb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 12460 /* vfnmsub132pd */, X86::VFNMSUB132PDZ128rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 12460 /* vfnmsub132pd */, X86::VFNMSUB132PDZ128mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 12460 /* vfnmsub132pd */, X86::VFNMSUB132PDZ256rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 12460 /* vfnmsub132pd */, X86::VFNMSUB132PDZ256mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 12460 /* vfnmsub132pd */, X86::VFNMSUB132PDZrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 12460 /* vfnmsub132pd */, X86::VFNMSUB132PDZmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 12460 /* vfnmsub132pd */, X86::VFNMSUB132PDZ128rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 12460 /* vfnmsub132pd */, X86::VFNMSUB132PDZ128mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 12460 /* vfnmsub132pd */, X86::VFNMSUB132PDZ128mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 12460 /* vfnmsub132pd */, X86::VFNMSUB132PDZ256rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 12460 /* vfnmsub132pd */, X86::VFNMSUB132PDZ256mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 12460 /* vfnmsub132pd */, X86::VFNMSUB132PDZ256mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 12460 /* vfnmsub132pd */, X86::VFNMSUB132PDZrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 12460 /* vfnmsub132pd */, X86::VFNMSUB132PDZmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 12460 /* vfnmsub132pd */, X86::VFNMSUB132PDZrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 12460 /* vfnmsub132pd */, X86::VFNMSUB132PDZmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 12460 /* vfnmsub132pd */, X86::VFNMSUB132PDZ128mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 12460 /* vfnmsub132pd */, X86::VFNMSUB132PDZ256mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 12460 /* vfnmsub132pd */, X86::VFNMSUB132PDZrbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 12460 /* vfnmsub132pd */, X86::VFNMSUB132PDZmbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 12473 /* vfnmsub132ph */, X86::VFNMSUB132PHZ128r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12473 /* vfnmsub132ph */, X86::VFNMSUB132PHZ128m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 12473 /* vfnmsub132ph */, X86::VFNMSUB132PHZ256r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 12473 /* vfnmsub132ph */, X86::VFNMSUB132PHZ256m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 12473 /* vfnmsub132ph */, X86::VFNMSUB132PHZr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 12473 /* vfnmsub132ph */, X86::VFNMSUB132PHZm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 12473 /* vfnmsub132ph */, X86::VFNMSUB132PHZ128mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem165_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 12473 /* vfnmsub132ph */, X86::VFNMSUB132PHZ256mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem165_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 12473 /* vfnmsub132ph */, X86::VFNMSUB132PHZrb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 12473 /* vfnmsub132ph */, X86::VFNMSUB132PHZmb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem165_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 12473 /* vfnmsub132ph */, X86::VFNMSUB132PHZ128rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 12473 /* vfnmsub132ph */, X86::VFNMSUB132PHZ128mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 12473 /* vfnmsub132ph */, X86::VFNMSUB132PHZ256rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 12473 /* vfnmsub132ph */, X86::VFNMSUB132PHZ256mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 12473 /* vfnmsub132ph */, X86::VFNMSUB132PHZrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 12473 /* vfnmsub132ph */, X86::VFNMSUB132PHZmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 12473 /* vfnmsub132ph */, X86::VFNMSUB132PHZ128rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 12473 /* vfnmsub132ph */, X86::VFNMSUB132PHZ128mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 12473 /* vfnmsub132ph */, X86::VFNMSUB132PHZ128mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 12473 /* vfnmsub132ph */, X86::VFNMSUB132PHZ256rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 12473 /* vfnmsub132ph */, X86::VFNMSUB132PHZ256mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 12473 /* vfnmsub132ph */, X86::VFNMSUB132PHZ256mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 12473 /* vfnmsub132ph */, X86::VFNMSUB132PHZrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 12473 /* vfnmsub132ph */, X86::VFNMSUB132PHZmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 12473 /* vfnmsub132ph */, X86::VFNMSUB132PHZrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 12473 /* vfnmsub132ph */, X86::VFNMSUB132PHZmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 12473 /* vfnmsub132ph */, X86::VFNMSUB132PHZ128mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 12473 /* vfnmsub132ph */, X86::VFNMSUB132PHZ256mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 12473 /* vfnmsub132ph */, X86::VFNMSUB132PHZrbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 12473 /* vfnmsub132ph */, X86::VFNMSUB132PHZmbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 12486 /* vfnmsub132ps */, X86::VFNMSUB132PSr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12486 /* vfnmsub132ps */, X86::VFNMSUB132PSm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 12486 /* vfnmsub132ps */, X86::VFNMSUB132PSYr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 12486 /* vfnmsub132ps */, X86::VFNMSUB132PSYm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 12486 /* vfnmsub132ps */, X86::VFNMSUB132PSZ128r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12486 /* vfnmsub132ps */, X86::VFNMSUB132PSZ128m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 12486 /* vfnmsub132ps */, X86::VFNMSUB132PSZ256r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 12486 /* vfnmsub132ps */, X86::VFNMSUB132PSZ256m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 12486 /* vfnmsub132ps */, X86::VFNMSUB132PSZr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 12486 /* vfnmsub132ps */, X86::VFNMSUB132PSZm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 12486 /* vfnmsub132ps */, X86::VFNMSUB132PSZ128mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 12486 /* vfnmsub132ps */, X86::VFNMSUB132PSZ256mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 12486 /* vfnmsub132ps */, X86::VFNMSUB132PSZrb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 12486 /* vfnmsub132ps */, X86::VFNMSUB132PSZmb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 12486 /* vfnmsub132ps */, X86::VFNMSUB132PSZ128rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 12486 /* vfnmsub132ps */, X86::VFNMSUB132PSZ128mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 12486 /* vfnmsub132ps */, X86::VFNMSUB132PSZ256rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 12486 /* vfnmsub132ps */, X86::VFNMSUB132PSZ256mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 12486 /* vfnmsub132ps */, X86::VFNMSUB132PSZrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 12486 /* vfnmsub132ps */, X86::VFNMSUB132PSZmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 12486 /* vfnmsub132ps */, X86::VFNMSUB132PSZ128rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 12486 /* vfnmsub132ps */, X86::VFNMSUB132PSZ128mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 12486 /* vfnmsub132ps */, X86::VFNMSUB132PSZ128mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 12486 /* vfnmsub132ps */, X86::VFNMSUB132PSZ256rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 12486 /* vfnmsub132ps */, X86::VFNMSUB132PSZ256mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 12486 /* vfnmsub132ps */, X86::VFNMSUB132PSZ256mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 12486 /* vfnmsub132ps */, X86::VFNMSUB132PSZrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 12486 /* vfnmsub132ps */, X86::VFNMSUB132PSZmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 12486 /* vfnmsub132ps */, X86::VFNMSUB132PSZrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 12486 /* vfnmsub132ps */, X86::VFNMSUB132PSZmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 12486 /* vfnmsub132ps */, X86::VFNMSUB132PSZ128mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 12486 /* vfnmsub132ps */, X86::VFNMSUB132PSZ256mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 12486 /* vfnmsub132ps */, X86::VFNMSUB132PSZrbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 12486 /* vfnmsub132ps */, X86::VFNMSUB132PSZmbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 12499 /* vfnmsub132sd */, X86::VFNMSUB132SDr_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12499 /* vfnmsub132sd */, X86::VFNMSUB132SDm_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem64 }, },
{ 12499 /* vfnmsub132sd */, X86::VFNMSUB132SDZr_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12499 /* vfnmsub132sd */, X86::VFNMSUB132SDZm_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64 }, },
{ 12499 /* vfnmsub132sd */, X86::VFNMSUB132SDZrb_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 12499 /* vfnmsub132sd */, X86::VFNMSUB132SDZr_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 12499 /* vfnmsub132sd */, X86::VFNMSUB132SDZm_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64 }, },
{ 12499 /* vfnmsub132sd */, X86::VFNMSUB132SDZr_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 12499 /* vfnmsub132sd */, X86::VFNMSUB132SDZm_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64 }, },
{ 12499 /* vfnmsub132sd */, X86::VFNMSUB132SDZrb_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 12499 /* vfnmsub132sd */, X86::VFNMSUB132SDZrb_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 12512 /* vfnmsub132sh */, X86::VFNMSUB132SHZr_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12512 /* vfnmsub132sh */, X86::VFNMSUB132SHZm_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem165_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem16 }, },
{ 12512 /* vfnmsub132sh */, X86::VFNMSUB132SHZrb_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 12512 /* vfnmsub132sh */, X86::VFNMSUB132SHZr_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 12512 /* vfnmsub132sh */, X86::VFNMSUB132SHZm_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem16 }, },
{ 12512 /* vfnmsub132sh */, X86::VFNMSUB132SHZr_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 12512 /* vfnmsub132sh */, X86::VFNMSUB132SHZm_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem16 }, },
{ 12512 /* vfnmsub132sh */, X86::VFNMSUB132SHZrb_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 12512 /* vfnmsub132sh */, X86::VFNMSUB132SHZrb_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 12525 /* vfnmsub132ss */, X86::VFNMSUB132SSr_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12525 /* vfnmsub132ss */, X86::VFNMSUB132SSm_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem32 }, },
{ 12525 /* vfnmsub132ss */, X86::VFNMSUB132SSZr_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12525 /* vfnmsub132ss */, X86::VFNMSUB132SSZm_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32 }, },
{ 12525 /* vfnmsub132ss */, X86::VFNMSUB132SSZrb_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 12525 /* vfnmsub132ss */, X86::VFNMSUB132SSZr_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 12525 /* vfnmsub132ss */, X86::VFNMSUB132SSZm_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32 }, },
{ 12525 /* vfnmsub132ss */, X86::VFNMSUB132SSZr_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 12525 /* vfnmsub132ss */, X86::VFNMSUB132SSZm_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32 }, },
{ 12525 /* vfnmsub132ss */, X86::VFNMSUB132SSZrb_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 12525 /* vfnmsub132ss */, X86::VFNMSUB132SSZrb_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 12538 /* vfnmsub213pd */, X86::VFNMSUB213PDr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12538 /* vfnmsub213pd */, X86::VFNMSUB213PDm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 12538 /* vfnmsub213pd */, X86::VFNMSUB213PDYr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 12538 /* vfnmsub213pd */, X86::VFNMSUB213PDYm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 12538 /* vfnmsub213pd */, X86::VFNMSUB213PDZ128r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12538 /* vfnmsub213pd */, X86::VFNMSUB213PDZ128m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 12538 /* vfnmsub213pd */, X86::VFNMSUB213PDZ256r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 12538 /* vfnmsub213pd */, X86::VFNMSUB213PDZ256m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 12538 /* vfnmsub213pd */, X86::VFNMSUB213PDZr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 12538 /* vfnmsub213pd */, X86::VFNMSUB213PDZm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 12538 /* vfnmsub213pd */, X86::VFNMSUB213PDZ128mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 12538 /* vfnmsub213pd */, X86::VFNMSUB213PDZ256mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 12538 /* vfnmsub213pd */, X86::VFNMSUB213PDZrb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 12538 /* vfnmsub213pd */, X86::VFNMSUB213PDZmb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 12538 /* vfnmsub213pd */, X86::VFNMSUB213PDZ128rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 12538 /* vfnmsub213pd */, X86::VFNMSUB213PDZ128mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 12538 /* vfnmsub213pd */, X86::VFNMSUB213PDZ256rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 12538 /* vfnmsub213pd */, X86::VFNMSUB213PDZ256mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 12538 /* vfnmsub213pd */, X86::VFNMSUB213PDZrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 12538 /* vfnmsub213pd */, X86::VFNMSUB213PDZmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 12538 /* vfnmsub213pd */, X86::VFNMSUB213PDZ128rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 12538 /* vfnmsub213pd */, X86::VFNMSUB213PDZ128mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 12538 /* vfnmsub213pd */, X86::VFNMSUB213PDZ128mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 12538 /* vfnmsub213pd */, X86::VFNMSUB213PDZ256rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 12538 /* vfnmsub213pd */, X86::VFNMSUB213PDZ256mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 12538 /* vfnmsub213pd */, X86::VFNMSUB213PDZ256mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 12538 /* vfnmsub213pd */, X86::VFNMSUB213PDZrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 12538 /* vfnmsub213pd */, X86::VFNMSUB213PDZmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 12538 /* vfnmsub213pd */, X86::VFNMSUB213PDZrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 12538 /* vfnmsub213pd */, X86::VFNMSUB213PDZmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 12538 /* vfnmsub213pd */, X86::VFNMSUB213PDZ128mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 12538 /* vfnmsub213pd */, X86::VFNMSUB213PDZ256mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 12538 /* vfnmsub213pd */, X86::VFNMSUB213PDZrbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 12538 /* vfnmsub213pd */, X86::VFNMSUB213PDZmbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 12551 /* vfnmsub213ph */, X86::VFNMSUB213PHZ128r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12551 /* vfnmsub213ph */, X86::VFNMSUB213PHZ128m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 12551 /* vfnmsub213ph */, X86::VFNMSUB213PHZ256r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 12551 /* vfnmsub213ph */, X86::VFNMSUB213PHZ256m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 12551 /* vfnmsub213ph */, X86::VFNMSUB213PHZr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 12551 /* vfnmsub213ph */, X86::VFNMSUB213PHZm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 12551 /* vfnmsub213ph */, X86::VFNMSUB213PHZ128mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem165_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 12551 /* vfnmsub213ph */, X86::VFNMSUB213PHZ256mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem165_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 12551 /* vfnmsub213ph */, X86::VFNMSUB213PHZrb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 12551 /* vfnmsub213ph */, X86::VFNMSUB213PHZmb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem165_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 12551 /* vfnmsub213ph */, X86::VFNMSUB213PHZ128rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 12551 /* vfnmsub213ph */, X86::VFNMSUB213PHZ128mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 12551 /* vfnmsub213ph */, X86::VFNMSUB213PHZ256rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 12551 /* vfnmsub213ph */, X86::VFNMSUB213PHZ256mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 12551 /* vfnmsub213ph */, X86::VFNMSUB213PHZrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 12551 /* vfnmsub213ph */, X86::VFNMSUB213PHZmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 12551 /* vfnmsub213ph */, X86::VFNMSUB213PHZ128rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 12551 /* vfnmsub213ph */, X86::VFNMSUB213PHZ128mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 12551 /* vfnmsub213ph */, X86::VFNMSUB213PHZ128mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 12551 /* vfnmsub213ph */, X86::VFNMSUB213PHZ256rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 12551 /* vfnmsub213ph */, X86::VFNMSUB213PHZ256mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 12551 /* vfnmsub213ph */, X86::VFNMSUB213PHZ256mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 12551 /* vfnmsub213ph */, X86::VFNMSUB213PHZrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 12551 /* vfnmsub213ph */, X86::VFNMSUB213PHZmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 12551 /* vfnmsub213ph */, X86::VFNMSUB213PHZrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 12551 /* vfnmsub213ph */, X86::VFNMSUB213PHZmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 12551 /* vfnmsub213ph */, X86::VFNMSUB213PHZ128mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 12551 /* vfnmsub213ph */, X86::VFNMSUB213PHZ256mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 12551 /* vfnmsub213ph */, X86::VFNMSUB213PHZrbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 12551 /* vfnmsub213ph */, X86::VFNMSUB213PHZmbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 12564 /* vfnmsub213ps */, X86::VFNMSUB213PSr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12564 /* vfnmsub213ps */, X86::VFNMSUB213PSm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 12564 /* vfnmsub213ps */, X86::VFNMSUB213PSYr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 12564 /* vfnmsub213ps */, X86::VFNMSUB213PSYm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 12564 /* vfnmsub213ps */, X86::VFNMSUB213PSZ128r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12564 /* vfnmsub213ps */, X86::VFNMSUB213PSZ128m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 12564 /* vfnmsub213ps */, X86::VFNMSUB213PSZ256r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 12564 /* vfnmsub213ps */, X86::VFNMSUB213PSZ256m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 12564 /* vfnmsub213ps */, X86::VFNMSUB213PSZr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 12564 /* vfnmsub213ps */, X86::VFNMSUB213PSZm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 12564 /* vfnmsub213ps */, X86::VFNMSUB213PSZ128mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 12564 /* vfnmsub213ps */, X86::VFNMSUB213PSZ256mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 12564 /* vfnmsub213ps */, X86::VFNMSUB213PSZrb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 12564 /* vfnmsub213ps */, X86::VFNMSUB213PSZmb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 12564 /* vfnmsub213ps */, X86::VFNMSUB213PSZ128rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 12564 /* vfnmsub213ps */, X86::VFNMSUB213PSZ128mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 12564 /* vfnmsub213ps */, X86::VFNMSUB213PSZ256rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 12564 /* vfnmsub213ps */, X86::VFNMSUB213PSZ256mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 12564 /* vfnmsub213ps */, X86::VFNMSUB213PSZrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 12564 /* vfnmsub213ps */, X86::VFNMSUB213PSZmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 12564 /* vfnmsub213ps */, X86::VFNMSUB213PSZ128rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 12564 /* vfnmsub213ps */, X86::VFNMSUB213PSZ128mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 12564 /* vfnmsub213ps */, X86::VFNMSUB213PSZ128mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 12564 /* vfnmsub213ps */, X86::VFNMSUB213PSZ256rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 12564 /* vfnmsub213ps */, X86::VFNMSUB213PSZ256mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 12564 /* vfnmsub213ps */, X86::VFNMSUB213PSZ256mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 12564 /* vfnmsub213ps */, X86::VFNMSUB213PSZrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 12564 /* vfnmsub213ps */, X86::VFNMSUB213PSZmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 12564 /* vfnmsub213ps */, X86::VFNMSUB213PSZrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 12564 /* vfnmsub213ps */, X86::VFNMSUB213PSZmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 12564 /* vfnmsub213ps */, X86::VFNMSUB213PSZ128mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 12564 /* vfnmsub213ps */, X86::VFNMSUB213PSZ256mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 12564 /* vfnmsub213ps */, X86::VFNMSUB213PSZrbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 12564 /* vfnmsub213ps */, X86::VFNMSUB213PSZmbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 12577 /* vfnmsub213sd */, X86::VFNMSUB213SDr_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12577 /* vfnmsub213sd */, X86::VFNMSUB213SDm_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem64 }, },
{ 12577 /* vfnmsub213sd */, X86::VFNMSUB213SDZr_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12577 /* vfnmsub213sd */, X86::VFNMSUB213SDZm_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64 }, },
{ 12577 /* vfnmsub213sd */, X86::VFNMSUB213SDZrb_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 12577 /* vfnmsub213sd */, X86::VFNMSUB213SDZr_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 12577 /* vfnmsub213sd */, X86::VFNMSUB213SDZm_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64 }, },
{ 12577 /* vfnmsub213sd */, X86::VFNMSUB213SDZr_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 12577 /* vfnmsub213sd */, X86::VFNMSUB213SDZm_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64 }, },
{ 12577 /* vfnmsub213sd */, X86::VFNMSUB213SDZrb_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 12577 /* vfnmsub213sd */, X86::VFNMSUB213SDZrb_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 12590 /* vfnmsub213sh */, X86::VFNMSUB213SHZr_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12590 /* vfnmsub213sh */, X86::VFNMSUB213SHZm_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem165_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem16 }, },
{ 12590 /* vfnmsub213sh */, X86::VFNMSUB213SHZrb_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 12590 /* vfnmsub213sh */, X86::VFNMSUB213SHZr_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 12590 /* vfnmsub213sh */, X86::VFNMSUB213SHZm_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem16 }, },
{ 12590 /* vfnmsub213sh */, X86::VFNMSUB213SHZr_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 12590 /* vfnmsub213sh */, X86::VFNMSUB213SHZm_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem16 }, },
{ 12590 /* vfnmsub213sh */, X86::VFNMSUB213SHZrb_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 12590 /* vfnmsub213sh */, X86::VFNMSUB213SHZrb_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 12603 /* vfnmsub213ss */, X86::VFNMSUB213SSr_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12603 /* vfnmsub213ss */, X86::VFNMSUB213SSm_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem32 }, },
{ 12603 /* vfnmsub213ss */, X86::VFNMSUB213SSZr_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12603 /* vfnmsub213ss */, X86::VFNMSUB213SSZm_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32 }, },
{ 12603 /* vfnmsub213ss */, X86::VFNMSUB213SSZrb_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 12603 /* vfnmsub213ss */, X86::VFNMSUB213SSZr_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 12603 /* vfnmsub213ss */, X86::VFNMSUB213SSZm_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32 }, },
{ 12603 /* vfnmsub213ss */, X86::VFNMSUB213SSZr_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 12603 /* vfnmsub213ss */, X86::VFNMSUB213SSZm_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32 }, },
{ 12603 /* vfnmsub213ss */, X86::VFNMSUB213SSZrb_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 12603 /* vfnmsub213ss */, X86::VFNMSUB213SSZrb_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 12616 /* vfnmsub231pd */, X86::VFNMSUB231PDr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12616 /* vfnmsub231pd */, X86::VFNMSUB231PDm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 12616 /* vfnmsub231pd */, X86::VFNMSUB231PDYr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 12616 /* vfnmsub231pd */, X86::VFNMSUB231PDYm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 12616 /* vfnmsub231pd */, X86::VFNMSUB231PDZ128r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12616 /* vfnmsub231pd */, X86::VFNMSUB231PDZ128m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 12616 /* vfnmsub231pd */, X86::VFNMSUB231PDZ256r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 12616 /* vfnmsub231pd */, X86::VFNMSUB231PDZ256m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 12616 /* vfnmsub231pd */, X86::VFNMSUB231PDZr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 12616 /* vfnmsub231pd */, X86::VFNMSUB231PDZm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 12616 /* vfnmsub231pd */, X86::VFNMSUB231PDZ128mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 12616 /* vfnmsub231pd */, X86::VFNMSUB231PDZ256mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 12616 /* vfnmsub231pd */, X86::VFNMSUB231PDZrb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 12616 /* vfnmsub231pd */, X86::VFNMSUB231PDZmb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 12616 /* vfnmsub231pd */, X86::VFNMSUB231PDZ128rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 12616 /* vfnmsub231pd */, X86::VFNMSUB231PDZ128mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 12616 /* vfnmsub231pd */, X86::VFNMSUB231PDZ256rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 12616 /* vfnmsub231pd */, X86::VFNMSUB231PDZ256mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 12616 /* vfnmsub231pd */, X86::VFNMSUB231PDZrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 12616 /* vfnmsub231pd */, X86::VFNMSUB231PDZmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 12616 /* vfnmsub231pd */, X86::VFNMSUB231PDZ128rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 12616 /* vfnmsub231pd */, X86::VFNMSUB231PDZ128mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 12616 /* vfnmsub231pd */, X86::VFNMSUB231PDZ128mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 12616 /* vfnmsub231pd */, X86::VFNMSUB231PDZ256rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 12616 /* vfnmsub231pd */, X86::VFNMSUB231PDZ256mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 12616 /* vfnmsub231pd */, X86::VFNMSUB231PDZ256mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 12616 /* vfnmsub231pd */, X86::VFNMSUB231PDZrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 12616 /* vfnmsub231pd */, X86::VFNMSUB231PDZmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 12616 /* vfnmsub231pd */, X86::VFNMSUB231PDZrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 12616 /* vfnmsub231pd */, X86::VFNMSUB231PDZmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 12616 /* vfnmsub231pd */, X86::VFNMSUB231PDZ128mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 12616 /* vfnmsub231pd */, X86::VFNMSUB231PDZ256mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 12616 /* vfnmsub231pd */, X86::VFNMSUB231PDZrbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 12616 /* vfnmsub231pd */, X86::VFNMSUB231PDZmbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 12629 /* vfnmsub231ph */, X86::VFNMSUB231PHZ128r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12629 /* vfnmsub231ph */, X86::VFNMSUB231PHZ128m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 12629 /* vfnmsub231ph */, X86::VFNMSUB231PHZ256r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 12629 /* vfnmsub231ph */, X86::VFNMSUB231PHZ256m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 12629 /* vfnmsub231ph */, X86::VFNMSUB231PHZr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 12629 /* vfnmsub231ph */, X86::VFNMSUB231PHZm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 12629 /* vfnmsub231ph */, X86::VFNMSUB231PHZ128mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem165_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 12629 /* vfnmsub231ph */, X86::VFNMSUB231PHZ256mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem165_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 12629 /* vfnmsub231ph */, X86::VFNMSUB231PHZrb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 12629 /* vfnmsub231ph */, X86::VFNMSUB231PHZmb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem165_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 12629 /* vfnmsub231ph */, X86::VFNMSUB231PHZ128rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 12629 /* vfnmsub231ph */, X86::VFNMSUB231PHZ128mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 12629 /* vfnmsub231ph */, X86::VFNMSUB231PHZ256rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 12629 /* vfnmsub231ph */, X86::VFNMSUB231PHZ256mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 12629 /* vfnmsub231ph */, X86::VFNMSUB231PHZrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 12629 /* vfnmsub231ph */, X86::VFNMSUB231PHZmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 12629 /* vfnmsub231ph */, X86::VFNMSUB231PHZ128rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 12629 /* vfnmsub231ph */, X86::VFNMSUB231PHZ128mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 12629 /* vfnmsub231ph */, X86::VFNMSUB231PHZ128mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 12629 /* vfnmsub231ph */, X86::VFNMSUB231PHZ256rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 12629 /* vfnmsub231ph */, X86::VFNMSUB231PHZ256mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 12629 /* vfnmsub231ph */, X86::VFNMSUB231PHZ256mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 12629 /* vfnmsub231ph */, X86::VFNMSUB231PHZrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 12629 /* vfnmsub231ph */, X86::VFNMSUB231PHZmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 12629 /* vfnmsub231ph */, X86::VFNMSUB231PHZrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 12629 /* vfnmsub231ph */, X86::VFNMSUB231PHZmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 12629 /* vfnmsub231ph */, X86::VFNMSUB231PHZ128mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 12629 /* vfnmsub231ph */, X86::VFNMSUB231PHZ256mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 12629 /* vfnmsub231ph */, X86::VFNMSUB231PHZrbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 12629 /* vfnmsub231ph */, X86::VFNMSUB231PHZmbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 12642 /* vfnmsub231ps */, X86::VFNMSUB231PSr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12642 /* vfnmsub231ps */, X86::VFNMSUB231PSm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 12642 /* vfnmsub231ps */, X86::VFNMSUB231PSYr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 12642 /* vfnmsub231ps */, X86::VFNMSUB231PSYm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 12642 /* vfnmsub231ps */, X86::VFNMSUB231PSZ128r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12642 /* vfnmsub231ps */, X86::VFNMSUB231PSZ128m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 12642 /* vfnmsub231ps */, X86::VFNMSUB231PSZ256r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 12642 /* vfnmsub231ps */, X86::VFNMSUB231PSZ256m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 12642 /* vfnmsub231ps */, X86::VFNMSUB231PSZr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 12642 /* vfnmsub231ps */, X86::VFNMSUB231PSZm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 12642 /* vfnmsub231ps */, X86::VFNMSUB231PSZ128mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 12642 /* vfnmsub231ps */, X86::VFNMSUB231PSZ256mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 12642 /* vfnmsub231ps */, X86::VFNMSUB231PSZrb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 12642 /* vfnmsub231ps */, X86::VFNMSUB231PSZmb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 12642 /* vfnmsub231ps */, X86::VFNMSUB231PSZ128rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 12642 /* vfnmsub231ps */, X86::VFNMSUB231PSZ128mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 12642 /* vfnmsub231ps */, X86::VFNMSUB231PSZ256rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 12642 /* vfnmsub231ps */, X86::VFNMSUB231PSZ256mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 12642 /* vfnmsub231ps */, X86::VFNMSUB231PSZrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 12642 /* vfnmsub231ps */, X86::VFNMSUB231PSZmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 12642 /* vfnmsub231ps */, X86::VFNMSUB231PSZ128rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 12642 /* vfnmsub231ps */, X86::VFNMSUB231PSZ128mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 12642 /* vfnmsub231ps */, X86::VFNMSUB231PSZ128mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 12642 /* vfnmsub231ps */, X86::VFNMSUB231PSZ256rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 12642 /* vfnmsub231ps */, X86::VFNMSUB231PSZ256mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 12642 /* vfnmsub231ps */, X86::VFNMSUB231PSZ256mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 12642 /* vfnmsub231ps */, X86::VFNMSUB231PSZrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 12642 /* vfnmsub231ps */, X86::VFNMSUB231PSZmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 12642 /* vfnmsub231ps */, X86::VFNMSUB231PSZrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 12642 /* vfnmsub231ps */, X86::VFNMSUB231PSZmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 12642 /* vfnmsub231ps */, X86::VFNMSUB231PSZ128mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 12642 /* vfnmsub231ps */, X86::VFNMSUB231PSZ256mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 12642 /* vfnmsub231ps */, X86::VFNMSUB231PSZrbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 12642 /* vfnmsub231ps */, X86::VFNMSUB231PSZmbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 12655 /* vfnmsub231sd */, X86::VFNMSUB231SDr_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12655 /* vfnmsub231sd */, X86::VFNMSUB231SDm_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem64 }, },
{ 12655 /* vfnmsub231sd */, X86::VFNMSUB231SDZr_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12655 /* vfnmsub231sd */, X86::VFNMSUB231SDZm_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64 }, },
{ 12655 /* vfnmsub231sd */, X86::VFNMSUB231SDZrb_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 12655 /* vfnmsub231sd */, X86::VFNMSUB231SDZr_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 12655 /* vfnmsub231sd */, X86::VFNMSUB231SDZm_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64 }, },
{ 12655 /* vfnmsub231sd */, X86::VFNMSUB231SDZr_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 12655 /* vfnmsub231sd */, X86::VFNMSUB231SDZm_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64 }, },
{ 12655 /* vfnmsub231sd */, X86::VFNMSUB231SDZrb_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 12655 /* vfnmsub231sd */, X86::VFNMSUB231SDZrb_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 12668 /* vfnmsub231sh */, X86::VFNMSUB231SHZr_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12668 /* vfnmsub231sh */, X86::VFNMSUB231SHZm_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem165_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem16 }, },
{ 12668 /* vfnmsub231sh */, X86::VFNMSUB231SHZrb_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 12668 /* vfnmsub231sh */, X86::VFNMSUB231SHZr_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 12668 /* vfnmsub231sh */, X86::VFNMSUB231SHZm_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem16 }, },
{ 12668 /* vfnmsub231sh */, X86::VFNMSUB231SHZr_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 12668 /* vfnmsub231sh */, X86::VFNMSUB231SHZm_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem16 }, },
{ 12668 /* vfnmsub231sh */, X86::VFNMSUB231SHZrb_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 12668 /* vfnmsub231sh */, X86::VFNMSUB231SHZrb_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 12681 /* vfnmsub231ss */, X86::VFNMSUB231SSr_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12681 /* vfnmsub231ss */, X86::VFNMSUB231SSm_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem32 }, },
{ 12681 /* vfnmsub231ss */, X86::VFNMSUB231SSZr_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 12681 /* vfnmsub231ss */, X86::VFNMSUB231SSZm_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32 }, },
{ 12681 /* vfnmsub231ss */, X86::VFNMSUB231SSZrb_Int, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 12681 /* vfnmsub231ss */, X86::VFNMSUB231SSZr_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 12681 /* vfnmsub231ss */, X86::VFNMSUB231SSZm_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32 }, },
{ 12681 /* vfnmsub231ss */, X86::VFNMSUB231SSZr_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 12681 /* vfnmsub231ss */, X86::VFNMSUB231SSZm_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32 }, },
{ 12681 /* vfnmsub231ss */, X86::VFNMSUB231SSZrb_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 12681 /* vfnmsub231ss */, X86::VFNMSUB231SSZrb_Intkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 12694 /* vfnmsubpd */, X86::VFNMSUBPD4rr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12694 /* vfnmsubpd */, X86::VFNMSUBPD4rm, Convert__Reg1_0__Reg1_1__Reg1_2__Mem1285_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 12694 /* vfnmsubpd */, X86::VFNMSUBPD4mr, Convert__Reg1_0__Reg1_1__Mem1285_2__Reg1_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128, MCK_FR16 }, },
{ 12694 /* vfnmsubpd */, X86::VFNMSUBPD4Yrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 12694 /* vfnmsubpd */, X86::VFNMSUBPD4Yrm, Convert__Reg1_0__Reg1_1__Reg1_2__Mem2565_3, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 12694 /* vfnmsubpd */, X86::VFNMSUBPD4Ymr, Convert__Reg1_0__Reg1_1__Mem2565_2__Reg1_3, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256, MCK_VR256 }, },
{ 12704 /* vfnmsubps */, X86::VFNMSUBPS4rr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12704 /* vfnmsubps */, X86::VFNMSUBPS4rm, Convert__Reg1_0__Reg1_1__Reg1_2__Mem1285_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 12704 /* vfnmsubps */, X86::VFNMSUBPS4mr, Convert__Reg1_0__Reg1_1__Mem1285_2__Reg1_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128, MCK_FR16 }, },
{ 12704 /* vfnmsubps */, X86::VFNMSUBPS4Yrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 12704 /* vfnmsubps */, X86::VFNMSUBPS4Yrm, Convert__Reg1_0__Reg1_1__Reg1_2__Mem2565_3, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 12704 /* vfnmsubps */, X86::VFNMSUBPS4Ymr, Convert__Reg1_0__Reg1_1__Mem2565_2__Reg1_3, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256, MCK_VR256 }, },
{ 12714 /* vfnmsubsd */, X86::VFNMSUBSD4rr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12714 /* vfnmsubsd */, X86::VFNMSUBSD4rm, Convert__Reg1_0__Reg1_1__Reg1_2__Mem645_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_Mem64 }, },
{ 12714 /* vfnmsubsd */, X86::VFNMSUBSD4mr, Convert__Reg1_0__Reg1_1__Mem645_2__Reg1_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem64, MCK_FR16 }, },
{ 12724 /* vfnmsubss */, X86::VFNMSUBSS4rr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 12724 /* vfnmsubss */, X86::VFNMSUBSS4rm, Convert__Reg1_0__Reg1_1__Reg1_2__Mem325_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_Mem32 }, },
{ 12724 /* vfnmsubss */, X86::VFNMSUBSS4mr, Convert__Reg1_0__Reg1_1__Mem325_2__Reg1_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem32, MCK_FR16 }, },
{ 12734 /* vfpclasspd */, X86::VFPCLASSPDZ128rr, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VK1, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 12734 /* vfpclasspd */, X86::VFPCLASSPDZ256rr, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VK1, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 12734 /* vfpclasspd */, X86::VFPCLASSPDZrr, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VK1, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 12734 /* vfpclasspd */, X86::VFPCLASSPDZ128rm, Convert__Reg1_0__Mem1285_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VK1, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 12734 /* vfpclasspd */, X86::VFPCLASSPDZ256rm, Convert__Reg1_0__Mem2565_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VK1, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 12734 /* vfpclasspd */, X86::VFPCLASSPDZrm, Convert__Reg1_0__Mem5125_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VK1, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 12734 /* vfpclasspd */, X86::VFPCLASSPDZ128rmb, Convert__Reg1_0__Mem645_1__ImmUnsignedi81_3, AMFBS_None, { MCK_VK1, MCK_Mem64, MCK__123_1to2_125_, MCK_ImmUnsignedi8 }, },
{ 12734 /* vfpclasspd */, X86::VFPCLASSPDZ256rmb, Convert__Reg1_0__Mem645_1__ImmUnsignedi81_3, AMFBS_None, { MCK_VK1, MCK_Mem64, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 12734 /* vfpclasspd */, X86::VFPCLASSPDZrmb, Convert__Reg1_0__Mem645_1__ImmUnsignedi81_3, AMFBS_None, { MCK_VK1, MCK_Mem64, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 12734 /* vfpclasspd */, X86::VFPCLASSPDZ128rrk, Convert__Reg1_0__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 12734 /* vfpclasspd */, X86::VFPCLASSPDZ256rrk, Convert__Reg1_0__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 12734 /* vfpclasspd */, X86::VFPCLASSPDZrrk, Convert__Reg1_0__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 12734 /* vfpclasspd */, X86::VFPCLASSPDZ128rmk, Convert__Reg1_0__Reg1_2__Mem1285_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 12734 /* vfpclasspd */, X86::VFPCLASSPDZ256rmk, Convert__Reg1_0__Reg1_2__Mem2565_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 12734 /* vfpclasspd */, X86::VFPCLASSPDZrmk, Convert__Reg1_0__Reg1_2__Mem5125_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 12734 /* vfpclasspd */, X86::VFPCLASSPDZ128rmbk, Convert__Reg1_0__Reg1_2__Mem645_4__ImmUnsignedi81_6, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to2_125_, MCK_ImmUnsignedi8 }, },
{ 12734 /* vfpclasspd */, X86::VFPCLASSPDZ256rmbk, Convert__Reg1_0__Reg1_2__Mem645_4__ImmUnsignedi81_6, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 12734 /* vfpclasspd */, X86::VFPCLASSPDZrmbk, Convert__Reg1_0__Reg1_2__Mem645_4__ImmUnsignedi81_6, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 12781 /* vfpclassph */, X86::VFPCLASSPHZ128rr, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VK1, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 12781 /* vfpclassph */, X86::VFPCLASSPHZ256rr, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VK1, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 12781 /* vfpclassph */, X86::VFPCLASSPHZrr, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VK1, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 12781 /* vfpclassph */, X86::VFPCLASSPHZ128rm, Convert__Reg1_0__Mem1285_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VK1, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 12781 /* vfpclassph */, X86::VFPCLASSPHZ256rm, Convert__Reg1_0__Mem2565_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VK1, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 12781 /* vfpclassph */, X86::VFPCLASSPHZrm, Convert__Reg1_0__Mem5125_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VK1, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 12781 /* vfpclassph */, X86::VFPCLASSPHZ256rmb, Convert__Reg1_0__Mem165_1__ImmUnsignedi81_3, AMFBS_None, { MCK_VK1, MCK_Mem16, MCK__123_1to16_125_, MCK_ImmUnsignedi8 }, },
{ 12781 /* vfpclassph */, X86::VFPCLASSPHZrmb, Convert__Reg1_0__Mem165_1__ImmUnsignedi81_3, AMFBS_None, { MCK_VK1, MCK_Mem16, MCK__123_1to32_125_, MCK_ImmUnsignedi8 }, },
{ 12781 /* vfpclassph */, X86::VFPCLASSPHZ128rmb, Convert__Reg1_0__Mem165_1__ImmUnsignedi81_3, AMFBS_None, { MCK_VK1, MCK_Mem16, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 12781 /* vfpclassph */, X86::VFPCLASSPHZ128rrk, Convert__Reg1_0__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 12781 /* vfpclassph */, X86::VFPCLASSPHZ256rrk, Convert__Reg1_0__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 12781 /* vfpclassph */, X86::VFPCLASSPHZrrk, Convert__Reg1_0__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 12781 /* vfpclassph */, X86::VFPCLASSPHZ128rmk, Convert__Reg1_0__Reg1_2__Mem1285_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 12781 /* vfpclassph */, X86::VFPCLASSPHZ256rmk, Convert__Reg1_0__Reg1_2__Mem2565_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 12781 /* vfpclassph */, X86::VFPCLASSPHZrmk, Convert__Reg1_0__Reg1_2__Mem5125_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 12781 /* vfpclassph */, X86::VFPCLASSPHZ256rmbk, Convert__Reg1_0__Reg1_2__Mem165_4__ImmUnsignedi81_6, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem16, MCK__123_1to16_125_, MCK_ImmUnsignedi8 }, },
{ 12781 /* vfpclassph */, X86::VFPCLASSPHZrmbk, Convert__Reg1_0__Reg1_2__Mem165_4__ImmUnsignedi81_6, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem16, MCK__123_1to32_125_, MCK_ImmUnsignedi8 }, },
{ 12781 /* vfpclassph */, X86::VFPCLASSPHZ128rmbk, Convert__Reg1_0__Reg1_2__Mem165_4__ImmUnsignedi81_6, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem16, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 12828 /* vfpclassps */, X86::VFPCLASSPSZ128rr, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VK1, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 12828 /* vfpclassps */, X86::VFPCLASSPSZ256rr, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VK1, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 12828 /* vfpclassps */, X86::VFPCLASSPSZrr, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VK1, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 12828 /* vfpclassps */, X86::VFPCLASSPSZ128rm, Convert__Reg1_0__Mem1285_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VK1, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 12828 /* vfpclassps */, X86::VFPCLASSPSZ256rm, Convert__Reg1_0__Mem2565_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VK1, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 12828 /* vfpclassps */, X86::VFPCLASSPSZrm, Convert__Reg1_0__Mem5125_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VK1, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 12828 /* vfpclassps */, X86::VFPCLASSPSZrmb, Convert__Reg1_0__Mem325_1__ImmUnsignedi81_3, AMFBS_None, { MCK_VK1, MCK_Mem32, MCK__123_1to16_125_, MCK_ImmUnsignedi8 }, },
{ 12828 /* vfpclassps */, X86::VFPCLASSPSZ128rmb, Convert__Reg1_0__Mem325_1__ImmUnsignedi81_3, AMFBS_None, { MCK_VK1, MCK_Mem32, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 12828 /* vfpclassps */, X86::VFPCLASSPSZ256rmb, Convert__Reg1_0__Mem325_1__ImmUnsignedi81_3, AMFBS_None, { MCK_VK1, MCK_Mem32, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 12828 /* vfpclassps */, X86::VFPCLASSPSZ128rrk, Convert__Reg1_0__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 12828 /* vfpclassps */, X86::VFPCLASSPSZ256rrk, Convert__Reg1_0__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 12828 /* vfpclassps */, X86::VFPCLASSPSZrrk, Convert__Reg1_0__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 12828 /* vfpclassps */, X86::VFPCLASSPSZ128rmk, Convert__Reg1_0__Reg1_2__Mem1285_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 12828 /* vfpclassps */, X86::VFPCLASSPSZ256rmk, Convert__Reg1_0__Reg1_2__Mem2565_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 12828 /* vfpclassps */, X86::VFPCLASSPSZrmk, Convert__Reg1_0__Reg1_2__Mem5125_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 12828 /* vfpclassps */, X86::VFPCLASSPSZrmbk, Convert__Reg1_0__Reg1_2__Mem325_4__ImmUnsignedi81_6, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to16_125_, MCK_ImmUnsignedi8 }, },
{ 12828 /* vfpclassps */, X86::VFPCLASSPSZ128rmbk, Convert__Reg1_0__Reg1_2__Mem325_4__ImmUnsignedi81_6, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 12828 /* vfpclassps */, X86::VFPCLASSPSZ256rmbk, Convert__Reg1_0__Reg1_2__Mem325_4__ImmUnsignedi81_6, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 12875 /* vfpclasssd */, X86::VFPCLASSSDZrr, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VK1, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 12875 /* vfpclasssd */, X86::VFPCLASSSDZrm, Convert__Reg1_0__Mem645_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VK1, MCK_Mem64, MCK_ImmUnsignedi8 }, },
{ 12875 /* vfpclasssd */, X86::VFPCLASSSDZrrk, Convert__Reg1_0__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 12875 /* vfpclasssd */, X86::VFPCLASSSDZrmk, Convert__Reg1_0__Reg1_2__Mem645_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK_ImmUnsignedi8 }, },
{ 12886 /* vfpclasssh */, X86::VFPCLASSSHZrr, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VK1, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 12886 /* vfpclasssh */, X86::VFPCLASSSHZrm, Convert__Reg1_0__Mem165_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VK1, MCK_Mem16, MCK_ImmUnsignedi8 }, },
{ 12886 /* vfpclasssh */, X86::VFPCLASSSHZrrk, Convert__Reg1_0__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 12886 /* vfpclasssh */, X86::VFPCLASSSHZrmk, Convert__Reg1_0__Reg1_2__Mem165_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem16, MCK_ImmUnsignedi8 }, },
{ 12897 /* vfpclassss */, X86::VFPCLASSSSZrr, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VK1, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 12897 /* vfpclassss */, X86::VFPCLASSSSZrm, Convert__Reg1_0__Mem325_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VK1, MCK_Mem32, MCK_ImmUnsignedi8 }, },
{ 12897 /* vfpclassss */, X86::VFPCLASSSSZrrk, Convert__Reg1_0__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 12897 /* vfpclassss */, X86::VFPCLASSSSZrmk, Convert__Reg1_0__Reg1_2__Mem325_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK_ImmUnsignedi8 }, },
{ 12908 /* vfrczpd */, X86::VFRCZPDrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 12908 /* vfrczpd */, X86::VFRCZPDrm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 12908 /* vfrczpd */, X86::VFRCZPDYrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256, MCK_VR256 }, },
{ 12908 /* vfrczpd */, X86::VFRCZPDYrm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR256, MCK_Mem256 }, },
{ 12916 /* vfrczps */, X86::VFRCZPSrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 12916 /* vfrczps */, X86::VFRCZPSrm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 12916 /* vfrczps */, X86::VFRCZPSYrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256, MCK_VR256 }, },
{ 12916 /* vfrczps */, X86::VFRCZPSYrm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR256, MCK_Mem256 }, },
{ 12924 /* vfrczsd */, X86::VFRCZSDrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 12924 /* vfrczsd */, X86::VFRCZSDrm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_FR16, MCK_Mem64 }, },
{ 12932 /* vfrczss */, X86::VFRCZSSrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 12932 /* vfrczss */, X86::VFRCZSSrm, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_FR16, MCK_Mem32 }, },
{ 12940 /* vgatherdpd */, X86::VGATHERDPDrm, Convert__Reg1_0__Reg1_2__Tie0_1_1__Mem128_RC1285_1__Tie1_3_3, AMFBS_None, { MCK_FR16, MCK_Mem128_RC128, MCK_FR16 }, },
{ 12940 /* vgatherdpd */, X86::VGATHERDPDYrm, Convert__Reg1_0__Reg1_2__Tie0_1_1__Mem256_RC1285_1__Tie1_3_3, AMFBS_None, { MCK_VR256, MCK_Mem256_RC128, MCK_VR256 }, },
{ 12940 /* vgatherdpd */, X86::VGATHERDPDZ128rm, Convert__Reg1_0__Reg1_2__Tie0_1_1__Tie1_3_3__Mem128_RC128X5_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128_RC128X }, },
{ 12940 /* vgatherdpd */, X86::VGATHERDPDZ256rm, Convert__Reg1_0__Reg1_2__Tie0_1_1__Tie1_3_3__Mem256_RC128X5_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256_RC128X }, },
{ 12940 /* vgatherdpd */, X86::VGATHERDPDZrm, Convert__Reg1_0__Reg1_2__Tie0_1_1__Tie1_3_3__Mem512_RC256X5_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512_RC256X }, },
{ 12951 /* vgatherdps */, X86::VGATHERDPSrm, Convert__Reg1_0__Reg1_2__Tie0_1_1__Mem128_RC1285_1__Tie1_3_3, AMFBS_None, { MCK_FR16, MCK_Mem128_RC128, MCK_FR16 }, },
{ 12951 /* vgatherdps */, X86::VGATHERDPSYrm, Convert__Reg1_0__Reg1_2__Tie0_1_1__Mem256_RC2565_1__Tie1_3_3, AMFBS_None, { MCK_VR256, MCK_Mem256_RC256, MCK_VR256 }, },
{ 12951 /* vgatherdps */, X86::VGATHERDPSZ128rm, Convert__Reg1_0__Reg1_2__Tie0_1_1__Tie1_3_3__Mem128_RC128X5_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128_RC128X }, },
{ 12951 /* vgatherdps */, X86::VGATHERDPSZ256rm, Convert__Reg1_0__Reg1_2__Tie0_1_1__Tie1_3_3__Mem256_RC256X5_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256_RC256X }, },
{ 12951 /* vgatherdps */, X86::VGATHERDPSZrm, Convert__Reg1_0__Reg1_2__Tie0_1_1__Tie1_3_3__Mem512_RC5125_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512_RC512 }, },
{ 12962 /* vgatherpf0dpd */, X86::VGATHERPF0DPDm, Convert__Reg1_1__Mem512_RC256X5_3, AMFBS_None, { MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512_RC256X }, },
{ 12976 /* vgatherpf0dps */, X86::VGATHERPF0DPSm, Convert__Reg1_1__Mem512_RC5125_3, AMFBS_None, { MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512_RC512 }, },
{ 12990 /* vgatherpf0qpd */, X86::VGATHERPF0QPDm, Convert__Reg1_1__Mem512_RC5125_3, AMFBS_None, { MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512_RC512 }, },
{ 13004 /* vgatherpf0qps */, X86::VGATHERPF0QPSm, Convert__Reg1_1__Mem256_RC5125_3, AMFBS_None, { MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256_RC512 }, },
{ 13018 /* vgatherpf1dpd */, X86::VGATHERPF1DPDm, Convert__Reg1_1__Mem512_RC256X5_3, AMFBS_None, { MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512_RC256X }, },
{ 13032 /* vgatherpf1dps */, X86::VGATHERPF1DPSm, Convert__Reg1_1__Mem512_RC5125_3, AMFBS_None, { MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512_RC512 }, },
{ 13046 /* vgatherpf1qpd */, X86::VGATHERPF1QPDm, Convert__Reg1_1__Mem512_RC5125_3, AMFBS_None, { MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512_RC512 }, },
{ 13060 /* vgatherpf1qps */, X86::VGATHERPF1QPSm, Convert__Reg1_1__Mem256_RC5125_3, AMFBS_None, { MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256_RC512 }, },
{ 13074 /* vgatherqpd */, X86::VGATHERQPDrm, Convert__Reg1_0__Reg1_2__Tie0_1_1__Mem128_RC1285_1__Tie1_3_3, AMFBS_None, { MCK_FR16, MCK_Mem128_RC128, MCK_FR16 }, },
{ 13074 /* vgatherqpd */, X86::VGATHERQPDYrm, Convert__Reg1_0__Reg1_2__Tie0_1_1__Mem256_RC2565_1__Tie1_3_3, AMFBS_None, { MCK_VR256, MCK_Mem256_RC256, MCK_VR256 }, },
{ 13074 /* vgatherqpd */, X86::VGATHERQPDZ128rm, Convert__Reg1_0__Reg1_2__Tie0_1_1__Tie1_3_3__Mem128_RC128X5_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128_RC128X }, },
{ 13074 /* vgatherqpd */, X86::VGATHERQPDZ256rm, Convert__Reg1_0__Reg1_2__Tie0_1_1__Tie1_3_3__Mem256_RC256X5_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256_RC256X }, },
{ 13074 /* vgatherqpd */, X86::VGATHERQPDZrm, Convert__Reg1_0__Reg1_2__Tie0_1_1__Tie1_3_3__Mem512_RC5125_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512_RC512 }, },
{ 13085 /* vgatherqps */, X86::VGATHERQPSYrm, Convert__Reg1_0__Reg1_2__Tie0_1_1__Mem128_RC2565_1__Tie1_3_3, AMFBS_None, { MCK_FR16, MCK_Mem128_RC256, MCK_FR16 }, },
{ 13085 /* vgatherqps */, X86::VGATHERQPSrm, Convert__Reg1_0__Reg1_2__Tie0_1_1__Mem64_RC1285_1__Tie1_3_3, AMFBS_None, { MCK_FR16, MCK_Mem64_RC128, MCK_FR16 }, },
{ 13085 /* vgatherqps */, X86::VGATHERQPSZ256rm, Convert__Reg1_0__Reg1_2__Tie0_1_1__Tie1_3_3__Mem128_RC256X5_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128_RC256X }, },
{ 13085 /* vgatherqps */, X86::VGATHERQPSZ128rm, Convert__Reg1_0__Reg1_2__Tie0_1_1__Tie1_3_3__Mem64_RC128X5_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64_RC128X }, },
{ 13085 /* vgatherqps */, X86::VGATHERQPSZrm, Convert__Reg1_0__Reg1_2__Tie0_1_1__Tie1_3_3__Mem256_RC5125_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256_RC512 }, },
{ 13096 /* vgetexppd */, X86::VGETEXPPDZ128r, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 13096 /* vgetexppd */, X86::VGETEXPPDZ128m, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16X, MCK_Mem128 }, },
{ 13096 /* vgetexppd */, X86::VGETEXPPDZ256r, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 13096 /* vgetexppd */, X86::VGETEXPPDZ256m, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR256X, MCK_Mem256 }, },
{ 13096 /* vgetexppd */, X86::VGETEXPPDZr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 13096 /* vgetexppd */, X86::VGETEXPPDZm, Convert__Reg1_0__Mem5125_1, AMFBS_None, { MCK_VR512, MCK_Mem512 }, },
{ 13096 /* vgetexppd */, X86::VGETEXPPDZ128mb, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 13096 /* vgetexppd */, X86::VGETEXPPDZ256mb, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 13096 /* vgetexppd */, X86::VGETEXPPDZrb, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_sae_125_ }, },
{ 13096 /* vgetexppd */, X86::VGETEXPPDZmb, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 13096 /* vgetexppd */, X86::VGETEXPPDZ128rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 13096 /* vgetexppd */, X86::VGETEXPPDZ128mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 13096 /* vgetexppd */, X86::VGETEXPPDZ256rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 13096 /* vgetexppd */, X86::VGETEXPPDZ256mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 13096 /* vgetexppd */, X86::VGETEXPPDZrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 13096 /* vgetexppd */, X86::VGETEXPPDZmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512 }, },
{ 13096 /* vgetexppd */, X86::VGETEXPPDZ128rkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 13096 /* vgetexppd */, X86::VGETEXPPDZ128mkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 13096 /* vgetexppd */, X86::VGETEXPPDZ128mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 13096 /* vgetexppd */, X86::VGETEXPPDZ256rkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 13096 /* vgetexppd */, X86::VGETEXPPDZ256mkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 13096 /* vgetexppd */, X86::VGETEXPPDZ256mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 13096 /* vgetexppd */, X86::VGETEXPPDZrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 13096 /* vgetexppd */, X86::VGETEXPPDZmkz, Convert__Reg1_0__Reg1_2__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512 }, },
{ 13096 /* vgetexppd */, X86::VGETEXPPDZrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK__123_sae_125_ }, },
{ 13096 /* vgetexppd */, X86::VGETEXPPDZmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 13096 /* vgetexppd */, X86::VGETEXPPDZ128mbkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 13096 /* vgetexppd */, X86::VGETEXPPDZ256mbkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 13096 /* vgetexppd */, X86::VGETEXPPDZrbkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK__123_sae_125_ }, },
{ 13096 /* vgetexppd */, X86::VGETEXPPDZmbkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 13106 /* vgetexpph */, X86::VGETEXPPHZ128r, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 13106 /* vgetexpph */, X86::VGETEXPPHZ128m, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16X, MCK_Mem128 }, },
{ 13106 /* vgetexpph */, X86::VGETEXPPHZ256r, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 13106 /* vgetexpph */, X86::VGETEXPPHZ256m, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR256X, MCK_Mem256 }, },
{ 13106 /* vgetexpph */, X86::VGETEXPPHZr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 13106 /* vgetexpph */, X86::VGETEXPPHZm, Convert__Reg1_0__Mem5125_1, AMFBS_None, { MCK_VR512, MCK_Mem512 }, },
{ 13106 /* vgetexpph */, X86::VGETEXPPHZ128mb, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_FR16X, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 13106 /* vgetexpph */, X86::VGETEXPPHZ256mb, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_VR256X, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 13106 /* vgetexpph */, X86::VGETEXPPHZrb, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_sae_125_ }, },
{ 13106 /* vgetexpph */, X86::VGETEXPPHZmb, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_VR512, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 13106 /* vgetexpph */, X86::VGETEXPPHZ128rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 13106 /* vgetexpph */, X86::VGETEXPPHZ128mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 13106 /* vgetexpph */, X86::VGETEXPPHZ256rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 13106 /* vgetexpph */, X86::VGETEXPPHZ256mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 13106 /* vgetexpph */, X86::VGETEXPPHZrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 13106 /* vgetexpph */, X86::VGETEXPPHZmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512 }, },
{ 13106 /* vgetexpph */, X86::VGETEXPPHZ128rkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 13106 /* vgetexpph */, X86::VGETEXPPHZ128mkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 13106 /* vgetexpph */, X86::VGETEXPPHZ128mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem165_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 13106 /* vgetexpph */, X86::VGETEXPPHZ256rkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 13106 /* vgetexpph */, X86::VGETEXPPHZ256mkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 13106 /* vgetexpph */, X86::VGETEXPPHZ256mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem165_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 13106 /* vgetexpph */, X86::VGETEXPPHZrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 13106 /* vgetexpph */, X86::VGETEXPPHZmkz, Convert__Reg1_0__Reg1_2__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512 }, },
{ 13106 /* vgetexpph */, X86::VGETEXPPHZrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK__123_sae_125_ }, },
{ 13106 /* vgetexpph */, X86::VGETEXPPHZmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem165_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 13106 /* vgetexpph */, X86::VGETEXPPHZ128mbkz, Convert__Reg1_0__Reg1_2__Mem165_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 13106 /* vgetexpph */, X86::VGETEXPPHZ256mbkz, Convert__Reg1_0__Reg1_2__Mem165_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 13106 /* vgetexpph */, X86::VGETEXPPHZrbkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK__123_sae_125_ }, },
{ 13106 /* vgetexpph */, X86::VGETEXPPHZmbkz, Convert__Reg1_0__Reg1_2__Mem165_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 13116 /* vgetexpps */, X86::VGETEXPPSZ128r, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 13116 /* vgetexpps */, X86::VGETEXPPSZ128m, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16X, MCK_Mem128 }, },
{ 13116 /* vgetexpps */, X86::VGETEXPPSZ256r, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 13116 /* vgetexpps */, X86::VGETEXPPSZ256m, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR256X, MCK_Mem256 }, },
{ 13116 /* vgetexpps */, X86::VGETEXPPSZr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 13116 /* vgetexpps */, X86::VGETEXPPSZm, Convert__Reg1_0__Mem5125_1, AMFBS_None, { MCK_VR512, MCK_Mem512 }, },
{ 13116 /* vgetexpps */, X86::VGETEXPPSZ128mb, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 13116 /* vgetexpps */, X86::VGETEXPPSZ256mb, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 13116 /* vgetexpps */, X86::VGETEXPPSZrb, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_sae_125_ }, },
{ 13116 /* vgetexpps */, X86::VGETEXPPSZmb, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 13116 /* vgetexpps */, X86::VGETEXPPSZ128rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 13116 /* vgetexpps */, X86::VGETEXPPSZ128mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 13116 /* vgetexpps */, X86::VGETEXPPSZ256rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 13116 /* vgetexpps */, X86::VGETEXPPSZ256mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 13116 /* vgetexpps */, X86::VGETEXPPSZrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 13116 /* vgetexpps */, X86::VGETEXPPSZmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512 }, },
{ 13116 /* vgetexpps */, X86::VGETEXPPSZ128rkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 13116 /* vgetexpps */, X86::VGETEXPPSZ128mkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 13116 /* vgetexpps */, X86::VGETEXPPSZ128mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 13116 /* vgetexpps */, X86::VGETEXPPSZ256rkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 13116 /* vgetexpps */, X86::VGETEXPPSZ256mkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 13116 /* vgetexpps */, X86::VGETEXPPSZ256mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 13116 /* vgetexpps */, X86::VGETEXPPSZrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 13116 /* vgetexpps */, X86::VGETEXPPSZmkz, Convert__Reg1_0__Reg1_2__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512 }, },
{ 13116 /* vgetexpps */, X86::VGETEXPPSZrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK__123_sae_125_ }, },
{ 13116 /* vgetexpps */, X86::VGETEXPPSZmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 13116 /* vgetexpps */, X86::VGETEXPPSZ128mbkz, Convert__Reg1_0__Reg1_2__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 13116 /* vgetexpps */, X86::VGETEXPPSZ256mbkz, Convert__Reg1_0__Reg1_2__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 13116 /* vgetexpps */, X86::VGETEXPPSZrbkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK__123_sae_125_ }, },
{ 13116 /* vgetexpps */, X86::VGETEXPPSZmbkz, Convert__Reg1_0__Reg1_2__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 13126 /* vgetexpsd */, X86::VGETEXPSDZr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 13126 /* vgetexpsd */, X86::VGETEXPSDZm, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64 }, },
{ 13126 /* vgetexpsd */, X86::VGETEXPSDZrb, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_sae_125_ }, },
{ 13126 /* vgetexpsd */, X86::VGETEXPSDZrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 13126 /* vgetexpsd */, X86::VGETEXPSDZmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64 }, },
{ 13126 /* vgetexpsd */, X86::VGETEXPSDZrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 13126 /* vgetexpsd */, X86::VGETEXPSDZmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64 }, },
{ 13126 /* vgetexpsd */, X86::VGETEXPSDZrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK__123_sae_125_ }, },
{ 13126 /* vgetexpsd */, X86::VGETEXPSDZrbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK__123_sae_125_ }, },
{ 13136 /* vgetexpsh */, X86::VGETEXPSHZr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 13136 /* vgetexpsh */, X86::VGETEXPSHZm, Convert__Reg1_0__Reg1_1__Mem165_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem16 }, },
{ 13136 /* vgetexpsh */, X86::VGETEXPSHZrb, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_sae_125_ }, },
{ 13136 /* vgetexpsh */, X86::VGETEXPSHZrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 13136 /* vgetexpsh */, X86::VGETEXPSHZmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem16 }, },
{ 13136 /* vgetexpsh */, X86::VGETEXPSHZrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 13136 /* vgetexpsh */, X86::VGETEXPSHZmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem16 }, },
{ 13136 /* vgetexpsh */, X86::VGETEXPSHZrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK__123_sae_125_ }, },
{ 13136 /* vgetexpsh */, X86::VGETEXPSHZrbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK__123_sae_125_ }, },
{ 13146 /* vgetexpss */, X86::VGETEXPSSZr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 13146 /* vgetexpss */, X86::VGETEXPSSZm, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32 }, },
{ 13146 /* vgetexpss */, X86::VGETEXPSSZrb, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_sae_125_ }, },
{ 13146 /* vgetexpss */, X86::VGETEXPSSZrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 13146 /* vgetexpss */, X86::VGETEXPSSZmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32 }, },
{ 13146 /* vgetexpss */, X86::VGETEXPSSZrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 13146 /* vgetexpss */, X86::VGETEXPSSZmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32 }, },
{ 13146 /* vgetexpss */, X86::VGETEXPSSZrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK__123_sae_125_ }, },
{ 13146 /* vgetexpss */, X86::VGETEXPSSZrbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK__123_sae_125_ }, },
{ 13156 /* vgetmantpd */, X86::VGETMANTPDZ128rri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 13156 /* vgetmantpd */, X86::VGETMANTPDZ128rmi, Convert__Reg1_0__Mem1285_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 13156 /* vgetmantpd */, X86::VGETMANTPDZ256rri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 13156 /* vgetmantpd */, X86::VGETMANTPDZ256rmi, Convert__Reg1_0__Mem2565_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 13156 /* vgetmantpd */, X86::VGETMANTPDZrri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 13156 /* vgetmantpd */, X86::VGETMANTPDZrmi, Convert__Reg1_0__Mem5125_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 13156 /* vgetmantpd */, X86::VGETMANTPDZ128rmbi, Convert__Reg1_0__Mem645_1__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_, MCK_ImmUnsignedi8 }, },
{ 13156 /* vgetmantpd */, X86::VGETMANTPDZ256rmbi, Convert__Reg1_0__Mem645_1__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 13156 /* vgetmantpd */, X86::VGETMANTPDZrrib, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_sae_125_, MCK_ImmUnsignedi8 }, },
{ 13156 /* vgetmantpd */, X86::VGETMANTPDZrmbi, Convert__Reg1_0__Mem645_1__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_Mem64, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 13156 /* vgetmantpd */, X86::VGETMANTPDZ128rrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 13156 /* vgetmantpd */, X86::VGETMANTPDZ128rmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4__ImmUnsignedi81_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 13156 /* vgetmantpd */, X86::VGETMANTPDZ256rrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 13156 /* vgetmantpd */, X86::VGETMANTPDZ256rmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 13156 /* vgetmantpd */, X86::VGETMANTPDZrrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 13156 /* vgetmantpd */, X86::VGETMANTPDZrmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 13156 /* vgetmantpd */, X86::VGETMANTPDZ128rrikz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 13156 /* vgetmantpd */, X86::VGETMANTPDZ128rmikz, Convert__Reg1_0__Reg1_2__Mem1285_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 13156 /* vgetmantpd */, X86::VGETMANTPDZ128rmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to2_125_, MCK_ImmUnsignedi8 }, },
{ 13156 /* vgetmantpd */, X86::VGETMANTPDZ256rrikz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 13156 /* vgetmantpd */, X86::VGETMANTPDZ256rmikz, Convert__Reg1_0__Reg1_2__Mem2565_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 13156 /* vgetmantpd */, X86::VGETMANTPDZ256rmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 13156 /* vgetmantpd */, X86::VGETMANTPDZrrikz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 13156 /* vgetmantpd */, X86::VGETMANTPDZrmikz, Convert__Reg1_0__Reg1_2__Mem5125_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 13156 /* vgetmantpd */, X86::VGETMANTPDZrribk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK__123_sae_125_, MCK_ImmUnsignedi8 }, },
{ 13156 /* vgetmantpd */, X86::VGETMANTPDZrmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 13156 /* vgetmantpd */, X86::VGETMANTPDZ128rmbikz, Convert__Reg1_0__Reg1_2__Mem645_5__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to2_125_, MCK_ImmUnsignedi8 }, },
{ 13156 /* vgetmantpd */, X86::VGETMANTPDZ256rmbikz, Convert__Reg1_0__Reg1_2__Mem645_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 13156 /* vgetmantpd */, X86::VGETMANTPDZrribkz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK__123_sae_125_, MCK_ImmUnsignedi8 }, },
{ 13156 /* vgetmantpd */, X86::VGETMANTPDZrmbikz, Convert__Reg1_0__Reg1_2__Mem645_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 13167 /* vgetmantph */, X86::VGETMANTPHZ128rri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 13167 /* vgetmantph */, X86::VGETMANTPHZ128rmi, Convert__Reg1_0__Mem1285_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 13167 /* vgetmantph */, X86::VGETMANTPHZ256rri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 13167 /* vgetmantph */, X86::VGETMANTPHZ256rmi, Convert__Reg1_0__Mem2565_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 13167 /* vgetmantph */, X86::VGETMANTPHZrri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 13167 /* vgetmantph */, X86::VGETMANTPHZrmi, Convert__Reg1_0__Mem5125_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 13167 /* vgetmantph */, X86::VGETMANTPHZ128rmbi, Convert__Reg1_0__Mem165_1__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_Mem16, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 13167 /* vgetmantph */, X86::VGETMANTPHZ256rmbi, Convert__Reg1_0__Mem165_1__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256X, MCK_Mem16, MCK__123_1to16_125_, MCK_ImmUnsignedi8 }, },
{ 13167 /* vgetmantph */, X86::VGETMANTPHZrrib, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_sae_125_, MCK_ImmUnsignedi8 }, },
{ 13167 /* vgetmantph */, X86::VGETMANTPHZrmbi, Convert__Reg1_0__Mem165_1__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_Mem16, MCK__123_1to32_125_, MCK_ImmUnsignedi8 }, },
{ 13167 /* vgetmantph */, X86::VGETMANTPHZ128rrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 13167 /* vgetmantph */, X86::VGETMANTPHZ128rmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4__ImmUnsignedi81_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 13167 /* vgetmantph */, X86::VGETMANTPHZ256rrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 13167 /* vgetmantph */, X86::VGETMANTPHZ256rmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 13167 /* vgetmantph */, X86::VGETMANTPHZrrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 13167 /* vgetmantph */, X86::VGETMANTPHZrmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 13167 /* vgetmantph */, X86::VGETMANTPHZ128rrikz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 13167 /* vgetmantph */, X86::VGETMANTPHZ128rmikz, Convert__Reg1_0__Reg1_2__Mem1285_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 13167 /* vgetmantph */, X86::VGETMANTPHZ128rmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem165_4__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem16, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 13167 /* vgetmantph */, X86::VGETMANTPHZ256rrikz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 13167 /* vgetmantph */, X86::VGETMANTPHZ256rmikz, Convert__Reg1_0__Reg1_2__Mem2565_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 13167 /* vgetmantph */, X86::VGETMANTPHZ256rmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem165_4__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem16, MCK__123_1to16_125_, MCK_ImmUnsignedi8 }, },
{ 13167 /* vgetmantph */, X86::VGETMANTPHZrrikz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 13167 /* vgetmantph */, X86::VGETMANTPHZrmikz, Convert__Reg1_0__Reg1_2__Mem5125_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 13167 /* vgetmantph */, X86::VGETMANTPHZrribk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK__123_sae_125_, MCK_ImmUnsignedi8 }, },
{ 13167 /* vgetmantph */, X86::VGETMANTPHZrmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem165_4__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem16, MCK__123_1to32_125_, MCK_ImmUnsignedi8 }, },
{ 13167 /* vgetmantph */, X86::VGETMANTPHZ128rmbikz, Convert__Reg1_0__Reg1_2__Mem165_5__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem16, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 13167 /* vgetmantph */, X86::VGETMANTPHZ256rmbikz, Convert__Reg1_0__Reg1_2__Mem165_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem16, MCK__123_1to16_125_, MCK_ImmUnsignedi8 }, },
{ 13167 /* vgetmantph */, X86::VGETMANTPHZrribkz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK__123_sae_125_, MCK_ImmUnsignedi8 }, },
{ 13167 /* vgetmantph */, X86::VGETMANTPHZrmbikz, Convert__Reg1_0__Reg1_2__Mem165_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem16, MCK__123_1to32_125_, MCK_ImmUnsignedi8 }, },
{ 13178 /* vgetmantps */, X86::VGETMANTPSZ128rri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 13178 /* vgetmantps */, X86::VGETMANTPSZ128rmi, Convert__Reg1_0__Mem1285_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 13178 /* vgetmantps */, X86::VGETMANTPSZ256rri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 13178 /* vgetmantps */, X86::VGETMANTPSZ256rmi, Convert__Reg1_0__Mem2565_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 13178 /* vgetmantps */, X86::VGETMANTPSZrri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 13178 /* vgetmantps */, X86::VGETMANTPSZrmi, Convert__Reg1_0__Mem5125_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 13178 /* vgetmantps */, X86::VGETMANTPSZ128rmbi, Convert__Reg1_0__Mem325_1__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 13178 /* vgetmantps */, X86::VGETMANTPSZ256rmbi, Convert__Reg1_0__Mem325_1__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 13178 /* vgetmantps */, X86::VGETMANTPSZrrib, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_sae_125_, MCK_ImmUnsignedi8 }, },
{ 13178 /* vgetmantps */, X86::VGETMANTPSZrmbi, Convert__Reg1_0__Mem325_1__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_Mem32, MCK__123_1to16_125_, MCK_ImmUnsignedi8 }, },
{ 13178 /* vgetmantps */, X86::VGETMANTPSZ128rrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 13178 /* vgetmantps */, X86::VGETMANTPSZ128rmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4__ImmUnsignedi81_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 13178 /* vgetmantps */, X86::VGETMANTPSZ256rrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 13178 /* vgetmantps */, X86::VGETMANTPSZ256rmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 13178 /* vgetmantps */, X86::VGETMANTPSZrrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 13178 /* vgetmantps */, X86::VGETMANTPSZrmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 13178 /* vgetmantps */, X86::VGETMANTPSZ128rrikz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 13178 /* vgetmantps */, X86::VGETMANTPSZ128rmikz, Convert__Reg1_0__Reg1_2__Mem1285_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 13178 /* vgetmantps */, X86::VGETMANTPSZ128rmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 13178 /* vgetmantps */, X86::VGETMANTPSZ256rrikz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 13178 /* vgetmantps */, X86::VGETMANTPSZ256rmikz, Convert__Reg1_0__Reg1_2__Mem2565_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 13178 /* vgetmantps */, X86::VGETMANTPSZ256rmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 13178 /* vgetmantps */, X86::VGETMANTPSZrrikz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 13178 /* vgetmantps */, X86::VGETMANTPSZrmikz, Convert__Reg1_0__Reg1_2__Mem5125_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 13178 /* vgetmantps */, X86::VGETMANTPSZrribk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK__123_sae_125_, MCK_ImmUnsignedi8 }, },
{ 13178 /* vgetmantps */, X86::VGETMANTPSZrmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to16_125_, MCK_ImmUnsignedi8 }, },
{ 13178 /* vgetmantps */, X86::VGETMANTPSZ128rmbikz, Convert__Reg1_0__Reg1_2__Mem325_5__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 13178 /* vgetmantps */, X86::VGETMANTPSZ256rmbikz, Convert__Reg1_0__Reg1_2__Mem325_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 13178 /* vgetmantps */, X86::VGETMANTPSZrribkz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK__123_sae_125_, MCK_ImmUnsignedi8 }, },
{ 13178 /* vgetmantps */, X86::VGETMANTPSZrmbikz, Convert__Reg1_0__Reg1_2__Mem325_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to16_125_, MCK_ImmUnsignedi8 }, },
{ 13189 /* vgetmantsd */, X86::VGETMANTSDZrri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 13189 /* vgetmantsd */, X86::VGETMANTSDZrmi, Convert__Reg1_0__Reg1_1__Mem645_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64, MCK_ImmUnsignedi8 }, },
{ 13189 /* vgetmantsd */, X86::VGETMANTSDZrrib, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_4, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_sae_125_, MCK_ImmUnsignedi8 }, },
{ 13189 /* vgetmantsd */, X86::VGETMANTSDZrrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 13189 /* vgetmantsd */, X86::VGETMANTSDZrmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64, MCK_ImmUnsignedi8 }, },
{ 13189 /* vgetmantsd */, X86::VGETMANTSDZrrikz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 13189 /* vgetmantsd */, X86::VGETMANTSDZrmikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64, MCK_ImmUnsignedi8 }, },
{ 13189 /* vgetmantsd */, X86::VGETMANTSDZrribk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK__123_sae_125_, MCK_ImmUnsignedi8 }, },
{ 13189 /* vgetmantsd */, X86::VGETMANTSDZrribkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_8, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK__123_sae_125_, MCK_ImmUnsignedi8 }, },
{ 13200 /* vgetmantsh */, X86::VGETMANTSHZrri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 13200 /* vgetmantsh */, X86::VGETMANTSHZrmi, Convert__Reg1_0__Reg1_1__Mem165_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem16, MCK_ImmUnsignedi8 }, },
{ 13200 /* vgetmantsh */, X86::VGETMANTSHZrrib, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_4, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_sae_125_, MCK_ImmUnsignedi8 }, },
{ 13200 /* vgetmantsh */, X86::VGETMANTSHZrrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 13200 /* vgetmantsh */, X86::VGETMANTSHZrmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem16, MCK_ImmUnsignedi8 }, },
{ 13200 /* vgetmantsh */, X86::VGETMANTSHZrrikz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 13200 /* vgetmantsh */, X86::VGETMANTSHZrmikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem165_6__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem16, MCK_ImmUnsignedi8 }, },
{ 13200 /* vgetmantsh */, X86::VGETMANTSHZrribk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK__123_sae_125_, MCK_ImmUnsignedi8 }, },
{ 13200 /* vgetmantsh */, X86::VGETMANTSHZrribkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_8, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK__123_sae_125_, MCK_ImmUnsignedi8 }, },
{ 13211 /* vgetmantss */, X86::VGETMANTSSZrri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 13211 /* vgetmantss */, X86::VGETMANTSSZrmi, Convert__Reg1_0__Reg1_1__Mem325_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32, MCK_ImmUnsignedi8 }, },
{ 13211 /* vgetmantss */, X86::VGETMANTSSZrrib, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_4, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_sae_125_, MCK_ImmUnsignedi8 }, },
{ 13211 /* vgetmantss */, X86::VGETMANTSSZrrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 13211 /* vgetmantss */, X86::VGETMANTSSZrmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32, MCK_ImmUnsignedi8 }, },
{ 13211 /* vgetmantss */, X86::VGETMANTSSZrrikz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 13211 /* vgetmantss */, X86::VGETMANTSSZrmikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32, MCK_ImmUnsignedi8 }, },
{ 13211 /* vgetmantss */, X86::VGETMANTSSZrribk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK__123_sae_125_, MCK_ImmUnsignedi8 }, },
{ 13211 /* vgetmantss */, X86::VGETMANTSSZrribkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_8, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK__123_sae_125_, MCK_ImmUnsignedi8 }, },
{ 13222 /* vgf2p8affineinvqb */, X86::VGF2P8AFFINEINVQBrri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 13222 /* vgf2p8affineinvqb */, X86::VGF2P8AFFINEINVQBrmi, Convert__Reg1_0__Reg1_1__Mem1285_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 13222 /* vgf2p8affineinvqb */, X86::VGF2P8AFFINEINVQBYrri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256, MCK_ImmUnsignedi8 }, },
{ 13222 /* vgf2p8affineinvqb */, X86::VGF2P8AFFINEINVQBYrmi, Convert__Reg1_0__Reg1_1__Mem2565_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 13222 /* vgf2p8affineinvqb */, X86::VGF2P8AFFINEINVQBZ128rri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 13222 /* vgf2p8affineinvqb */, X86::VGF2P8AFFINEINVQBZ128rmi, Convert__Reg1_0__Reg1_1__Mem1285_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 13222 /* vgf2p8affineinvqb */, X86::VGF2P8AFFINEINVQBZ256rri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 13222 /* vgf2p8affineinvqb */, X86::VGF2P8AFFINEINVQBZ256rmi, Convert__Reg1_0__Reg1_1__Mem2565_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 13222 /* vgf2p8affineinvqb */, X86::VGF2P8AFFINEINVQBZrri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 13222 /* vgf2p8affineinvqb */, X86::VGF2P8AFFINEINVQBZrmi, Convert__Reg1_0__Reg1_1__Mem5125_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 13222 /* vgf2p8affineinvqb */, X86::VGF2P8AFFINEINVQBZ128rmbi, Convert__Reg1_0__Reg1_1__Mem85_2__ImmUnsignedi81_4, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem8, MCK__123_1to2_125_, MCK_ImmUnsignedi8 }, },
{ 13222 /* vgf2p8affineinvqb */, X86::VGF2P8AFFINEINVQBZ256rmbi, Convert__Reg1_0__Reg1_1__Mem85_2__ImmUnsignedi81_4, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem8, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 13222 /* vgf2p8affineinvqb */, X86::VGF2P8AFFINEINVQBZrmbi, Convert__Reg1_0__Reg1_1__Mem85_2__ImmUnsignedi81_4, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem8, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 13222 /* vgf2p8affineinvqb */, X86::VGF2P8AFFINEINVQBZ128rrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 13222 /* vgf2p8affineinvqb */, X86::VGF2P8AFFINEINVQBZ128rmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 13222 /* vgf2p8affineinvqb */, X86::VGF2P8AFFINEINVQBZ256rrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 13222 /* vgf2p8affineinvqb */, X86::VGF2P8AFFINEINVQBZ256rmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 13222 /* vgf2p8affineinvqb */, X86::VGF2P8AFFINEINVQBZrrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 13222 /* vgf2p8affineinvqb */, X86::VGF2P8AFFINEINVQBZrmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 13222 /* vgf2p8affineinvqb */, X86::VGF2P8AFFINEINVQBZ128rrikz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 13222 /* vgf2p8affineinvqb */, X86::VGF2P8AFFINEINVQBZ128rmikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 13222 /* vgf2p8affineinvqb */, X86::VGF2P8AFFINEINVQBZ128rmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem85_5__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem8, MCK__123_1to2_125_, MCK_ImmUnsignedi8 }, },
{ 13222 /* vgf2p8affineinvqb */, X86::VGF2P8AFFINEINVQBZ256rrikz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 13222 /* vgf2p8affineinvqb */, X86::VGF2P8AFFINEINVQBZ256rmikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 13222 /* vgf2p8affineinvqb */, X86::VGF2P8AFFINEINVQBZ256rmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem85_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem8, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 13222 /* vgf2p8affineinvqb */, X86::VGF2P8AFFINEINVQBZrrikz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 13222 /* vgf2p8affineinvqb */, X86::VGF2P8AFFINEINVQBZrmikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 13222 /* vgf2p8affineinvqb */, X86::VGF2P8AFFINEINVQBZrmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem85_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem8, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 13222 /* vgf2p8affineinvqb */, X86::VGF2P8AFFINEINVQBZ128rmbikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem85_6__ImmUnsignedi81_8, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem8, MCK__123_1to2_125_, MCK_ImmUnsignedi8 }, },
{ 13222 /* vgf2p8affineinvqb */, X86::VGF2P8AFFINEINVQBZ256rmbikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem85_6__ImmUnsignedi81_8, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem8, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 13222 /* vgf2p8affineinvqb */, X86::VGF2P8AFFINEINVQBZrmbikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem85_6__ImmUnsignedi81_8, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem8, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 13240 /* vgf2p8affineqb */, X86::VGF2P8AFFINEQBrri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 13240 /* vgf2p8affineqb */, X86::VGF2P8AFFINEQBrmi, Convert__Reg1_0__Reg1_1__Mem1285_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 13240 /* vgf2p8affineqb */, X86::VGF2P8AFFINEQBYrri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256, MCK_ImmUnsignedi8 }, },
{ 13240 /* vgf2p8affineqb */, X86::VGF2P8AFFINEQBYrmi, Convert__Reg1_0__Reg1_1__Mem2565_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 13240 /* vgf2p8affineqb */, X86::VGF2P8AFFINEQBZ128rri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 13240 /* vgf2p8affineqb */, X86::VGF2P8AFFINEQBZ128rmi, Convert__Reg1_0__Reg1_1__Mem1285_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 13240 /* vgf2p8affineqb */, X86::VGF2P8AFFINEQBZ256rri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 13240 /* vgf2p8affineqb */, X86::VGF2P8AFFINEQBZ256rmi, Convert__Reg1_0__Reg1_1__Mem2565_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 13240 /* vgf2p8affineqb */, X86::VGF2P8AFFINEQBZrri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 13240 /* vgf2p8affineqb */, X86::VGF2P8AFFINEQBZrmi, Convert__Reg1_0__Reg1_1__Mem5125_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 13240 /* vgf2p8affineqb */, X86::VGF2P8AFFINEQBZ128rmbi, Convert__Reg1_0__Reg1_1__Mem85_2__ImmUnsignedi81_4, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem8, MCK__123_1to2_125_, MCK_ImmUnsignedi8 }, },
{ 13240 /* vgf2p8affineqb */, X86::VGF2P8AFFINEQBZ256rmbi, Convert__Reg1_0__Reg1_1__Mem85_2__ImmUnsignedi81_4, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem8, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 13240 /* vgf2p8affineqb */, X86::VGF2P8AFFINEQBZrmbi, Convert__Reg1_0__Reg1_1__Mem85_2__ImmUnsignedi81_4, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem8, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 13240 /* vgf2p8affineqb */, X86::VGF2P8AFFINEQBZ128rrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 13240 /* vgf2p8affineqb */, X86::VGF2P8AFFINEQBZ128rmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 13240 /* vgf2p8affineqb */, X86::VGF2P8AFFINEQBZ256rrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 13240 /* vgf2p8affineqb */, X86::VGF2P8AFFINEQBZ256rmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 13240 /* vgf2p8affineqb */, X86::VGF2P8AFFINEQBZrrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 13240 /* vgf2p8affineqb */, X86::VGF2P8AFFINEQBZrmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 13240 /* vgf2p8affineqb */, X86::VGF2P8AFFINEQBZ128rrikz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 13240 /* vgf2p8affineqb */, X86::VGF2P8AFFINEQBZ128rmikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 13240 /* vgf2p8affineqb */, X86::VGF2P8AFFINEQBZ128rmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem85_5__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem8, MCK__123_1to2_125_, MCK_ImmUnsignedi8 }, },
{ 13240 /* vgf2p8affineqb */, X86::VGF2P8AFFINEQBZ256rrikz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 13240 /* vgf2p8affineqb */, X86::VGF2P8AFFINEQBZ256rmikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 13240 /* vgf2p8affineqb */, X86::VGF2P8AFFINEQBZ256rmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem85_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem8, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 13240 /* vgf2p8affineqb */, X86::VGF2P8AFFINEQBZrrikz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 13240 /* vgf2p8affineqb */, X86::VGF2P8AFFINEQBZrmikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 13240 /* vgf2p8affineqb */, X86::VGF2P8AFFINEQBZrmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem85_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem8, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 13240 /* vgf2p8affineqb */, X86::VGF2P8AFFINEQBZ128rmbikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem85_6__ImmUnsignedi81_8, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem8, MCK__123_1to2_125_, MCK_ImmUnsignedi8 }, },
{ 13240 /* vgf2p8affineqb */, X86::VGF2P8AFFINEQBZ256rmbikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem85_6__ImmUnsignedi81_8, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem8, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 13240 /* vgf2p8affineqb */, X86::VGF2P8AFFINEQBZrmbikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem85_6__ImmUnsignedi81_8, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem8, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 13255 /* vgf2p8mulb */, X86::VGF2P8MULBrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 13255 /* vgf2p8mulb */, X86::VGF2P8MULBrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 13255 /* vgf2p8mulb */, X86::VGF2P8MULBYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 13255 /* vgf2p8mulb */, X86::VGF2P8MULBYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 13255 /* vgf2p8mulb */, X86::VGF2P8MULBZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 13255 /* vgf2p8mulb */, X86::VGF2P8MULBZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 13255 /* vgf2p8mulb */, X86::VGF2P8MULBZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 13255 /* vgf2p8mulb */, X86::VGF2P8MULBZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 13255 /* vgf2p8mulb */, X86::VGF2P8MULBZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 13255 /* vgf2p8mulb */, X86::VGF2P8MULBZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 13255 /* vgf2p8mulb */, X86::VGF2P8MULBZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 13255 /* vgf2p8mulb */, X86::VGF2P8MULBZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 13255 /* vgf2p8mulb */, X86::VGF2P8MULBZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 13255 /* vgf2p8mulb */, X86::VGF2P8MULBZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 13255 /* vgf2p8mulb */, X86::VGF2P8MULBZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 13255 /* vgf2p8mulb */, X86::VGF2P8MULBZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 13255 /* vgf2p8mulb */, X86::VGF2P8MULBZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 13255 /* vgf2p8mulb */, X86::VGF2P8MULBZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 13255 /* vgf2p8mulb */, X86::VGF2P8MULBZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 13255 /* vgf2p8mulb */, X86::VGF2P8MULBZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 13255 /* vgf2p8mulb */, X86::VGF2P8MULBZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 13255 /* vgf2p8mulb */, X86::VGF2P8MULBZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 13266 /* vhaddpd */, X86::VHADDPDrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 13266 /* vhaddpd */, X86::VHADDPDrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 13266 /* vhaddpd */, X86::VHADDPDYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 13266 /* vhaddpd */, X86::VHADDPDYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 13274 /* vhaddps */, X86::VHADDPSrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 13274 /* vhaddps */, X86::VHADDPSrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 13274 /* vhaddps */, X86::VHADDPSYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 13274 /* vhaddps */, X86::VHADDPSYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 13282 /* vhsubpd */, X86::VHSUBPDrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 13282 /* vhsubpd */, X86::VHSUBPDrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 13282 /* vhsubpd */, X86::VHSUBPDYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 13282 /* vhsubpd */, X86::VHSUBPDYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 13290 /* vhsubps */, X86::VHSUBPSrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 13290 /* vhsubps */, X86::VHSUBPSrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 13290 /* vhsubps */, X86::VHSUBPSYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 13290 /* vhsubps */, X86::VHSUBPSYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 13298 /* vinsertf128 */, X86::VINSERTF128rr, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 13298 /* vinsertf128 */, X86::VINSERTF128rm, Convert__Reg1_0__Reg1_1__Mem1285_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 13310 /* vinsertf32x4 */, X86::VINSERTF32x4Z256rr, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 13310 /* vinsertf32x4 */, X86::VINSERTF32x4Z256rm, Convert__Reg1_0__Reg1_1__Mem1285_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 13310 /* vinsertf32x4 */, X86::VINSERTF32x4Zrr, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 13310 /* vinsertf32x4 */, X86::VINSERTF32x4Zrm, Convert__Reg1_0__Reg1_1__Mem1285_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 13310 /* vinsertf32x4 */, X86::VINSERTF32x4Z256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 13310 /* vinsertf32x4 */, X86::VINSERTF32x4Z256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 13310 /* vinsertf32x4 */, X86::VINSERTF32x4Zrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 13310 /* vinsertf32x4 */, X86::VINSERTF32x4Zrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 13310 /* vinsertf32x4 */, X86::VINSERTF32x4Z256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 13310 /* vinsertf32x4 */, X86::VINSERTF32x4Z256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 13310 /* vinsertf32x4 */, X86::VINSERTF32x4Zrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 13310 /* vinsertf32x4 */, X86::VINSERTF32x4Zrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 13323 /* vinsertf32x8 */, X86::VINSERTF32x8Zrr, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 13323 /* vinsertf32x8 */, X86::VINSERTF32x8Zrm, Convert__Reg1_0__Reg1_1__Mem2565_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 13323 /* vinsertf32x8 */, X86::VINSERTF32x8Zrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 13323 /* vinsertf32x8 */, X86::VINSERTF32x8Zrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 13323 /* vinsertf32x8 */, X86::VINSERTF32x8Zrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 13323 /* vinsertf32x8 */, X86::VINSERTF32x8Zrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 13336 /* vinsertf64x2 */, X86::VINSERTF64x2Z256rr, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 13336 /* vinsertf64x2 */, X86::VINSERTF64x2Z256rm, Convert__Reg1_0__Reg1_1__Mem1285_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 13336 /* vinsertf64x2 */, X86::VINSERTF64x2Zrr, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 13336 /* vinsertf64x2 */, X86::VINSERTF64x2Zrm, Convert__Reg1_0__Reg1_1__Mem1285_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 13336 /* vinsertf64x2 */, X86::VINSERTF64x2Z256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 13336 /* vinsertf64x2 */, X86::VINSERTF64x2Z256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 13336 /* vinsertf64x2 */, X86::VINSERTF64x2Zrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 13336 /* vinsertf64x2 */, X86::VINSERTF64x2Zrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 13336 /* vinsertf64x2 */, X86::VINSERTF64x2Z256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 13336 /* vinsertf64x2 */, X86::VINSERTF64x2Z256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 13336 /* vinsertf64x2 */, X86::VINSERTF64x2Zrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 13336 /* vinsertf64x2 */, X86::VINSERTF64x2Zrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 13349 /* vinsertf64x4 */, X86::VINSERTF64x4Zrr, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 13349 /* vinsertf64x4 */, X86::VINSERTF64x4Zrm, Convert__Reg1_0__Reg1_1__Mem2565_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 13349 /* vinsertf64x4 */, X86::VINSERTF64x4Zrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 13349 /* vinsertf64x4 */, X86::VINSERTF64x4Zrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 13349 /* vinsertf64x4 */, X86::VINSERTF64x4Zrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 13349 /* vinsertf64x4 */, X86::VINSERTF64x4Zrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 13362 /* vinserti128 */, X86::VINSERTI128rr, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 13362 /* vinserti128 */, X86::VINSERTI128rm, Convert__Reg1_0__Reg1_1__Mem1285_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 13374 /* vinserti32x4 */, X86::VINSERTI32x4Z256rr, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 13374 /* vinserti32x4 */, X86::VINSERTI32x4Z256rm, Convert__Reg1_0__Reg1_1__Mem1285_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 13374 /* vinserti32x4 */, X86::VINSERTI32x4Zrr, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 13374 /* vinserti32x4 */, X86::VINSERTI32x4Zrm, Convert__Reg1_0__Reg1_1__Mem1285_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 13374 /* vinserti32x4 */, X86::VINSERTI32x4Z256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 13374 /* vinserti32x4 */, X86::VINSERTI32x4Z256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 13374 /* vinserti32x4 */, X86::VINSERTI32x4Zrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 13374 /* vinserti32x4 */, X86::VINSERTI32x4Zrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 13374 /* vinserti32x4 */, X86::VINSERTI32x4Z256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 13374 /* vinserti32x4 */, X86::VINSERTI32x4Z256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 13374 /* vinserti32x4 */, X86::VINSERTI32x4Zrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 13374 /* vinserti32x4 */, X86::VINSERTI32x4Zrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 13387 /* vinserti32x8 */, X86::VINSERTI32x8Zrr, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 13387 /* vinserti32x8 */, X86::VINSERTI32x8Zrm, Convert__Reg1_0__Reg1_1__Mem2565_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 13387 /* vinserti32x8 */, X86::VINSERTI32x8Zrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 13387 /* vinserti32x8 */, X86::VINSERTI32x8Zrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 13387 /* vinserti32x8 */, X86::VINSERTI32x8Zrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 13387 /* vinserti32x8 */, X86::VINSERTI32x8Zrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 13400 /* vinserti64x2 */, X86::VINSERTI64x2Z256rr, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 13400 /* vinserti64x2 */, X86::VINSERTI64x2Z256rm, Convert__Reg1_0__Reg1_1__Mem1285_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 13400 /* vinserti64x2 */, X86::VINSERTI64x2Zrr, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 13400 /* vinserti64x2 */, X86::VINSERTI64x2Zrm, Convert__Reg1_0__Reg1_1__Mem1285_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 13400 /* vinserti64x2 */, X86::VINSERTI64x2Z256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 13400 /* vinserti64x2 */, X86::VINSERTI64x2Z256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 13400 /* vinserti64x2 */, X86::VINSERTI64x2Zrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 13400 /* vinserti64x2 */, X86::VINSERTI64x2Zrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 13400 /* vinserti64x2 */, X86::VINSERTI64x2Z256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 13400 /* vinserti64x2 */, X86::VINSERTI64x2Z256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 13400 /* vinserti64x2 */, X86::VINSERTI64x2Zrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 13400 /* vinserti64x2 */, X86::VINSERTI64x2Zrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 13413 /* vinserti64x4 */, X86::VINSERTI64x4Zrr, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 13413 /* vinserti64x4 */, X86::VINSERTI64x4Zrm, Convert__Reg1_0__Reg1_1__Mem2565_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 13413 /* vinserti64x4 */, X86::VINSERTI64x4Zrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 13413 /* vinserti64x4 */, X86::VINSERTI64x4Zrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 13413 /* vinserti64x4 */, X86::VINSERTI64x4Zrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 13413 /* vinserti64x4 */, X86::VINSERTI64x4Zrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 13426 /* vinsertps */, X86::VINSERTPSrr, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 13426 /* vinsertps */, X86::VINSERTPSrm, Convert__Reg1_0__Reg1_1__Mem325_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem32, MCK_ImmUnsignedi8 }, },
{ 13426 /* vinsertps */, X86::VINSERTPSZrr, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 13426 /* vinsertps */, X86::VINSERTPSZrm, Convert__Reg1_0__Reg1_1__Mem325_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32, MCK_ImmUnsignedi8 }, },
{ 13436 /* vlddqu */, X86::VLDDQUrm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 13436 /* vlddqu */, X86::VLDDQUYrm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR256, MCK_Mem256 }, },
{ 13443 /* vldmxcsr */, X86::VLDMXCSR, Convert__Mem325_0, AMFBS_None, { MCK_Mem32 }, },
{ 13452 /* vmaskmovdqu */, X86::VMASKMOVDQU64, Convert__Reg1_0__Reg1_1, AMFBS_In64BitMode, { MCK_FR16, MCK_FR16 }, },
{ 13452 /* vmaskmovdqu */, X86::VMASKMOVDQU, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 13464 /* vmaskmovpd */, X86::VMASKMOVPDrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 13464 /* vmaskmovpd */, X86::VMASKMOVPDYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 13464 /* vmaskmovpd */, X86::VMASKMOVPDmr, Convert__Mem1285_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 13464 /* vmaskmovpd */, X86::VMASKMOVPDYmr, Convert__Mem2565_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 13475 /* vmaskmovps */, X86::VMASKMOVPSrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 13475 /* vmaskmovps */, X86::VMASKMOVPSYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 13475 /* vmaskmovps */, X86::VMASKMOVPSmr, Convert__Mem1285_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 13475 /* vmaskmovps */, X86::VMASKMOVPSYmr, Convert__Mem2565_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 13486 /* vmaxpd */, X86::VMAXPDrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 13486 /* vmaxpd */, X86::VMAXPDrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 13486 /* vmaxpd */, X86::VMAXPDYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 13486 /* vmaxpd */, X86::VMAXPDYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 13486 /* vmaxpd */, X86::VMAXPDZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 13486 /* vmaxpd */, X86::VMAXPDZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 13486 /* vmaxpd */, X86::VMAXPDZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 13486 /* vmaxpd */, X86::VMAXPDZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 13486 /* vmaxpd */, X86::VMAXPDZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 13486 /* vmaxpd */, X86::VMAXPDZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 13486 /* vmaxpd */, X86::VMAXPDZ128rmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 13486 /* vmaxpd */, X86::VMAXPDZ256rmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 13486 /* vmaxpd */, X86::VMAXPDZrrb, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_sae_125_ }, },
{ 13486 /* vmaxpd */, X86::VMAXPDZrmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 13486 /* vmaxpd */, X86::VMAXPDZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 13486 /* vmaxpd */, X86::VMAXPDZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 13486 /* vmaxpd */, X86::VMAXPDZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 13486 /* vmaxpd */, X86::VMAXPDZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 13486 /* vmaxpd */, X86::VMAXPDZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 13486 /* vmaxpd */, X86::VMAXPDZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 13486 /* vmaxpd */, X86::VMAXPDZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 13486 /* vmaxpd */, X86::VMAXPDZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 13486 /* vmaxpd */, X86::VMAXPDZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 13486 /* vmaxpd */, X86::VMAXPDZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 13486 /* vmaxpd */, X86::VMAXPDZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 13486 /* vmaxpd */, X86::VMAXPDZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 13486 /* vmaxpd */, X86::VMAXPDZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 13486 /* vmaxpd */, X86::VMAXPDZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 13486 /* vmaxpd */, X86::VMAXPDZrrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK__123_sae_125_ }, },
{ 13486 /* vmaxpd */, X86::VMAXPDZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 13486 /* vmaxpd */, X86::VMAXPDZ128rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 13486 /* vmaxpd */, X86::VMAXPDZ256rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 13486 /* vmaxpd */, X86::VMAXPDZrrbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK__123_sae_125_ }, },
{ 13486 /* vmaxpd */, X86::VMAXPDZrmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 13493 /* vmaxph */, X86::VMAXPHZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 13493 /* vmaxph */, X86::VMAXPHZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 13493 /* vmaxph */, X86::VMAXPHZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 13493 /* vmaxph */, X86::VMAXPHZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 13493 /* vmaxph */, X86::VMAXPHZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 13493 /* vmaxph */, X86::VMAXPHZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 13493 /* vmaxph */, X86::VMAXPHZ128rmb, Convert__Reg1_0__Reg1_1__Mem165_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 13493 /* vmaxph */, X86::VMAXPHZ256rmb, Convert__Reg1_0__Reg1_1__Mem165_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 13493 /* vmaxph */, X86::VMAXPHZrrb, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_sae_125_ }, },
{ 13493 /* vmaxph */, X86::VMAXPHZrmb, Convert__Reg1_0__Reg1_1__Mem165_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 13493 /* vmaxph */, X86::VMAXPHZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 13493 /* vmaxph */, X86::VMAXPHZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 13493 /* vmaxph */, X86::VMAXPHZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 13493 /* vmaxph */, X86::VMAXPHZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 13493 /* vmaxph */, X86::VMAXPHZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 13493 /* vmaxph */, X86::VMAXPHZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 13493 /* vmaxph */, X86::VMAXPHZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 13493 /* vmaxph */, X86::VMAXPHZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 13493 /* vmaxph */, X86::VMAXPHZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 13493 /* vmaxph */, X86::VMAXPHZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 13493 /* vmaxph */, X86::VMAXPHZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 13493 /* vmaxph */, X86::VMAXPHZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 13493 /* vmaxph */, X86::VMAXPHZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 13493 /* vmaxph */, X86::VMAXPHZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 13493 /* vmaxph */, X86::VMAXPHZrrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK__123_sae_125_ }, },
{ 13493 /* vmaxph */, X86::VMAXPHZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 13493 /* vmaxph */, X86::VMAXPHZ128rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 13493 /* vmaxph */, X86::VMAXPHZ256rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 13493 /* vmaxph */, X86::VMAXPHZrrbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK__123_sae_125_ }, },
{ 13493 /* vmaxph */, X86::VMAXPHZrmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 13500 /* vmaxps */, X86::VMAXPSrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 13500 /* vmaxps */, X86::VMAXPSrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 13500 /* vmaxps */, X86::VMAXPSYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 13500 /* vmaxps */, X86::VMAXPSYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 13500 /* vmaxps */, X86::VMAXPSZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 13500 /* vmaxps */, X86::VMAXPSZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 13500 /* vmaxps */, X86::VMAXPSZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 13500 /* vmaxps */, X86::VMAXPSZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 13500 /* vmaxps */, X86::VMAXPSZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 13500 /* vmaxps */, X86::VMAXPSZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 13500 /* vmaxps */, X86::VMAXPSZ128rmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 13500 /* vmaxps */, X86::VMAXPSZ256rmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 13500 /* vmaxps */, X86::VMAXPSZrrb, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_sae_125_ }, },
{ 13500 /* vmaxps */, X86::VMAXPSZrmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 13500 /* vmaxps */, X86::VMAXPSZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 13500 /* vmaxps */, X86::VMAXPSZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 13500 /* vmaxps */, X86::VMAXPSZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 13500 /* vmaxps */, X86::VMAXPSZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 13500 /* vmaxps */, X86::VMAXPSZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 13500 /* vmaxps */, X86::VMAXPSZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 13500 /* vmaxps */, X86::VMAXPSZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 13500 /* vmaxps */, X86::VMAXPSZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 13500 /* vmaxps */, X86::VMAXPSZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 13500 /* vmaxps */, X86::VMAXPSZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 13500 /* vmaxps */, X86::VMAXPSZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 13500 /* vmaxps */, X86::VMAXPSZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 13500 /* vmaxps */, X86::VMAXPSZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 13500 /* vmaxps */, X86::VMAXPSZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 13500 /* vmaxps */, X86::VMAXPSZrrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK__123_sae_125_ }, },
{ 13500 /* vmaxps */, X86::VMAXPSZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 13500 /* vmaxps */, X86::VMAXPSZ128rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 13500 /* vmaxps */, X86::VMAXPSZ256rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 13500 /* vmaxps */, X86::VMAXPSZrrbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK__123_sae_125_ }, },
{ 13500 /* vmaxps */, X86::VMAXPSZrmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 13507 /* vmaxsd */, X86::VMAXSDrr_Int, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 13507 /* vmaxsd */, X86::VMAXSDrm_Int, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem64 }, },
{ 13507 /* vmaxsd */, X86::VMAXSDZrr_Int, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 13507 /* vmaxsd */, X86::VMAXSDZrm_Int, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64 }, },
{ 13507 /* vmaxsd */, X86::VMAXSDZrrb_Int, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_sae_125_ }, },
{ 13507 /* vmaxsd */, X86::VMAXSDZrr_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 13507 /* vmaxsd */, X86::VMAXSDZrm_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64 }, },
{ 13507 /* vmaxsd */, X86::VMAXSDZrr_Intkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 13507 /* vmaxsd */, X86::VMAXSDZrm_Intkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64 }, },
{ 13507 /* vmaxsd */, X86::VMAXSDZrrb_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK__123_sae_125_ }, },
{ 13507 /* vmaxsd */, X86::VMAXSDZrrb_Intkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK__123_sae_125_ }, },
{ 13514 /* vmaxsh */, X86::VMAXSHZrr_Int, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 13514 /* vmaxsh */, X86::VMAXSHZrm_Int, Convert__Reg1_0__Reg1_1__Mem165_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem16 }, },
{ 13514 /* vmaxsh */, X86::VMAXSHZrrb_Int, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_sae_125_ }, },
{ 13514 /* vmaxsh */, X86::VMAXSHZrr_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 13514 /* vmaxsh */, X86::VMAXSHZrm_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem16 }, },
{ 13514 /* vmaxsh */, X86::VMAXSHZrr_Intkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 13514 /* vmaxsh */, X86::VMAXSHZrm_Intkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem16 }, },
{ 13514 /* vmaxsh */, X86::VMAXSHZrrb_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK__123_sae_125_ }, },
{ 13514 /* vmaxsh */, X86::VMAXSHZrrb_Intkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK__123_sae_125_ }, },
{ 13521 /* vmaxss */, X86::VMAXSSrr_Int, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 13521 /* vmaxss */, X86::VMAXSSrm_Int, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem32 }, },
{ 13521 /* vmaxss */, X86::VMAXSSZrr_Int, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 13521 /* vmaxss */, X86::VMAXSSZrm_Int, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32 }, },
{ 13521 /* vmaxss */, X86::VMAXSSZrrb_Int, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_sae_125_ }, },
{ 13521 /* vmaxss */, X86::VMAXSSZrr_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 13521 /* vmaxss */, X86::VMAXSSZrm_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32 }, },
{ 13521 /* vmaxss */, X86::VMAXSSZrr_Intkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 13521 /* vmaxss */, X86::VMAXSSZrm_Intkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32 }, },
{ 13521 /* vmaxss */, X86::VMAXSSZrrb_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK__123_sae_125_ }, },
{ 13521 /* vmaxss */, X86::VMAXSSZrrb_Intkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK__123_sae_125_ }, },
{ 13528 /* vmcall */, X86::VMCALL, Convert_NoOperands, AMFBS_None, { }, },
{ 13535 /* vmclear */, X86::VMCLEARm, Convert__Mem645_0, AMFBS_None, { MCK_Mem64 }, },
{ 13543 /* vmfunc */, X86::VMFUNC, Convert_NoOperands, AMFBS_None, { }, },
{ 13550 /* vminpd */, X86::VMINPDrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 13550 /* vminpd */, X86::VMINPDrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 13550 /* vminpd */, X86::VMINPDYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 13550 /* vminpd */, X86::VMINPDYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 13550 /* vminpd */, X86::VMINPDZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 13550 /* vminpd */, X86::VMINPDZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 13550 /* vminpd */, X86::VMINPDZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 13550 /* vminpd */, X86::VMINPDZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 13550 /* vminpd */, X86::VMINPDZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 13550 /* vminpd */, X86::VMINPDZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 13550 /* vminpd */, X86::VMINPDZ128rmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 13550 /* vminpd */, X86::VMINPDZ256rmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 13550 /* vminpd */, X86::VMINPDZrrb, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_sae_125_ }, },
{ 13550 /* vminpd */, X86::VMINPDZrmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 13550 /* vminpd */, X86::VMINPDZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 13550 /* vminpd */, X86::VMINPDZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 13550 /* vminpd */, X86::VMINPDZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 13550 /* vminpd */, X86::VMINPDZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 13550 /* vminpd */, X86::VMINPDZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 13550 /* vminpd */, X86::VMINPDZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 13550 /* vminpd */, X86::VMINPDZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 13550 /* vminpd */, X86::VMINPDZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 13550 /* vminpd */, X86::VMINPDZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 13550 /* vminpd */, X86::VMINPDZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 13550 /* vminpd */, X86::VMINPDZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 13550 /* vminpd */, X86::VMINPDZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 13550 /* vminpd */, X86::VMINPDZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 13550 /* vminpd */, X86::VMINPDZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 13550 /* vminpd */, X86::VMINPDZrrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK__123_sae_125_ }, },
{ 13550 /* vminpd */, X86::VMINPDZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 13550 /* vminpd */, X86::VMINPDZ128rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 13550 /* vminpd */, X86::VMINPDZ256rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 13550 /* vminpd */, X86::VMINPDZrrbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK__123_sae_125_ }, },
{ 13550 /* vminpd */, X86::VMINPDZrmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 13557 /* vminph */, X86::VMINPHZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 13557 /* vminph */, X86::VMINPHZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 13557 /* vminph */, X86::VMINPHZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 13557 /* vminph */, X86::VMINPHZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 13557 /* vminph */, X86::VMINPHZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 13557 /* vminph */, X86::VMINPHZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 13557 /* vminph */, X86::VMINPHZ128rmb, Convert__Reg1_0__Reg1_1__Mem165_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 13557 /* vminph */, X86::VMINPHZ256rmb, Convert__Reg1_0__Reg1_1__Mem165_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 13557 /* vminph */, X86::VMINPHZrrb, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_sae_125_ }, },
{ 13557 /* vminph */, X86::VMINPHZrmb, Convert__Reg1_0__Reg1_1__Mem165_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 13557 /* vminph */, X86::VMINPHZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 13557 /* vminph */, X86::VMINPHZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 13557 /* vminph */, X86::VMINPHZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 13557 /* vminph */, X86::VMINPHZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 13557 /* vminph */, X86::VMINPHZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 13557 /* vminph */, X86::VMINPHZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 13557 /* vminph */, X86::VMINPHZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 13557 /* vminph */, X86::VMINPHZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 13557 /* vminph */, X86::VMINPHZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 13557 /* vminph */, X86::VMINPHZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 13557 /* vminph */, X86::VMINPHZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 13557 /* vminph */, X86::VMINPHZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 13557 /* vminph */, X86::VMINPHZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 13557 /* vminph */, X86::VMINPHZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 13557 /* vminph */, X86::VMINPHZrrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK__123_sae_125_ }, },
{ 13557 /* vminph */, X86::VMINPHZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 13557 /* vminph */, X86::VMINPHZ128rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 13557 /* vminph */, X86::VMINPHZ256rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 13557 /* vminph */, X86::VMINPHZrrbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK__123_sae_125_ }, },
{ 13557 /* vminph */, X86::VMINPHZrmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 13564 /* vminps */, X86::VMINPSrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 13564 /* vminps */, X86::VMINPSrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 13564 /* vminps */, X86::VMINPSYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 13564 /* vminps */, X86::VMINPSYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 13564 /* vminps */, X86::VMINPSZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 13564 /* vminps */, X86::VMINPSZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 13564 /* vminps */, X86::VMINPSZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 13564 /* vminps */, X86::VMINPSZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 13564 /* vminps */, X86::VMINPSZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 13564 /* vminps */, X86::VMINPSZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 13564 /* vminps */, X86::VMINPSZ128rmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 13564 /* vminps */, X86::VMINPSZ256rmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 13564 /* vminps */, X86::VMINPSZrrb, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_sae_125_ }, },
{ 13564 /* vminps */, X86::VMINPSZrmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 13564 /* vminps */, X86::VMINPSZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 13564 /* vminps */, X86::VMINPSZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 13564 /* vminps */, X86::VMINPSZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 13564 /* vminps */, X86::VMINPSZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 13564 /* vminps */, X86::VMINPSZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 13564 /* vminps */, X86::VMINPSZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 13564 /* vminps */, X86::VMINPSZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 13564 /* vminps */, X86::VMINPSZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 13564 /* vminps */, X86::VMINPSZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 13564 /* vminps */, X86::VMINPSZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 13564 /* vminps */, X86::VMINPSZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 13564 /* vminps */, X86::VMINPSZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 13564 /* vminps */, X86::VMINPSZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 13564 /* vminps */, X86::VMINPSZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 13564 /* vminps */, X86::VMINPSZrrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK__123_sae_125_ }, },
{ 13564 /* vminps */, X86::VMINPSZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 13564 /* vminps */, X86::VMINPSZ128rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 13564 /* vminps */, X86::VMINPSZ256rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 13564 /* vminps */, X86::VMINPSZrrbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK__123_sae_125_ }, },
{ 13564 /* vminps */, X86::VMINPSZrmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 13571 /* vminsd */, X86::VMINSDrr_Int, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 13571 /* vminsd */, X86::VMINSDrm_Int, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem64 }, },
{ 13571 /* vminsd */, X86::VMINSDZrr_Int, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 13571 /* vminsd */, X86::VMINSDZrm_Int, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64 }, },
{ 13571 /* vminsd */, X86::VMINSDZrrb_Int, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_sae_125_ }, },
{ 13571 /* vminsd */, X86::VMINSDZrr_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 13571 /* vminsd */, X86::VMINSDZrm_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64 }, },
{ 13571 /* vminsd */, X86::VMINSDZrr_Intkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 13571 /* vminsd */, X86::VMINSDZrm_Intkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64 }, },
{ 13571 /* vminsd */, X86::VMINSDZrrb_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK__123_sae_125_ }, },
{ 13571 /* vminsd */, X86::VMINSDZrrb_Intkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK__123_sae_125_ }, },
{ 13578 /* vminsh */, X86::VMINSHZrr_Int, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 13578 /* vminsh */, X86::VMINSHZrm_Int, Convert__Reg1_0__Reg1_1__Mem165_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem16 }, },
{ 13578 /* vminsh */, X86::VMINSHZrrb_Int, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_sae_125_ }, },
{ 13578 /* vminsh */, X86::VMINSHZrr_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 13578 /* vminsh */, X86::VMINSHZrm_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem16 }, },
{ 13578 /* vminsh */, X86::VMINSHZrr_Intkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 13578 /* vminsh */, X86::VMINSHZrm_Intkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem16 }, },
{ 13578 /* vminsh */, X86::VMINSHZrrb_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK__123_sae_125_ }, },
{ 13578 /* vminsh */, X86::VMINSHZrrb_Intkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK__123_sae_125_ }, },
{ 13585 /* vminss */, X86::VMINSSrr_Int, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 13585 /* vminss */, X86::VMINSSrm_Int, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem32 }, },
{ 13585 /* vminss */, X86::VMINSSZrr_Int, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 13585 /* vminss */, X86::VMINSSZrm_Int, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32 }, },
{ 13585 /* vminss */, X86::VMINSSZrrb_Int, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_sae_125_ }, },
{ 13585 /* vminss */, X86::VMINSSZrr_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 13585 /* vminss */, X86::VMINSSZrm_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32 }, },
{ 13585 /* vminss */, X86::VMINSSZrr_Intkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 13585 /* vminss */, X86::VMINSSZrm_Intkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32 }, },
{ 13585 /* vminss */, X86::VMINSSZrrb_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK__123_sae_125_ }, },
{ 13585 /* vminss */, X86::VMINSSZrrb_Intkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK__123_sae_125_ }, },
{ 13592 /* vmlaunch */, X86::VMLAUNCH, Convert_NoOperands, AMFBS_None, { }, },
{ 13601 /* vmload */, X86::VMLOAD32, Convert_NoOperands, AMFBS_Not64BitMode, { }, },
{ 13601 /* vmload */, X86::VMLOAD64, Convert_NoOperands, AMFBS_In64BitMode, { }, },
{ 13601 /* vmload */, X86::VMLOAD32, Convert_NoOperands, AMFBS_Not64BitMode, { MCK_EAX }, },
{ 13601 /* vmload */, X86::VMLOAD64, Convert_NoOperands, AMFBS_In64BitMode, { MCK_RAX }, },
{ 13608 /* vmmcall */, X86::VMMCALL, Convert_NoOperands, AMFBS_None, { }, },
{ 13616 /* vmovapd */, X86::VMOVAPDrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 13616 /* vmovapd */, X86::VMOVAPDrm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 13616 /* vmovapd */, X86::VMOVAPDYrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256, MCK_VR256 }, },
{ 13616 /* vmovapd */, X86::VMOVAPDYrm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR256, MCK_Mem256 }, },
{ 13616 /* vmovapd */, X86::VMOVAPDZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 13616 /* vmovapd */, X86::VMOVAPDZ128rm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16X, MCK_Mem128 }, },
{ 13616 /* vmovapd */, X86::VMOVAPDZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 13616 /* vmovapd */, X86::VMOVAPDZ256rm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR256X, MCK_Mem256 }, },
{ 13616 /* vmovapd */, X86::VMOVAPDZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 13616 /* vmovapd */, X86::VMOVAPDZrm, Convert__Reg1_0__Mem5125_1, AMFBS_None, { MCK_VR512, MCK_Mem512 }, },
{ 13616 /* vmovapd */, X86::VMOVAPDmr, Convert__Mem1285_0__Reg1_1, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 13616 /* vmovapd */, X86::VMOVAPDZ128mr, Convert__Mem1285_0__Reg1_1, AMFBS_None, { MCK_Mem128, MCK_FR16X }, },
{ 13616 /* vmovapd */, X86::VMOVAPDYmr, Convert__Mem2565_0__Reg1_1, AMFBS_None, { MCK_Mem256, MCK_VR256 }, },
{ 13616 /* vmovapd */, X86::VMOVAPDZ256mr, Convert__Mem2565_0__Reg1_1, AMFBS_None, { MCK_Mem256, MCK_VR256X }, },
{ 13616 /* vmovapd */, X86::VMOVAPDZmr, Convert__Mem5125_0__Reg1_1, AMFBS_None, { MCK_Mem512, MCK_VR512 }, },
{ 13616 /* vmovapd */, X86::VMOVAPDZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 13616 /* vmovapd */, X86::VMOVAPDZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 13616 /* vmovapd */, X86::VMOVAPDZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 13616 /* vmovapd */, X86::VMOVAPDZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 13616 /* vmovapd */, X86::VMOVAPDZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 13616 /* vmovapd */, X86::VMOVAPDZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512 }, },
{ 13616 /* vmovapd */, X86::VMOVAPDZ128mrk, Convert__Mem1285_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem128, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 13616 /* vmovapd */, X86::VMOVAPDZ256mrk, Convert__Mem2565_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem256, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 13616 /* vmovapd */, X86::VMOVAPDZmrk, Convert__Mem5125_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 13616 /* vmovapd */, X86::VMOVAPDZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 13616 /* vmovapd */, X86::VMOVAPDZ128rmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 13616 /* vmovapd */, X86::VMOVAPDZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 13616 /* vmovapd */, X86::VMOVAPDZ256rmkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 13616 /* vmovapd */, X86::VMOVAPDZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 13616 /* vmovapd */, X86::VMOVAPDZrmkz, Convert__Reg1_0__Reg1_2__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512 }, },
{ 13624 /* vmovapd.s */, X86::VMOVAPDrr_REV, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 13624 /* vmovapd.s */, X86::VMOVAPDYrr_REV, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256, MCK_VR256 }, },
{ 13624 /* vmovapd.s */, X86::VMOVAPDZ128rr_REV, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 13624 /* vmovapd.s */, X86::VMOVAPDZ256rr_REV, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 13624 /* vmovapd.s */, X86::VMOVAPDZrr_REV, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 13624 /* vmovapd.s */, X86::VMOVAPDZ128rrk_REV, Convert__Reg1_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 13624 /* vmovapd.s */, X86::VMOVAPDZ256rrk_REV, Convert__Reg1_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 13624 /* vmovapd.s */, X86::VMOVAPDZrrk_REV, Convert__Reg1_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 13624 /* vmovapd.s */, X86::VMOVAPDZ128rrkz_REV, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 13624 /* vmovapd.s */, X86::VMOVAPDZ256rrkz_REV, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 13624 /* vmovapd.s */, X86::VMOVAPDZrrkz_REV, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 13634 /* vmovaps */, X86::VMOVAPSrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 13634 /* vmovaps */, X86::VMOVAPSrm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 13634 /* vmovaps */, X86::VMOVAPSYrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256, MCK_VR256 }, },
{ 13634 /* vmovaps */, X86::VMOVAPSYrm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR256, MCK_Mem256 }, },
{ 13634 /* vmovaps */, X86::VMOVAPSZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 13634 /* vmovaps */, X86::VMOVAPSZ128rm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16X, MCK_Mem128 }, },
{ 13634 /* vmovaps */, X86::VMOVAPSZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 13634 /* vmovaps */, X86::VMOVAPSZ256rm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR256X, MCK_Mem256 }, },
{ 13634 /* vmovaps */, X86::VMOVAPSZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 13634 /* vmovaps */, X86::VMOVAPSZrm, Convert__Reg1_0__Mem5125_1, AMFBS_None, { MCK_VR512, MCK_Mem512 }, },
{ 13634 /* vmovaps */, X86::VMOVAPSmr, Convert__Mem1285_0__Reg1_1, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 13634 /* vmovaps */, X86::VMOVAPSZ128mr, Convert__Mem1285_0__Reg1_1, AMFBS_None, { MCK_Mem128, MCK_FR16X }, },
{ 13634 /* vmovaps */, X86::VMOVAPSYmr, Convert__Mem2565_0__Reg1_1, AMFBS_None, { MCK_Mem256, MCK_VR256 }, },
{ 13634 /* vmovaps */, X86::VMOVAPSZ256mr, Convert__Mem2565_0__Reg1_1, AMFBS_None, { MCK_Mem256, MCK_VR256X }, },
{ 13634 /* vmovaps */, X86::VMOVAPSZmr, Convert__Mem5125_0__Reg1_1, AMFBS_None, { MCK_Mem512, MCK_VR512 }, },
{ 13634 /* vmovaps */, X86::VMOVAPSZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 13634 /* vmovaps */, X86::VMOVAPSZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 13634 /* vmovaps */, X86::VMOVAPSZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 13634 /* vmovaps */, X86::VMOVAPSZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 13634 /* vmovaps */, X86::VMOVAPSZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 13634 /* vmovaps */, X86::VMOVAPSZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512 }, },
{ 13634 /* vmovaps */, X86::VMOVAPSZ128mrk, Convert__Mem1285_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem128, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 13634 /* vmovaps */, X86::VMOVAPSZ256mrk, Convert__Mem2565_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem256, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 13634 /* vmovaps */, X86::VMOVAPSZmrk, Convert__Mem5125_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 13634 /* vmovaps */, X86::VMOVAPSZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 13634 /* vmovaps */, X86::VMOVAPSZ128rmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 13634 /* vmovaps */, X86::VMOVAPSZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 13634 /* vmovaps */, X86::VMOVAPSZ256rmkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 13634 /* vmovaps */, X86::VMOVAPSZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 13634 /* vmovaps */, X86::VMOVAPSZrmkz, Convert__Reg1_0__Reg1_2__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512 }, },
{ 13642 /* vmovaps.s */, X86::VMOVAPSrr_REV, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 13642 /* vmovaps.s */, X86::VMOVAPSYrr_REV, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256, MCK_VR256 }, },
{ 13642 /* vmovaps.s */, X86::VMOVAPSZ128rr_REV, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 13642 /* vmovaps.s */, X86::VMOVAPSZ256rr_REV, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 13642 /* vmovaps.s */, X86::VMOVAPSZrr_REV, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 13642 /* vmovaps.s */, X86::VMOVAPSZ128rrk_REV, Convert__Reg1_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 13642 /* vmovaps.s */, X86::VMOVAPSZ256rrk_REV, Convert__Reg1_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 13642 /* vmovaps.s */, X86::VMOVAPSZrrk_REV, Convert__Reg1_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 13642 /* vmovaps.s */, X86::VMOVAPSZ128rrkz_REV, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 13642 /* vmovaps.s */, X86::VMOVAPSZ256rrkz_REV, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 13642 /* vmovaps.s */, X86::VMOVAPSZrrkz_REV, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 13652 /* vmovd */, X86::VMOVDI2PDIrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_GR32 }, },
{ 13652 /* vmovd */, X86::VMOV64toPQIrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_GR64 }, },
{ 13652 /* vmovd */, X86::VMOVDI2PDIrm, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_FR16, MCK_Mem32 }, },
{ 13652 /* vmovd */, X86::VMOVPDI2DIrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR32, MCK_FR16 }, },
{ 13652 /* vmovd */, X86::VMOVPDI2DIZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR32, MCK_FR16X }, },
{ 13652 /* vmovd */, X86::VMOVPQIto64rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR64, MCK_FR16 }, },
{ 13652 /* vmovd */, X86::VMOVPQIto64Zrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR64, MCK_FR16X }, },
{ 13652 /* vmovd */, X86::VMOVDI2PDIZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_GR32 }, },
{ 13652 /* vmovd */, X86::VMOV64toPQIZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_GR64 }, },
{ 13652 /* vmovd */, X86::VMOVDI2PDIZrm, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_FR16X, MCK_Mem32 }, },
{ 13652 /* vmovd */, X86::VMOVPDI2DImr, Convert__Mem325_0__Reg1_1, AMFBS_None, { MCK_Mem32, MCK_FR16 }, },
{ 13652 /* vmovd */, X86::VMOVPDI2DIZmr, Convert__Mem325_0__Reg1_1, AMFBS_None, { MCK_Mem32, MCK_FR16X }, },
{ 13658 /* vmovddup */, X86::VMOVDDUPrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 13658 /* vmovddup */, X86::VMOVDDUPrm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_FR16, MCK_Mem64 }, },
{ 13658 /* vmovddup */, X86::VMOVDDUPYrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256, MCK_VR256 }, },
{ 13658 /* vmovddup */, X86::VMOVDDUPYrm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR256, MCK_Mem256 }, },
{ 13658 /* vmovddup */, X86::VMOVDDUPZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 13658 /* vmovddup */, X86::VMOVDDUPZ128rm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_FR16X, MCK_Mem64 }, },
{ 13658 /* vmovddup */, X86::VMOVDDUPZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 13658 /* vmovddup */, X86::VMOVDDUPZ256rm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR256X, MCK_Mem256 }, },
{ 13658 /* vmovddup */, X86::VMOVDDUPZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 13658 /* vmovddup */, X86::VMOVDDUPZrm, Convert__Reg1_0__Mem5125_1, AMFBS_None, { MCK_VR512, MCK_Mem512 }, },
{ 13658 /* vmovddup */, X86::VMOVDDUPZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 13658 /* vmovddup */, X86::VMOVDDUPZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64 }, },
{ 13658 /* vmovddup */, X86::VMOVDDUPZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 13658 /* vmovddup */, X86::VMOVDDUPZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 13658 /* vmovddup */, X86::VMOVDDUPZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 13658 /* vmovddup */, X86::VMOVDDUPZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512 }, },
{ 13658 /* vmovddup */, X86::VMOVDDUPZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 13658 /* vmovddup */, X86::VMOVDDUPZ128rmkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64 }, },
{ 13658 /* vmovddup */, X86::VMOVDDUPZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 13658 /* vmovddup */, X86::VMOVDDUPZ256rmkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 13658 /* vmovddup */, X86::VMOVDDUPZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 13658 /* vmovddup */, X86::VMOVDDUPZrmkz, Convert__Reg1_0__Reg1_2__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512 }, },
{ 13667 /* vmovdqa */, X86::VMOVDQArr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 13667 /* vmovdqa */, X86::VMOVDQArm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 13667 /* vmovdqa */, X86::VMOVDQAYrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256, MCK_VR256 }, },
{ 13667 /* vmovdqa */, X86::VMOVDQAYrm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR256, MCK_Mem256 }, },
{ 13667 /* vmovdqa */, X86::VMOVDQAmr, Convert__Mem1285_0__Reg1_1, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 13667 /* vmovdqa */, X86::VMOVDQAYmr, Convert__Mem2565_0__Reg1_1, AMFBS_None, { MCK_Mem256, MCK_VR256 }, },
{ 13675 /* vmovdqa.s */, X86::VMOVDQArr_REV, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 13675 /* vmovdqa.s */, X86::VMOVDQAYrr_REV, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256, MCK_VR256 }, },
{ 13685 /* vmovdqa32 */, X86::VMOVDQA32Z128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 13685 /* vmovdqa32 */, X86::VMOVDQA32Z128rm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16X, MCK_Mem128 }, },
{ 13685 /* vmovdqa32 */, X86::VMOVDQA32Z256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 13685 /* vmovdqa32 */, X86::VMOVDQA32Z256rm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR256X, MCK_Mem256 }, },
{ 13685 /* vmovdqa32 */, X86::VMOVDQA32Zrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 13685 /* vmovdqa32 */, X86::VMOVDQA32Zrm, Convert__Reg1_0__Mem5125_1, AMFBS_None, { MCK_VR512, MCK_Mem512 }, },
{ 13685 /* vmovdqa32 */, X86::VMOVDQA32Z128mr, Convert__Mem1285_0__Reg1_1, AMFBS_None, { MCK_Mem128, MCK_FR16X }, },
{ 13685 /* vmovdqa32 */, X86::VMOVDQA32Z256mr, Convert__Mem2565_0__Reg1_1, AMFBS_None, { MCK_Mem256, MCK_VR256X }, },
{ 13685 /* vmovdqa32 */, X86::VMOVDQA32Zmr, Convert__Mem5125_0__Reg1_1, AMFBS_None, { MCK_Mem512, MCK_VR512 }, },
{ 13685 /* vmovdqa32 */, X86::VMOVDQA32Z128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 13685 /* vmovdqa32 */, X86::VMOVDQA32Z128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 13685 /* vmovdqa32 */, X86::VMOVDQA32Z256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 13685 /* vmovdqa32 */, X86::VMOVDQA32Z256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 13685 /* vmovdqa32 */, X86::VMOVDQA32Zrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 13685 /* vmovdqa32 */, X86::VMOVDQA32Zrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512 }, },
{ 13685 /* vmovdqa32 */, X86::VMOVDQA32Z128mrk, Convert__Mem1285_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem128, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 13685 /* vmovdqa32 */, X86::VMOVDQA32Z256mrk, Convert__Mem2565_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem256, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 13685 /* vmovdqa32 */, X86::VMOVDQA32Zmrk, Convert__Mem5125_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 13685 /* vmovdqa32 */, X86::VMOVDQA32Z128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 13685 /* vmovdqa32 */, X86::VMOVDQA32Z128rmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 13685 /* vmovdqa32 */, X86::VMOVDQA32Z256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 13685 /* vmovdqa32 */, X86::VMOVDQA32Z256rmkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 13685 /* vmovdqa32 */, X86::VMOVDQA32Zrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 13685 /* vmovdqa32 */, X86::VMOVDQA32Zrmkz, Convert__Reg1_0__Reg1_2__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512 }, },
{ 13695 /* vmovdqa32.s */, X86::VMOVDQA32Z128rr_REV, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 13695 /* vmovdqa32.s */, X86::VMOVDQA32Z256rr_REV, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 13695 /* vmovdqa32.s */, X86::VMOVDQA32Zrr_REV, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 13695 /* vmovdqa32.s */, X86::VMOVDQA32Z128rrk_REV, Convert__Reg1_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 13695 /* vmovdqa32.s */, X86::VMOVDQA32Z256rrk_REV, Convert__Reg1_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 13695 /* vmovdqa32.s */, X86::VMOVDQA32Zrrk_REV, Convert__Reg1_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 13695 /* vmovdqa32.s */, X86::VMOVDQA32Z128rrkz_REV, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 13695 /* vmovdqa32.s */, X86::VMOVDQA32Z256rrkz_REV, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 13695 /* vmovdqa32.s */, X86::VMOVDQA32Zrrkz_REV, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 13707 /* vmovdqa64 */, X86::VMOVDQA64Z128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 13707 /* vmovdqa64 */, X86::VMOVDQA64Z128rm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16X, MCK_Mem128 }, },
{ 13707 /* vmovdqa64 */, X86::VMOVDQA64Z256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 13707 /* vmovdqa64 */, X86::VMOVDQA64Z256rm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR256X, MCK_Mem256 }, },
{ 13707 /* vmovdqa64 */, X86::VMOVDQA64Zrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 13707 /* vmovdqa64 */, X86::VMOVDQA64Zrm, Convert__Reg1_0__Mem5125_1, AMFBS_None, { MCK_VR512, MCK_Mem512 }, },
{ 13707 /* vmovdqa64 */, X86::VMOVDQA64Z128mr, Convert__Mem1285_0__Reg1_1, AMFBS_None, { MCK_Mem128, MCK_FR16X }, },
{ 13707 /* vmovdqa64 */, X86::VMOVDQA64Z256mr, Convert__Mem2565_0__Reg1_1, AMFBS_None, { MCK_Mem256, MCK_VR256X }, },
{ 13707 /* vmovdqa64 */, X86::VMOVDQA64Zmr, Convert__Mem5125_0__Reg1_1, AMFBS_None, { MCK_Mem512, MCK_VR512 }, },
{ 13707 /* vmovdqa64 */, X86::VMOVDQA64Z128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 13707 /* vmovdqa64 */, X86::VMOVDQA64Z128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 13707 /* vmovdqa64 */, X86::VMOVDQA64Z256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 13707 /* vmovdqa64 */, X86::VMOVDQA64Z256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 13707 /* vmovdqa64 */, X86::VMOVDQA64Zrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 13707 /* vmovdqa64 */, X86::VMOVDQA64Zrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512 }, },
{ 13707 /* vmovdqa64 */, X86::VMOVDQA64Z128mrk, Convert__Mem1285_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem128, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 13707 /* vmovdqa64 */, X86::VMOVDQA64Z256mrk, Convert__Mem2565_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem256, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 13707 /* vmovdqa64 */, X86::VMOVDQA64Zmrk, Convert__Mem5125_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 13707 /* vmovdqa64 */, X86::VMOVDQA64Z128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 13707 /* vmovdqa64 */, X86::VMOVDQA64Z128rmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 13707 /* vmovdqa64 */, X86::VMOVDQA64Z256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 13707 /* vmovdqa64 */, X86::VMOVDQA64Z256rmkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 13707 /* vmovdqa64 */, X86::VMOVDQA64Zrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 13707 /* vmovdqa64 */, X86::VMOVDQA64Zrmkz, Convert__Reg1_0__Reg1_2__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512 }, },
{ 13717 /* vmovdqa64.s */, X86::VMOVDQA64Z128rr_REV, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 13717 /* vmovdqa64.s */, X86::VMOVDQA64Z256rr_REV, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 13717 /* vmovdqa64.s */, X86::VMOVDQA64Zrr_REV, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 13717 /* vmovdqa64.s */, X86::VMOVDQA64Z128rrk_REV, Convert__Reg1_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 13717 /* vmovdqa64.s */, X86::VMOVDQA64Z256rrk_REV, Convert__Reg1_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 13717 /* vmovdqa64.s */, X86::VMOVDQA64Zrrk_REV, Convert__Reg1_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 13717 /* vmovdqa64.s */, X86::VMOVDQA64Z128rrkz_REV, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 13717 /* vmovdqa64.s */, X86::VMOVDQA64Z256rrkz_REV, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 13717 /* vmovdqa64.s */, X86::VMOVDQA64Zrrkz_REV, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 13729 /* vmovdqu */, X86::VMOVDQUrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 13729 /* vmovdqu */, X86::VMOVDQUrm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 13729 /* vmovdqu */, X86::VMOVDQUYrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256, MCK_VR256 }, },
{ 13729 /* vmovdqu */, X86::VMOVDQUYrm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR256, MCK_Mem256 }, },
{ 13729 /* vmovdqu */, X86::VMOVDQUmr, Convert__Mem1285_0__Reg1_1, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 13729 /* vmovdqu */, X86::VMOVDQUYmr, Convert__Mem2565_0__Reg1_1, AMFBS_None, { MCK_Mem256, MCK_VR256 }, },
{ 13737 /* vmovdqu.s */, X86::VMOVDQUrr_REV, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 13737 /* vmovdqu.s */, X86::VMOVDQUYrr_REV, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256, MCK_VR256 }, },
{ 13747 /* vmovdqu16 */, X86::VMOVDQU16Z128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 13747 /* vmovdqu16 */, X86::VMOVDQU16Z128rm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16X, MCK_Mem128 }, },
{ 13747 /* vmovdqu16 */, X86::VMOVDQU16Z256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 13747 /* vmovdqu16 */, X86::VMOVDQU16Z256rm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR256X, MCK_Mem256 }, },
{ 13747 /* vmovdqu16 */, X86::VMOVDQU16Zrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 13747 /* vmovdqu16 */, X86::VMOVDQU16Zrm, Convert__Reg1_0__Mem5125_1, AMFBS_None, { MCK_VR512, MCK_Mem512 }, },
{ 13747 /* vmovdqu16 */, X86::VMOVDQU16Z128mr, Convert__Mem1285_0__Reg1_1, AMFBS_None, { MCK_Mem128, MCK_FR16X }, },
{ 13747 /* vmovdqu16 */, X86::VMOVDQU16Z256mr, Convert__Mem2565_0__Reg1_1, AMFBS_None, { MCK_Mem256, MCK_VR256X }, },
{ 13747 /* vmovdqu16 */, X86::VMOVDQU16Zmr, Convert__Mem5125_0__Reg1_1, AMFBS_None, { MCK_Mem512, MCK_VR512 }, },
{ 13747 /* vmovdqu16 */, X86::VMOVDQU16Z128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 13747 /* vmovdqu16 */, X86::VMOVDQU16Z128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 13747 /* vmovdqu16 */, X86::VMOVDQU16Z256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 13747 /* vmovdqu16 */, X86::VMOVDQU16Z256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 13747 /* vmovdqu16 */, X86::VMOVDQU16Zrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 13747 /* vmovdqu16 */, X86::VMOVDQU16Zrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512 }, },
{ 13747 /* vmovdqu16 */, X86::VMOVDQU16Z128mrk, Convert__Mem1285_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem128, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 13747 /* vmovdqu16 */, X86::VMOVDQU16Z256mrk, Convert__Mem2565_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem256, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 13747 /* vmovdqu16 */, X86::VMOVDQU16Zmrk, Convert__Mem5125_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 13747 /* vmovdqu16 */, X86::VMOVDQU16Z128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 13747 /* vmovdqu16 */, X86::VMOVDQU16Z128rmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 13747 /* vmovdqu16 */, X86::VMOVDQU16Z256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 13747 /* vmovdqu16 */, X86::VMOVDQU16Z256rmkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 13747 /* vmovdqu16 */, X86::VMOVDQU16Zrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 13747 /* vmovdqu16 */, X86::VMOVDQU16Zrmkz, Convert__Reg1_0__Reg1_2__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512 }, },
{ 13757 /* vmovdqu16.s */, X86::VMOVDQU16Z128rr_REV, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 13757 /* vmovdqu16.s */, X86::VMOVDQU16Z256rr_REV, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 13757 /* vmovdqu16.s */, X86::VMOVDQU16Zrr_REV, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 13757 /* vmovdqu16.s */, X86::VMOVDQU16Z128rrk_REV, Convert__Reg1_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 13757 /* vmovdqu16.s */, X86::VMOVDQU16Z256rrk_REV, Convert__Reg1_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 13757 /* vmovdqu16.s */, X86::VMOVDQU16Zrrk_REV, Convert__Reg1_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 13757 /* vmovdqu16.s */, X86::VMOVDQU16Z128rrkz_REV, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 13757 /* vmovdqu16.s */, X86::VMOVDQU16Z256rrkz_REV, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 13757 /* vmovdqu16.s */, X86::VMOVDQU16Zrrkz_REV, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 13769 /* vmovdqu32 */, X86::VMOVDQU32Z128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 13769 /* vmovdqu32 */, X86::VMOVDQU32Z128rm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16X, MCK_Mem128 }, },
{ 13769 /* vmovdqu32 */, X86::VMOVDQU32Z256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 13769 /* vmovdqu32 */, X86::VMOVDQU32Z256rm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR256X, MCK_Mem256 }, },
{ 13769 /* vmovdqu32 */, X86::VMOVDQU32Zrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 13769 /* vmovdqu32 */, X86::VMOVDQU32Zrm, Convert__Reg1_0__Mem5125_1, AMFBS_None, { MCK_VR512, MCK_Mem512 }, },
{ 13769 /* vmovdqu32 */, X86::VMOVDQU32Z128mr, Convert__Mem1285_0__Reg1_1, AMFBS_None, { MCK_Mem128, MCK_FR16X }, },
{ 13769 /* vmovdqu32 */, X86::VMOVDQU32Z256mr, Convert__Mem2565_0__Reg1_1, AMFBS_None, { MCK_Mem256, MCK_VR256X }, },
{ 13769 /* vmovdqu32 */, X86::VMOVDQU32Zmr, Convert__Mem5125_0__Reg1_1, AMFBS_None, { MCK_Mem512, MCK_VR512 }, },
{ 13769 /* vmovdqu32 */, X86::VMOVDQU32Z128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 13769 /* vmovdqu32 */, X86::VMOVDQU32Z128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 13769 /* vmovdqu32 */, X86::VMOVDQU32Z256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 13769 /* vmovdqu32 */, X86::VMOVDQU32Z256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 13769 /* vmovdqu32 */, X86::VMOVDQU32Zrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 13769 /* vmovdqu32 */, X86::VMOVDQU32Zrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512 }, },
{ 13769 /* vmovdqu32 */, X86::VMOVDQU32Z128mrk, Convert__Mem1285_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem128, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 13769 /* vmovdqu32 */, X86::VMOVDQU32Z256mrk, Convert__Mem2565_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem256, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 13769 /* vmovdqu32 */, X86::VMOVDQU32Zmrk, Convert__Mem5125_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 13769 /* vmovdqu32 */, X86::VMOVDQU32Z128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 13769 /* vmovdqu32 */, X86::VMOVDQU32Z128rmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 13769 /* vmovdqu32 */, X86::VMOVDQU32Z256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 13769 /* vmovdqu32 */, X86::VMOVDQU32Z256rmkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 13769 /* vmovdqu32 */, X86::VMOVDQU32Zrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 13769 /* vmovdqu32 */, X86::VMOVDQU32Zrmkz, Convert__Reg1_0__Reg1_2__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512 }, },
{ 13779 /* vmovdqu32.s */, X86::VMOVDQU32Z128rr_REV, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 13779 /* vmovdqu32.s */, X86::VMOVDQU32Z256rr_REV, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 13779 /* vmovdqu32.s */, X86::VMOVDQU32Zrr_REV, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 13779 /* vmovdqu32.s */, X86::VMOVDQU32Z128rrk_REV, Convert__Reg1_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 13779 /* vmovdqu32.s */, X86::VMOVDQU32Z256rrk_REV, Convert__Reg1_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 13779 /* vmovdqu32.s */, X86::VMOVDQU32Zrrk_REV, Convert__Reg1_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 13779 /* vmovdqu32.s */, X86::VMOVDQU32Z128rrkz_REV, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 13779 /* vmovdqu32.s */, X86::VMOVDQU32Z256rrkz_REV, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 13779 /* vmovdqu32.s */, X86::VMOVDQU32Zrrkz_REV, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 13791 /* vmovdqu64 */, X86::VMOVDQU64Z128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 13791 /* vmovdqu64 */, X86::VMOVDQU64Z128rm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16X, MCK_Mem128 }, },
{ 13791 /* vmovdqu64 */, X86::VMOVDQU64Z256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 13791 /* vmovdqu64 */, X86::VMOVDQU64Z256rm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR256X, MCK_Mem256 }, },
{ 13791 /* vmovdqu64 */, X86::VMOVDQU64Zrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 13791 /* vmovdqu64 */, X86::VMOVDQU64Zrm, Convert__Reg1_0__Mem5125_1, AMFBS_None, { MCK_VR512, MCK_Mem512 }, },
{ 13791 /* vmovdqu64 */, X86::VMOVDQU64Z128mr, Convert__Mem1285_0__Reg1_1, AMFBS_None, { MCK_Mem128, MCK_FR16X }, },
{ 13791 /* vmovdqu64 */, X86::VMOVDQU64Z256mr, Convert__Mem2565_0__Reg1_1, AMFBS_None, { MCK_Mem256, MCK_VR256X }, },
{ 13791 /* vmovdqu64 */, X86::VMOVDQU64Zmr, Convert__Mem5125_0__Reg1_1, AMFBS_None, { MCK_Mem512, MCK_VR512 }, },
{ 13791 /* vmovdqu64 */, X86::VMOVDQU64Z128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 13791 /* vmovdqu64 */, X86::VMOVDQU64Z128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 13791 /* vmovdqu64 */, X86::VMOVDQU64Z256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 13791 /* vmovdqu64 */, X86::VMOVDQU64Z256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 13791 /* vmovdqu64 */, X86::VMOVDQU64Zrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 13791 /* vmovdqu64 */, X86::VMOVDQU64Zrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512 }, },
{ 13791 /* vmovdqu64 */, X86::VMOVDQU64Z128mrk, Convert__Mem1285_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem128, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 13791 /* vmovdqu64 */, X86::VMOVDQU64Z256mrk, Convert__Mem2565_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem256, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 13791 /* vmovdqu64 */, X86::VMOVDQU64Zmrk, Convert__Mem5125_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 13791 /* vmovdqu64 */, X86::VMOVDQU64Z128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 13791 /* vmovdqu64 */, X86::VMOVDQU64Z128rmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 13791 /* vmovdqu64 */, X86::VMOVDQU64Z256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 13791 /* vmovdqu64 */, X86::VMOVDQU64Z256rmkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 13791 /* vmovdqu64 */, X86::VMOVDQU64Zrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 13791 /* vmovdqu64 */, X86::VMOVDQU64Zrmkz, Convert__Reg1_0__Reg1_2__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512 }, },
{ 13801 /* vmovdqu64.s */, X86::VMOVDQU64Z128rr_REV, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 13801 /* vmovdqu64.s */, X86::VMOVDQU64Z256rr_REV, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 13801 /* vmovdqu64.s */, X86::VMOVDQU64Zrr_REV, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 13801 /* vmovdqu64.s */, X86::VMOVDQU64Z128rrk_REV, Convert__Reg1_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 13801 /* vmovdqu64.s */, X86::VMOVDQU64Z256rrk_REV, Convert__Reg1_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 13801 /* vmovdqu64.s */, X86::VMOVDQU64Zrrk_REV, Convert__Reg1_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 13801 /* vmovdqu64.s */, X86::VMOVDQU64Z128rrkz_REV, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 13801 /* vmovdqu64.s */, X86::VMOVDQU64Z256rrkz_REV, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 13801 /* vmovdqu64.s */, X86::VMOVDQU64Zrrkz_REV, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 13813 /* vmovdqu8 */, X86::VMOVDQU8Z128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 13813 /* vmovdqu8 */, X86::VMOVDQU8Z128rm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16X, MCK_Mem128 }, },
{ 13813 /* vmovdqu8 */, X86::VMOVDQU8Z256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 13813 /* vmovdqu8 */, X86::VMOVDQU8Z256rm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR256X, MCK_Mem256 }, },
{ 13813 /* vmovdqu8 */, X86::VMOVDQU8Zrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 13813 /* vmovdqu8 */, X86::VMOVDQU8Zrm, Convert__Reg1_0__Mem5125_1, AMFBS_None, { MCK_VR512, MCK_Mem512 }, },
{ 13813 /* vmovdqu8 */, X86::VMOVDQU8Z128mr, Convert__Mem1285_0__Reg1_1, AMFBS_None, { MCK_Mem128, MCK_FR16X }, },
{ 13813 /* vmovdqu8 */, X86::VMOVDQU8Z256mr, Convert__Mem2565_0__Reg1_1, AMFBS_None, { MCK_Mem256, MCK_VR256X }, },
{ 13813 /* vmovdqu8 */, X86::VMOVDQU8Zmr, Convert__Mem5125_0__Reg1_1, AMFBS_None, { MCK_Mem512, MCK_VR512 }, },
{ 13813 /* vmovdqu8 */, X86::VMOVDQU8Z128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 13813 /* vmovdqu8 */, X86::VMOVDQU8Z128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 13813 /* vmovdqu8 */, X86::VMOVDQU8Z256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 13813 /* vmovdqu8 */, X86::VMOVDQU8Z256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 13813 /* vmovdqu8 */, X86::VMOVDQU8Zrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 13813 /* vmovdqu8 */, X86::VMOVDQU8Zrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512 }, },
{ 13813 /* vmovdqu8 */, X86::VMOVDQU8Z128mrk, Convert__Mem1285_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem128, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 13813 /* vmovdqu8 */, X86::VMOVDQU8Z256mrk, Convert__Mem2565_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem256, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 13813 /* vmovdqu8 */, X86::VMOVDQU8Zmrk, Convert__Mem5125_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 13813 /* vmovdqu8 */, X86::VMOVDQU8Z128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 13813 /* vmovdqu8 */, X86::VMOVDQU8Z128rmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 13813 /* vmovdqu8 */, X86::VMOVDQU8Z256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 13813 /* vmovdqu8 */, X86::VMOVDQU8Z256rmkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 13813 /* vmovdqu8 */, X86::VMOVDQU8Zrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 13813 /* vmovdqu8 */, X86::VMOVDQU8Zrmkz, Convert__Reg1_0__Reg1_2__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512 }, },
{ 13822 /* vmovdqu8.s */, X86::VMOVDQU8Z128rr_REV, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 13822 /* vmovdqu8.s */, X86::VMOVDQU8Z256rr_REV, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 13822 /* vmovdqu8.s */, X86::VMOVDQU8Zrr_REV, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 13822 /* vmovdqu8.s */, X86::VMOVDQU8Z128rrk_REV, Convert__Reg1_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 13822 /* vmovdqu8.s */, X86::VMOVDQU8Z256rrk_REV, Convert__Reg1_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 13822 /* vmovdqu8.s */, X86::VMOVDQU8Zrrk_REV, Convert__Reg1_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 13822 /* vmovdqu8.s */, X86::VMOVDQU8Z128rrkz_REV, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 13822 /* vmovdqu8.s */, X86::VMOVDQU8Z256rrkz_REV, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 13822 /* vmovdqu8.s */, X86::VMOVDQU8Zrrkz_REV, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 13833 /* vmovhlps */, X86::VMOVHLPSrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 13833 /* vmovhlps */, X86::VMOVHLPSZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 13842 /* vmovhpd */, X86::VMOVHPDmr, Convert__Mem645_0__Reg1_1, AMFBS_None, { MCK_Mem64, MCK_FR16 }, },
{ 13842 /* vmovhpd */, X86::VMOVHPDZ128mr, Convert__Mem645_0__Reg1_1, AMFBS_None, { MCK_Mem64, MCK_FR16X }, },
{ 13842 /* vmovhpd */, X86::VMOVHPDrm, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem64 }, },
{ 13842 /* vmovhpd */, X86::VMOVHPDZ128rm, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64 }, },
{ 13850 /* vmovhps */, X86::VMOVHPSmr, Convert__Mem645_0__Reg1_1, AMFBS_None, { MCK_Mem64, MCK_FR16 }, },
{ 13850 /* vmovhps */, X86::VMOVHPSZ128mr, Convert__Mem645_0__Reg1_1, AMFBS_None, { MCK_Mem64, MCK_FR16X }, },
{ 13850 /* vmovhps */, X86::VMOVHPSrm, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem64 }, },
{ 13850 /* vmovhps */, X86::VMOVHPSZ128rm, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64 }, },
{ 13858 /* vmovlhps */, X86::VMOVLHPSrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 13858 /* vmovlhps */, X86::VMOVLHPSZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 13867 /* vmovlpd */, X86::VMOVLPDmr, Convert__Mem645_0__Reg1_1, AMFBS_None, { MCK_Mem64, MCK_FR16 }, },
{ 13867 /* vmovlpd */, X86::VMOVLPDZ128mr, Convert__Mem645_0__Reg1_1, AMFBS_None, { MCK_Mem64, MCK_FR16X }, },
{ 13867 /* vmovlpd */, X86::VMOVLPDrm, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem64 }, },
{ 13867 /* vmovlpd */, X86::VMOVLPDZ128rm, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64 }, },
{ 13875 /* vmovlps */, X86::VMOVLPSmr, Convert__Mem645_0__Reg1_1, AMFBS_None, { MCK_Mem64, MCK_FR16 }, },
{ 13875 /* vmovlps */, X86::VMOVLPSZ128mr, Convert__Mem645_0__Reg1_1, AMFBS_None, { MCK_Mem64, MCK_FR16X }, },
{ 13875 /* vmovlps */, X86::VMOVLPSrm, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem64 }, },
{ 13875 /* vmovlps */, X86::VMOVLPSZ128rm, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64 }, },
{ 13883 /* vmovmskpd */, X86::VMOVMSKPDrr, Convert__GR32orGR641_0__Reg1_1, AMFBS_None, { MCK_GR32orGR64, MCK_FR16 }, },
{ 13883 /* vmovmskpd */, X86::VMOVMSKPDYrr, Convert__GR32orGR641_0__Reg1_1, AMFBS_None, { MCK_GR32orGR64, MCK_VR256 }, },
{ 13893 /* vmovmskps */, X86::VMOVMSKPSrr, Convert__GR32orGR641_0__Reg1_1, AMFBS_None, { MCK_GR32orGR64, MCK_FR16 }, },
{ 13893 /* vmovmskps */, X86::VMOVMSKPSYrr, Convert__GR32orGR641_0__Reg1_1, AMFBS_None, { MCK_GR32orGR64, MCK_VR256 }, },
{ 13903 /* vmovntdq */, X86::VMOVNTDQmr, Convert__Mem1285_0__Reg1_1, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 13903 /* vmovntdq */, X86::VMOVNTDQZ128mr, Convert__Mem1285_0__Reg1_1, AMFBS_None, { MCK_Mem128, MCK_FR16X }, },
{ 13903 /* vmovntdq */, X86::VMOVNTDQYmr, Convert__Mem2565_0__Reg1_1, AMFBS_None, { MCK_Mem256, MCK_VR256 }, },
{ 13903 /* vmovntdq */, X86::VMOVNTDQZ256mr, Convert__Mem2565_0__Reg1_1, AMFBS_None, { MCK_Mem256, MCK_VR256X }, },
{ 13903 /* vmovntdq */, X86::VMOVNTDQZmr, Convert__Mem5125_0__Reg1_1, AMFBS_None, { MCK_Mem512, MCK_VR512 }, },
{ 13912 /* vmovntdqa */, X86::VMOVNTDQArm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 13912 /* vmovntdqa */, X86::VMOVNTDQAYrm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR256, MCK_Mem256 }, },
{ 13912 /* vmovntdqa */, X86::VMOVNTDQAZ128rm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16X, MCK_Mem128 }, },
{ 13912 /* vmovntdqa */, X86::VMOVNTDQAZ256rm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR256X, MCK_Mem256 }, },
{ 13912 /* vmovntdqa */, X86::VMOVNTDQAZrm, Convert__Reg1_0__Mem5125_1, AMFBS_None, { MCK_VR512, MCK_Mem512 }, },
{ 13922 /* vmovntpd */, X86::VMOVNTPDmr, Convert__Mem1285_0__Reg1_1, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 13922 /* vmovntpd */, X86::VMOVNTPDZ128mr, Convert__Mem1285_0__Reg1_1, AMFBS_None, { MCK_Mem128, MCK_FR16X }, },
{ 13922 /* vmovntpd */, X86::VMOVNTPDYmr, Convert__Mem2565_0__Reg1_1, AMFBS_None, { MCK_Mem256, MCK_VR256 }, },
{ 13922 /* vmovntpd */, X86::VMOVNTPDZ256mr, Convert__Mem2565_0__Reg1_1, AMFBS_None, { MCK_Mem256, MCK_VR256X }, },
{ 13922 /* vmovntpd */, X86::VMOVNTPDZmr, Convert__Mem5125_0__Reg1_1, AMFBS_None, { MCK_Mem512, MCK_VR512 }, },
{ 13931 /* vmovntps */, X86::VMOVNTPSmr, Convert__Mem1285_0__Reg1_1, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 13931 /* vmovntps */, X86::VMOVNTPSZ128mr, Convert__Mem1285_0__Reg1_1, AMFBS_None, { MCK_Mem128, MCK_FR16X }, },
{ 13931 /* vmovntps */, X86::VMOVNTPSYmr, Convert__Mem2565_0__Reg1_1, AMFBS_None, { MCK_Mem256, MCK_VR256 }, },
{ 13931 /* vmovntps */, X86::VMOVNTPSZ256mr, Convert__Mem2565_0__Reg1_1, AMFBS_None, { MCK_Mem256, MCK_VR256X }, },
{ 13931 /* vmovntps */, X86::VMOVNTPSZmr, Convert__Mem5125_0__Reg1_1, AMFBS_None, { MCK_Mem512, MCK_VR512 }, },
{ 13940 /* vmovq */, X86::VMOVZPQILo2PQIrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 13940 /* vmovq */, X86::VMOV64toPQIrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_GR64 }, },
{ 13940 /* vmovq */, X86::VMOVQI2PQIrm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_FR16, MCK_Mem64 }, },
{ 13940 /* vmovq */, X86::VMOVPQIto64rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR64, MCK_FR16 }, },
{ 13940 /* vmovq */, X86::VMOVPQIto64Zrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR64, MCK_FR16X }, },
{ 13940 /* vmovq */, X86::VMOV64toPQIZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_GR64 }, },
{ 13940 /* vmovq */, X86::VMOVZPQILo2PQIZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 13940 /* vmovq */, X86::VMOVQI2PQIZrm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_FR16X, MCK_Mem64 }, },
{ 13940 /* vmovq */, X86::VMOVPQI2QImr, Convert__Mem645_0__Reg1_1, AMFBS_None, { MCK_Mem64, MCK_FR16 }, },
{ 13940 /* vmovq */, X86::VMOVPQI2QIZmr, Convert__Mem645_0__Reg1_1, AMFBS_None, { MCK_Mem64, MCK_FR16X }, },
{ 13946 /* vmovq.s */, X86::VMOVPQI2QIrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 13946 /* vmovq.s */, X86::VMOVPQI2QIZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 13954 /* vmovsd */, X86::VMOVSDrm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_FR16, MCK_Mem64 }, },
{ 13954 /* vmovsd */, X86::VMOVSDZrm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_FR16X, MCK_Mem64 }, },
{ 13954 /* vmovsd */, X86::VMOVSDmr, Convert__Mem645_0__Reg1_1, AMFBS_None, { MCK_Mem64, MCK_FR16 }, },
{ 13954 /* vmovsd */, X86::VMOVSDZmr, Convert__Mem645_0__Reg1_1, AMFBS_None, { MCK_Mem64, MCK_FR16X }, },
{ 13954 /* vmovsd */, X86::VMOVSDrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 13954 /* vmovsd */, X86::VMOVSDZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 13954 /* vmovsd */, X86::VMOVSDZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64 }, },
{ 13954 /* vmovsd */, X86::VMOVSDZmrk, Convert__Mem645_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem64, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 13954 /* vmovsd */, X86::VMOVSDZrmkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64 }, },
{ 13954 /* vmovsd */, X86::VMOVSDZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 13954 /* vmovsd */, X86::VMOVSDZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 13961 /* vmovsd.s */, X86::VMOVSDrr_REV, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 13961 /* vmovsd.s */, X86::VMOVSDZrr_REV, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 13961 /* vmovsd.s */, X86::VMOVSDZrrk_REV, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 13961 /* vmovsd.s */, X86::VMOVSDZrrkz_REV, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 13970 /* vmovsh */, X86::VMOVSHZrm, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_FR16X, MCK_Mem16 }, },
{ 13970 /* vmovsh */, X86::VMOVSHZmr, Convert__Mem165_0__Reg1_1, AMFBS_None, { MCK_Mem16, MCK_FR16X }, },
{ 13970 /* vmovsh */, X86::VMOVSHZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 13970 /* vmovsh */, X86::VMOVSHZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem165_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem16 }, },
{ 13970 /* vmovsh */, X86::VMOVSHZmrk, Convert__Mem165_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem16, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 13970 /* vmovsh */, X86::VMOVSHZrmkz, Convert__Reg1_0__Reg1_2__Mem165_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem16 }, },
{ 13970 /* vmovsh */, X86::VMOVSHZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 13970 /* vmovsh */, X86::VMOVSHZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 13977 /* vmovsh.s */, X86::VMOVSHZrr_REV, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 13977 /* vmovsh.s */, X86::VMOVSHZrrk_REV, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 13977 /* vmovsh.s */, X86::VMOVSHZrrkz_REV, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 13986 /* vmovshdup */, X86::VMOVSHDUPrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 13986 /* vmovshdup */, X86::VMOVSHDUPrm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 13986 /* vmovshdup */, X86::VMOVSHDUPYrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256, MCK_VR256 }, },
{ 13986 /* vmovshdup */, X86::VMOVSHDUPYrm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR256, MCK_Mem256 }, },
{ 13986 /* vmovshdup */, X86::VMOVSHDUPZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 13986 /* vmovshdup */, X86::VMOVSHDUPZ128rm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16X, MCK_Mem128 }, },
{ 13986 /* vmovshdup */, X86::VMOVSHDUPZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 13986 /* vmovshdup */, X86::VMOVSHDUPZ256rm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR256X, MCK_Mem256 }, },
{ 13986 /* vmovshdup */, X86::VMOVSHDUPZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 13986 /* vmovshdup */, X86::VMOVSHDUPZrm, Convert__Reg1_0__Mem5125_1, AMFBS_None, { MCK_VR512, MCK_Mem512 }, },
{ 13986 /* vmovshdup */, X86::VMOVSHDUPZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 13986 /* vmovshdup */, X86::VMOVSHDUPZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 13986 /* vmovshdup */, X86::VMOVSHDUPZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 13986 /* vmovshdup */, X86::VMOVSHDUPZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 13986 /* vmovshdup */, X86::VMOVSHDUPZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 13986 /* vmovshdup */, X86::VMOVSHDUPZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512 }, },
{ 13986 /* vmovshdup */, X86::VMOVSHDUPZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 13986 /* vmovshdup */, X86::VMOVSHDUPZ128rmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 13986 /* vmovshdup */, X86::VMOVSHDUPZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 13986 /* vmovshdup */, X86::VMOVSHDUPZ256rmkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 13986 /* vmovshdup */, X86::VMOVSHDUPZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 13986 /* vmovshdup */, X86::VMOVSHDUPZrmkz, Convert__Reg1_0__Reg1_2__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512 }, },
{ 13996 /* vmovsldup */, X86::VMOVSLDUPrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 13996 /* vmovsldup */, X86::VMOVSLDUPrm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 13996 /* vmovsldup */, X86::VMOVSLDUPYrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256, MCK_VR256 }, },
{ 13996 /* vmovsldup */, X86::VMOVSLDUPYrm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR256, MCK_Mem256 }, },
{ 13996 /* vmovsldup */, X86::VMOVSLDUPZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 13996 /* vmovsldup */, X86::VMOVSLDUPZ128rm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16X, MCK_Mem128 }, },
{ 13996 /* vmovsldup */, X86::VMOVSLDUPZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 13996 /* vmovsldup */, X86::VMOVSLDUPZ256rm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR256X, MCK_Mem256 }, },
{ 13996 /* vmovsldup */, X86::VMOVSLDUPZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 13996 /* vmovsldup */, X86::VMOVSLDUPZrm, Convert__Reg1_0__Mem5125_1, AMFBS_None, { MCK_VR512, MCK_Mem512 }, },
{ 13996 /* vmovsldup */, X86::VMOVSLDUPZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 13996 /* vmovsldup */, X86::VMOVSLDUPZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 13996 /* vmovsldup */, X86::VMOVSLDUPZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 13996 /* vmovsldup */, X86::VMOVSLDUPZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 13996 /* vmovsldup */, X86::VMOVSLDUPZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 13996 /* vmovsldup */, X86::VMOVSLDUPZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512 }, },
{ 13996 /* vmovsldup */, X86::VMOVSLDUPZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 13996 /* vmovsldup */, X86::VMOVSLDUPZ128rmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 13996 /* vmovsldup */, X86::VMOVSLDUPZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 13996 /* vmovsldup */, X86::VMOVSLDUPZ256rmkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 13996 /* vmovsldup */, X86::VMOVSLDUPZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 13996 /* vmovsldup */, X86::VMOVSLDUPZrmkz, Convert__Reg1_0__Reg1_2__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512 }, },
{ 14006 /* vmovss */, X86::VMOVSSrm, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_FR16, MCK_Mem32 }, },
{ 14006 /* vmovss */, X86::VMOVSSZrm, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_FR16X, MCK_Mem32 }, },
{ 14006 /* vmovss */, X86::VMOVSSmr, Convert__Mem325_0__Reg1_1, AMFBS_None, { MCK_Mem32, MCK_FR16 }, },
{ 14006 /* vmovss */, X86::VMOVSSZmr, Convert__Mem325_0__Reg1_1, AMFBS_None, { MCK_Mem32, MCK_FR16X }, },
{ 14006 /* vmovss */, X86::VMOVSSrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 14006 /* vmovss */, X86::VMOVSSZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 14006 /* vmovss */, X86::VMOVSSZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32 }, },
{ 14006 /* vmovss */, X86::VMOVSSZmrk, Convert__Mem325_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem32, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 14006 /* vmovss */, X86::VMOVSSZrmkz, Convert__Reg1_0__Reg1_2__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32 }, },
{ 14006 /* vmovss */, X86::VMOVSSZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 14006 /* vmovss */, X86::VMOVSSZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 14013 /* vmovss.s */, X86::VMOVSSrr_REV, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 14013 /* vmovss.s */, X86::VMOVSSZrr_REV, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 14013 /* vmovss.s */, X86::VMOVSSZrrk_REV, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 14013 /* vmovss.s */, X86::VMOVSSZrrkz_REV, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 14022 /* vmovupd */, X86::VMOVUPDrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 14022 /* vmovupd */, X86::VMOVUPDrm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 14022 /* vmovupd */, X86::VMOVUPDYrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256, MCK_VR256 }, },
{ 14022 /* vmovupd */, X86::VMOVUPDYrm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR256, MCK_Mem256 }, },
{ 14022 /* vmovupd */, X86::VMOVUPDZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 14022 /* vmovupd */, X86::VMOVUPDZ128rm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16X, MCK_Mem128 }, },
{ 14022 /* vmovupd */, X86::VMOVUPDZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 14022 /* vmovupd */, X86::VMOVUPDZ256rm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR256X, MCK_Mem256 }, },
{ 14022 /* vmovupd */, X86::VMOVUPDZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 14022 /* vmovupd */, X86::VMOVUPDZrm, Convert__Reg1_0__Mem5125_1, AMFBS_None, { MCK_VR512, MCK_Mem512 }, },
{ 14022 /* vmovupd */, X86::VMOVUPDmr, Convert__Mem1285_0__Reg1_1, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 14022 /* vmovupd */, X86::VMOVUPDZ128mr, Convert__Mem1285_0__Reg1_1, AMFBS_None, { MCK_Mem128, MCK_FR16X }, },
{ 14022 /* vmovupd */, X86::VMOVUPDYmr, Convert__Mem2565_0__Reg1_1, AMFBS_None, { MCK_Mem256, MCK_VR256 }, },
{ 14022 /* vmovupd */, X86::VMOVUPDZ256mr, Convert__Mem2565_0__Reg1_1, AMFBS_None, { MCK_Mem256, MCK_VR256X }, },
{ 14022 /* vmovupd */, X86::VMOVUPDZmr, Convert__Mem5125_0__Reg1_1, AMFBS_None, { MCK_Mem512, MCK_VR512 }, },
{ 14022 /* vmovupd */, X86::VMOVUPDZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 14022 /* vmovupd */, X86::VMOVUPDZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 14022 /* vmovupd */, X86::VMOVUPDZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 14022 /* vmovupd */, X86::VMOVUPDZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 14022 /* vmovupd */, X86::VMOVUPDZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 14022 /* vmovupd */, X86::VMOVUPDZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512 }, },
{ 14022 /* vmovupd */, X86::VMOVUPDZ128mrk, Convert__Mem1285_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem128, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 14022 /* vmovupd */, X86::VMOVUPDZ256mrk, Convert__Mem2565_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem256, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 14022 /* vmovupd */, X86::VMOVUPDZmrk, Convert__Mem5125_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 14022 /* vmovupd */, X86::VMOVUPDZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 14022 /* vmovupd */, X86::VMOVUPDZ128rmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 14022 /* vmovupd */, X86::VMOVUPDZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 14022 /* vmovupd */, X86::VMOVUPDZ256rmkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 14022 /* vmovupd */, X86::VMOVUPDZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 14022 /* vmovupd */, X86::VMOVUPDZrmkz, Convert__Reg1_0__Reg1_2__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512 }, },
{ 14030 /* vmovupd.s */, X86::VMOVUPDrr_REV, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 14030 /* vmovupd.s */, X86::VMOVUPDYrr_REV, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256, MCK_VR256 }, },
{ 14030 /* vmovupd.s */, X86::VMOVUPDZ128rr_REV, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 14030 /* vmovupd.s */, X86::VMOVUPDZ256rr_REV, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 14030 /* vmovupd.s */, X86::VMOVUPDZrr_REV, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 14030 /* vmovupd.s */, X86::VMOVUPDZ128rrk_REV, Convert__Reg1_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 14030 /* vmovupd.s */, X86::VMOVUPDZ256rrk_REV, Convert__Reg1_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 14030 /* vmovupd.s */, X86::VMOVUPDZrrk_REV, Convert__Reg1_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 14030 /* vmovupd.s */, X86::VMOVUPDZ128rrkz_REV, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 14030 /* vmovupd.s */, X86::VMOVUPDZ256rrkz_REV, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 14030 /* vmovupd.s */, X86::VMOVUPDZrrkz_REV, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 14040 /* vmovups */, X86::VMOVUPSrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 14040 /* vmovups */, X86::VMOVUPSrm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 14040 /* vmovups */, X86::VMOVUPSYrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256, MCK_VR256 }, },
{ 14040 /* vmovups */, X86::VMOVUPSYrm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR256, MCK_Mem256 }, },
{ 14040 /* vmovups */, X86::VMOVUPSZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 14040 /* vmovups */, X86::VMOVUPSZ128rm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16X, MCK_Mem128 }, },
{ 14040 /* vmovups */, X86::VMOVUPSZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 14040 /* vmovups */, X86::VMOVUPSZ256rm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR256X, MCK_Mem256 }, },
{ 14040 /* vmovups */, X86::VMOVUPSZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 14040 /* vmovups */, X86::VMOVUPSZrm, Convert__Reg1_0__Mem5125_1, AMFBS_None, { MCK_VR512, MCK_Mem512 }, },
{ 14040 /* vmovups */, X86::VMOVUPSmr, Convert__Mem1285_0__Reg1_1, AMFBS_None, { MCK_Mem128, MCK_FR16 }, },
{ 14040 /* vmovups */, X86::VMOVUPSZ128mr, Convert__Mem1285_0__Reg1_1, AMFBS_None, { MCK_Mem128, MCK_FR16X }, },
{ 14040 /* vmovups */, X86::VMOVUPSYmr, Convert__Mem2565_0__Reg1_1, AMFBS_None, { MCK_Mem256, MCK_VR256 }, },
{ 14040 /* vmovups */, X86::VMOVUPSZ256mr, Convert__Mem2565_0__Reg1_1, AMFBS_None, { MCK_Mem256, MCK_VR256X }, },
{ 14040 /* vmovups */, X86::VMOVUPSZmr, Convert__Mem5125_0__Reg1_1, AMFBS_None, { MCK_Mem512, MCK_VR512 }, },
{ 14040 /* vmovups */, X86::VMOVUPSZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 14040 /* vmovups */, X86::VMOVUPSZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 14040 /* vmovups */, X86::VMOVUPSZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 14040 /* vmovups */, X86::VMOVUPSZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 14040 /* vmovups */, X86::VMOVUPSZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 14040 /* vmovups */, X86::VMOVUPSZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512 }, },
{ 14040 /* vmovups */, X86::VMOVUPSZ128mrk, Convert__Mem1285_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem128, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 14040 /* vmovups */, X86::VMOVUPSZ256mrk, Convert__Mem2565_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem256, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 14040 /* vmovups */, X86::VMOVUPSZmrk, Convert__Mem5125_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 14040 /* vmovups */, X86::VMOVUPSZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 14040 /* vmovups */, X86::VMOVUPSZ128rmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 14040 /* vmovups */, X86::VMOVUPSZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 14040 /* vmovups */, X86::VMOVUPSZ256rmkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 14040 /* vmovups */, X86::VMOVUPSZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 14040 /* vmovups */, X86::VMOVUPSZrmkz, Convert__Reg1_0__Reg1_2__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512 }, },
{ 14048 /* vmovups.s */, X86::VMOVUPSrr_REV, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 14048 /* vmovups.s */, X86::VMOVUPSYrr_REV, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256, MCK_VR256 }, },
{ 14048 /* vmovups.s */, X86::VMOVUPSZ128rr_REV, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 14048 /* vmovups.s */, X86::VMOVUPSZ256rr_REV, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 14048 /* vmovups.s */, X86::VMOVUPSZrr_REV, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 14048 /* vmovups.s */, X86::VMOVUPSZ128rrk_REV, Convert__Reg1_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 14048 /* vmovups.s */, X86::VMOVUPSZ256rrk_REV, Convert__Reg1_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 14048 /* vmovups.s */, X86::VMOVUPSZrrk_REV, Convert__Reg1_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 14048 /* vmovups.s */, X86::VMOVUPSZ128rrkz_REV, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 14048 /* vmovups.s */, X86::VMOVUPSZ256rrkz_REV, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 14048 /* vmovups.s */, X86::VMOVUPSZrrkz_REV, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 14058 /* vmovw */, X86::VMOVSH2Wrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR32, MCK_FR16X }, },
{ 14058 /* vmovw */, X86::VMOVSHtoW64rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GR64, MCK_FR16X }, },
{ 14058 /* vmovw */, X86::VMOVW2SHrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_GR32 }, },
{ 14058 /* vmovw */, X86::VMOVW64toSHrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_GR64 }, },
{ 14058 /* vmovw */, X86::VMOVWrm, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_FR16X, MCK_Mem16 }, },
{ 14058 /* vmovw */, X86::VMOVWmr, Convert__Mem165_0__Reg1_1, AMFBS_None, { MCK_Mem16, MCK_FR16X }, },
{ 14064 /* vmpsadbw */, X86::VMPSADBWrri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 14064 /* vmpsadbw */, X86::VMPSADBWrmi, Convert__Reg1_0__Reg1_1__Mem1285_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 14064 /* vmpsadbw */, X86::VMPSADBWYrri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256, MCK_ImmUnsignedi8 }, },
{ 14064 /* vmpsadbw */, X86::VMPSADBWYrmi, Convert__Reg1_0__Reg1_1__Mem2565_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 14073 /* vmptrld */, X86::VMPTRLDm, Convert__Mem645_0, AMFBS_None, { MCK_Mem64 }, },
{ 14081 /* vmptrst */, X86::VMPTRSTm, Convert__Mem645_0, AMFBS_None, { MCK_Mem64 }, },
{ 14089 /* vmread */, X86::VMREAD32rr, Convert__Reg1_0__Reg1_1, AMFBS_Not64BitMode, { MCK_GR32, MCK_GR32 }, },
{ 14089 /* vmread */, X86::VMREAD64rr, Convert__Reg1_0__Reg1_1, AMFBS_In64BitMode, { MCK_GR64, MCK_GR64 }, },
{ 14089 /* vmread */, X86::VMREAD32mr, Convert__Mem325_0__Reg1_1, AMFBS_Not64BitMode, { MCK_Mem32, MCK_GR32 }, },
{ 14089 /* vmread */, X86::VMREAD64mr, Convert__Mem645_0__Reg1_1, AMFBS_In64BitMode, { MCK_Mem64, MCK_GR64 }, },
{ 14112 /* vmresume */, X86::VMRESUME, Convert_NoOperands, AMFBS_None, { }, },
{ 14121 /* vmrun */, X86::VMRUN32, Convert_NoOperands, AMFBS_Not64BitMode, { }, },
{ 14121 /* vmrun */, X86::VMRUN64, Convert_NoOperands, AMFBS_In64BitMode, { }, },
{ 14121 /* vmrun */, X86::VMRUN32, Convert_NoOperands, AMFBS_Not64BitMode, { MCK_EAX }, },
{ 14121 /* vmrun */, X86::VMRUN64, Convert_NoOperands, AMFBS_In64BitMode, { MCK_RAX }, },
{ 14127 /* vmsave */, X86::VMSAVE32, Convert_NoOperands, AMFBS_Not64BitMode, { }, },
{ 14127 /* vmsave */, X86::VMSAVE64, Convert_NoOperands, AMFBS_In64BitMode, { }, },
{ 14127 /* vmsave */, X86::VMSAVE32, Convert_NoOperands, AMFBS_Not64BitMode, { MCK_EAX }, },
{ 14127 /* vmsave */, X86::VMSAVE64, Convert_NoOperands, AMFBS_In64BitMode, { MCK_RAX }, },
{ 14134 /* vmulpd */, X86::VMULPDrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 14134 /* vmulpd */, X86::VMULPDrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 14134 /* vmulpd */, X86::VMULPDYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 14134 /* vmulpd */, X86::VMULPDYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 14134 /* vmulpd */, X86::VMULPDZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 14134 /* vmulpd */, X86::VMULPDZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 14134 /* vmulpd */, X86::VMULPDZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 14134 /* vmulpd */, X86::VMULPDZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 14134 /* vmulpd */, X86::VMULPDZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 14134 /* vmulpd */, X86::VMULPDZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 14134 /* vmulpd */, X86::VMULPDZ128rmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 14134 /* vmulpd */, X86::VMULPDZ256rmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 14134 /* vmulpd */, X86::VMULPDZrrb, Convert__Reg1_0__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 14134 /* vmulpd */, X86::VMULPDZrmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 14134 /* vmulpd */, X86::VMULPDZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 14134 /* vmulpd */, X86::VMULPDZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 14134 /* vmulpd */, X86::VMULPDZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 14134 /* vmulpd */, X86::VMULPDZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 14134 /* vmulpd */, X86::VMULPDZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 14134 /* vmulpd */, X86::VMULPDZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 14134 /* vmulpd */, X86::VMULPDZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 14134 /* vmulpd */, X86::VMULPDZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 14134 /* vmulpd */, X86::VMULPDZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 14134 /* vmulpd */, X86::VMULPDZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 14134 /* vmulpd */, X86::VMULPDZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 14134 /* vmulpd */, X86::VMULPDZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 14134 /* vmulpd */, X86::VMULPDZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 14134 /* vmulpd */, X86::VMULPDZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 14134 /* vmulpd */, X86::VMULPDZrrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 14134 /* vmulpd */, X86::VMULPDZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 14134 /* vmulpd */, X86::VMULPDZ128rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 14134 /* vmulpd */, X86::VMULPDZ256rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 14134 /* vmulpd */, X86::VMULPDZrrbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 14134 /* vmulpd */, X86::VMULPDZrmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 14141 /* vmulph */, X86::VMULPHZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 14141 /* vmulph */, X86::VMULPHZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 14141 /* vmulph */, X86::VMULPHZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 14141 /* vmulph */, X86::VMULPHZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 14141 /* vmulph */, X86::VMULPHZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 14141 /* vmulph */, X86::VMULPHZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 14141 /* vmulph */, X86::VMULPHZ128rmb, Convert__Reg1_0__Reg1_1__Mem165_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 14141 /* vmulph */, X86::VMULPHZ256rmb, Convert__Reg1_0__Reg1_1__Mem165_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 14141 /* vmulph */, X86::VMULPHZrrb, Convert__Reg1_0__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 14141 /* vmulph */, X86::VMULPHZrmb, Convert__Reg1_0__Reg1_1__Mem165_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 14141 /* vmulph */, X86::VMULPHZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 14141 /* vmulph */, X86::VMULPHZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 14141 /* vmulph */, X86::VMULPHZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 14141 /* vmulph */, X86::VMULPHZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 14141 /* vmulph */, X86::VMULPHZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 14141 /* vmulph */, X86::VMULPHZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 14141 /* vmulph */, X86::VMULPHZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 14141 /* vmulph */, X86::VMULPHZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 14141 /* vmulph */, X86::VMULPHZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 14141 /* vmulph */, X86::VMULPHZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 14141 /* vmulph */, X86::VMULPHZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 14141 /* vmulph */, X86::VMULPHZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 14141 /* vmulph */, X86::VMULPHZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 14141 /* vmulph */, X86::VMULPHZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 14141 /* vmulph */, X86::VMULPHZrrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 14141 /* vmulph */, X86::VMULPHZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 14141 /* vmulph */, X86::VMULPHZ128rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 14141 /* vmulph */, X86::VMULPHZ256rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 14141 /* vmulph */, X86::VMULPHZrrbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 14141 /* vmulph */, X86::VMULPHZrmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 14148 /* vmulps */, X86::VMULPSrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 14148 /* vmulps */, X86::VMULPSrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 14148 /* vmulps */, X86::VMULPSYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 14148 /* vmulps */, X86::VMULPSYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 14148 /* vmulps */, X86::VMULPSZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 14148 /* vmulps */, X86::VMULPSZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 14148 /* vmulps */, X86::VMULPSZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 14148 /* vmulps */, X86::VMULPSZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 14148 /* vmulps */, X86::VMULPSZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 14148 /* vmulps */, X86::VMULPSZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 14148 /* vmulps */, X86::VMULPSZ128rmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 14148 /* vmulps */, X86::VMULPSZ256rmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 14148 /* vmulps */, X86::VMULPSZrrb, Convert__Reg1_0__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 14148 /* vmulps */, X86::VMULPSZrmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 14148 /* vmulps */, X86::VMULPSZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 14148 /* vmulps */, X86::VMULPSZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 14148 /* vmulps */, X86::VMULPSZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 14148 /* vmulps */, X86::VMULPSZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 14148 /* vmulps */, X86::VMULPSZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 14148 /* vmulps */, X86::VMULPSZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 14148 /* vmulps */, X86::VMULPSZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 14148 /* vmulps */, X86::VMULPSZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 14148 /* vmulps */, X86::VMULPSZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 14148 /* vmulps */, X86::VMULPSZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 14148 /* vmulps */, X86::VMULPSZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 14148 /* vmulps */, X86::VMULPSZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 14148 /* vmulps */, X86::VMULPSZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 14148 /* vmulps */, X86::VMULPSZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 14148 /* vmulps */, X86::VMULPSZrrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 14148 /* vmulps */, X86::VMULPSZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 14148 /* vmulps */, X86::VMULPSZ128rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 14148 /* vmulps */, X86::VMULPSZ256rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 14148 /* vmulps */, X86::VMULPSZrrbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 14148 /* vmulps */, X86::VMULPSZrmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 14155 /* vmulsd */, X86::VMULSDrr_Int, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 14155 /* vmulsd */, X86::VMULSDrm_Int, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem64 }, },
{ 14155 /* vmulsd */, X86::VMULSDZrr_Int, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 14155 /* vmulsd */, X86::VMULSDZrm_Int, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64 }, },
{ 14155 /* vmulsd */, X86::VMULSDZrrb_Int, Convert__Reg1_0__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 14155 /* vmulsd */, X86::VMULSDZrr_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 14155 /* vmulsd */, X86::VMULSDZrm_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64 }, },
{ 14155 /* vmulsd */, X86::VMULSDZrr_Intkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 14155 /* vmulsd */, X86::VMULSDZrm_Intkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64 }, },
{ 14155 /* vmulsd */, X86::VMULSDZrrb_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 14155 /* vmulsd */, X86::VMULSDZrrb_Intkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 14162 /* vmulsh */, X86::VMULSHZrr_Int, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 14162 /* vmulsh */, X86::VMULSHZrm_Int, Convert__Reg1_0__Reg1_1__Mem165_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem16 }, },
{ 14162 /* vmulsh */, X86::VMULSHZrrb_Int, Convert__Reg1_0__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 14162 /* vmulsh */, X86::VMULSHZrr_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 14162 /* vmulsh */, X86::VMULSHZrm_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem16 }, },
{ 14162 /* vmulsh */, X86::VMULSHZrr_Intkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 14162 /* vmulsh */, X86::VMULSHZrm_Intkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem16 }, },
{ 14162 /* vmulsh */, X86::VMULSHZrrb_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 14162 /* vmulsh */, X86::VMULSHZrrb_Intkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 14169 /* vmulss */, X86::VMULSSrr_Int, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 14169 /* vmulss */, X86::VMULSSrm_Int, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem32 }, },
{ 14169 /* vmulss */, X86::VMULSSZrr_Int, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 14169 /* vmulss */, X86::VMULSSZrm_Int, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32 }, },
{ 14169 /* vmulss */, X86::VMULSSZrrb_Int, Convert__Reg1_0__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 14169 /* vmulss */, X86::VMULSSZrr_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 14169 /* vmulss */, X86::VMULSSZrm_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32 }, },
{ 14169 /* vmulss */, X86::VMULSSZrr_Intkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 14169 /* vmulss */, X86::VMULSSZrm_Intkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32 }, },
{ 14169 /* vmulss */, X86::VMULSSZrrb_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 14169 /* vmulss */, X86::VMULSSZrrb_Intkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 14176 /* vmwrite */, X86::VMWRITE32rr, Convert__Reg1_0__Reg1_1, AMFBS_Not64BitMode, { MCK_GR32, MCK_GR32 }, },
{ 14176 /* vmwrite */, X86::VMWRITE32rm, Convert__Reg1_0__Mem325_1, AMFBS_Not64BitMode, { MCK_GR32, MCK_Mem32 }, },
{ 14176 /* vmwrite */, X86::VMWRITE64rr, Convert__Reg1_0__Reg1_1, AMFBS_In64BitMode, { MCK_GR64, MCK_GR64 }, },
{ 14176 /* vmwrite */, X86::VMWRITE64rm, Convert__Reg1_0__Mem645_1, AMFBS_In64BitMode, { MCK_GR64, MCK_Mem64 }, },
{ 14202 /* vmxoff */, X86::VMXOFF, Convert_NoOperands, AMFBS_None, { }, },
{ 14209 /* vmxon */, X86::VMXON, Convert__Mem645_0, AMFBS_None, { MCK_Mem64 }, },
{ 14215 /* vorpd */, X86::VORPDrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 14215 /* vorpd */, X86::VORPDrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 14215 /* vorpd */, X86::VORPDYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 14215 /* vorpd */, X86::VORPDYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 14215 /* vorpd */, X86::VORPDZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 14215 /* vorpd */, X86::VORPDZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 14215 /* vorpd */, X86::VORPDZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 14215 /* vorpd */, X86::VORPDZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 14215 /* vorpd */, X86::VORPDZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 14215 /* vorpd */, X86::VORPDZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 14215 /* vorpd */, X86::VORPDZ128rmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 14215 /* vorpd */, X86::VORPDZ256rmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 14215 /* vorpd */, X86::VORPDZrmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 14215 /* vorpd */, X86::VORPDZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 14215 /* vorpd */, X86::VORPDZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 14215 /* vorpd */, X86::VORPDZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 14215 /* vorpd */, X86::VORPDZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 14215 /* vorpd */, X86::VORPDZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 14215 /* vorpd */, X86::VORPDZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 14215 /* vorpd */, X86::VORPDZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 14215 /* vorpd */, X86::VORPDZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 14215 /* vorpd */, X86::VORPDZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 14215 /* vorpd */, X86::VORPDZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 14215 /* vorpd */, X86::VORPDZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 14215 /* vorpd */, X86::VORPDZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 14215 /* vorpd */, X86::VORPDZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 14215 /* vorpd */, X86::VORPDZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 14215 /* vorpd */, X86::VORPDZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 14215 /* vorpd */, X86::VORPDZ128rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 14215 /* vorpd */, X86::VORPDZ256rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 14215 /* vorpd */, X86::VORPDZrmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 14221 /* vorps */, X86::VORPSrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 14221 /* vorps */, X86::VORPSrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 14221 /* vorps */, X86::VORPSYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 14221 /* vorps */, X86::VORPSYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 14221 /* vorps */, X86::VORPSZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 14221 /* vorps */, X86::VORPSZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 14221 /* vorps */, X86::VORPSZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 14221 /* vorps */, X86::VORPSZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 14221 /* vorps */, X86::VORPSZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 14221 /* vorps */, X86::VORPSZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 14221 /* vorps */, X86::VORPSZ128rmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 14221 /* vorps */, X86::VORPSZ256rmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 14221 /* vorps */, X86::VORPSZrmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 14221 /* vorps */, X86::VORPSZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 14221 /* vorps */, X86::VORPSZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 14221 /* vorps */, X86::VORPSZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 14221 /* vorps */, X86::VORPSZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 14221 /* vorps */, X86::VORPSZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 14221 /* vorps */, X86::VORPSZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 14221 /* vorps */, X86::VORPSZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 14221 /* vorps */, X86::VORPSZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 14221 /* vorps */, X86::VORPSZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 14221 /* vorps */, X86::VORPSZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 14221 /* vorps */, X86::VORPSZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 14221 /* vorps */, X86::VORPSZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 14221 /* vorps */, X86::VORPSZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 14221 /* vorps */, X86::VORPSZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 14221 /* vorps */, X86::VORPSZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 14221 /* vorps */, X86::VORPSZ128rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 14221 /* vorps */, X86::VORPSZ256rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 14221 /* vorps */, X86::VORPSZrmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 14227 /* vp2intersectd */, X86::VP2INTERSECTDZrr, Convert__VK16Pair1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VK16Pair, MCK_VR512, MCK_VR512 }, },
{ 14227 /* vp2intersectd */, X86::VP2INTERSECTDZrm, Convert__VK16Pair1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VK16Pair, MCK_VR512, MCK_Mem512 }, },
{ 14227 /* vp2intersectd */, X86::VP2INTERSECTDZ128rr, Convert__VK4Pair1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VK4Pair, MCK_FR16X, MCK_FR16X }, },
{ 14227 /* vp2intersectd */, X86::VP2INTERSECTDZ128rm, Convert__VK4Pair1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_VK4Pair, MCK_FR16X, MCK_Mem128 }, },
{ 14227 /* vp2intersectd */, X86::VP2INTERSECTDZ256rr, Convert__VK8Pair1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VK8Pair, MCK_VR256X, MCK_VR256X }, },
{ 14227 /* vp2intersectd */, X86::VP2INTERSECTDZ256rm, Convert__VK8Pair1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VK8Pair, MCK_VR256X, MCK_Mem256 }, },
{ 14227 /* vp2intersectd */, X86::VP2INTERSECTDZrmb, Convert__VK16Pair1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VK16Pair, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 14227 /* vp2intersectd */, X86::VP2INTERSECTDZ128rmb, Convert__VK4Pair1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VK4Pair, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 14227 /* vp2intersectd */, X86::VP2INTERSECTDZ256rmb, Convert__VK8Pair1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VK8Pair, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 14241 /* vp2intersectq */, X86::VP2INTERSECTQZ128rr, Convert__VK2Pair1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VK2Pair, MCK_FR16X, MCK_FR16X }, },
{ 14241 /* vp2intersectq */, X86::VP2INTERSECTQZ128rm, Convert__VK2Pair1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_VK2Pair, MCK_FR16X, MCK_Mem128 }, },
{ 14241 /* vp2intersectq */, X86::VP2INTERSECTQZ256rr, Convert__VK4Pair1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VK4Pair, MCK_VR256X, MCK_VR256X }, },
{ 14241 /* vp2intersectq */, X86::VP2INTERSECTQZ256rm, Convert__VK4Pair1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VK4Pair, MCK_VR256X, MCK_Mem256 }, },
{ 14241 /* vp2intersectq */, X86::VP2INTERSECTQZrr, Convert__VK8Pair1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VK8Pair, MCK_VR512, MCK_VR512 }, },
{ 14241 /* vp2intersectq */, X86::VP2INTERSECTQZrm, Convert__VK8Pair1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VK8Pair, MCK_VR512, MCK_Mem512 }, },
{ 14241 /* vp2intersectq */, X86::VP2INTERSECTQZ128rmb, Convert__VK2Pair1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_VK2Pair, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 14241 /* vp2intersectq */, X86::VP2INTERSECTQZ256rmb, Convert__VK4Pair1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_VK4Pair, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 14241 /* vp2intersectq */, X86::VP2INTERSECTQZrmb, Convert__VK8Pair1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_VK8Pair, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 14255 /* vp4dpwssd */, X86::VP4DPWSSDrm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem128 }, },
{ 14255 /* vp4dpwssd */, X86::VP4DPWSSDrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem128 }, },
{ 14255 /* vp4dpwssd */, X86::VP4DPWSSDrmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem128 }, },
{ 14265 /* vp4dpwssds */, X86::VP4DPWSSDSrm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem128 }, },
{ 14265 /* vp4dpwssds */, X86::VP4DPWSSDSrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem128 }, },
{ 14265 /* vp4dpwssds */, X86::VP4DPWSSDSrmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem128 }, },
{ 14276 /* vpabsb */, X86::VPABSBrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 14276 /* vpabsb */, X86::VPABSBrm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 14276 /* vpabsb */, X86::VPABSBYrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256, MCK_VR256 }, },
{ 14276 /* vpabsb */, X86::VPABSBYrm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR256, MCK_Mem256 }, },
{ 14276 /* vpabsb */, X86::VPABSBZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 14276 /* vpabsb */, X86::VPABSBZ128rm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16X, MCK_Mem128 }, },
{ 14276 /* vpabsb */, X86::VPABSBZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 14276 /* vpabsb */, X86::VPABSBZ256rm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR256X, MCK_Mem256 }, },
{ 14276 /* vpabsb */, X86::VPABSBZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 14276 /* vpabsb */, X86::VPABSBZrm, Convert__Reg1_0__Mem5125_1, AMFBS_None, { MCK_VR512, MCK_Mem512 }, },
{ 14276 /* vpabsb */, X86::VPABSBZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 14276 /* vpabsb */, X86::VPABSBZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 14276 /* vpabsb */, X86::VPABSBZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 14276 /* vpabsb */, X86::VPABSBZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 14276 /* vpabsb */, X86::VPABSBZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 14276 /* vpabsb */, X86::VPABSBZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512 }, },
{ 14276 /* vpabsb */, X86::VPABSBZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 14276 /* vpabsb */, X86::VPABSBZ128rmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 14276 /* vpabsb */, X86::VPABSBZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 14276 /* vpabsb */, X86::VPABSBZ256rmkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 14276 /* vpabsb */, X86::VPABSBZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 14276 /* vpabsb */, X86::VPABSBZrmkz, Convert__Reg1_0__Reg1_2__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512 }, },
{ 14283 /* vpabsd */, X86::VPABSDrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 14283 /* vpabsd */, X86::VPABSDrm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 14283 /* vpabsd */, X86::VPABSDYrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256, MCK_VR256 }, },
{ 14283 /* vpabsd */, X86::VPABSDYrm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR256, MCK_Mem256 }, },
{ 14283 /* vpabsd */, X86::VPABSDZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 14283 /* vpabsd */, X86::VPABSDZ128rm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16X, MCK_Mem128 }, },
{ 14283 /* vpabsd */, X86::VPABSDZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 14283 /* vpabsd */, X86::VPABSDZ256rm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR256X, MCK_Mem256 }, },
{ 14283 /* vpabsd */, X86::VPABSDZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 14283 /* vpabsd */, X86::VPABSDZrm, Convert__Reg1_0__Mem5125_1, AMFBS_None, { MCK_VR512, MCK_Mem512 }, },
{ 14283 /* vpabsd */, X86::VPABSDZ128rmb, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 14283 /* vpabsd */, X86::VPABSDZ256rmb, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 14283 /* vpabsd */, X86::VPABSDZrmb, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 14283 /* vpabsd */, X86::VPABSDZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 14283 /* vpabsd */, X86::VPABSDZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 14283 /* vpabsd */, X86::VPABSDZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 14283 /* vpabsd */, X86::VPABSDZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 14283 /* vpabsd */, X86::VPABSDZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 14283 /* vpabsd */, X86::VPABSDZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512 }, },
{ 14283 /* vpabsd */, X86::VPABSDZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 14283 /* vpabsd */, X86::VPABSDZ128rmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 14283 /* vpabsd */, X86::VPABSDZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 14283 /* vpabsd */, X86::VPABSDZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 14283 /* vpabsd */, X86::VPABSDZ256rmkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 14283 /* vpabsd */, X86::VPABSDZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 14283 /* vpabsd */, X86::VPABSDZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 14283 /* vpabsd */, X86::VPABSDZrmkz, Convert__Reg1_0__Reg1_2__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512 }, },
{ 14283 /* vpabsd */, X86::VPABSDZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 14283 /* vpabsd */, X86::VPABSDZ128rmbkz, Convert__Reg1_0__Reg1_2__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 14283 /* vpabsd */, X86::VPABSDZ256rmbkz, Convert__Reg1_0__Reg1_2__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 14283 /* vpabsd */, X86::VPABSDZrmbkz, Convert__Reg1_0__Reg1_2__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 14290 /* vpabsq */, X86::VPABSQZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 14290 /* vpabsq */, X86::VPABSQZ128rm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16X, MCK_Mem128 }, },
{ 14290 /* vpabsq */, X86::VPABSQZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 14290 /* vpabsq */, X86::VPABSQZ256rm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR256X, MCK_Mem256 }, },
{ 14290 /* vpabsq */, X86::VPABSQZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 14290 /* vpabsq */, X86::VPABSQZrm, Convert__Reg1_0__Mem5125_1, AMFBS_None, { MCK_VR512, MCK_Mem512 }, },
{ 14290 /* vpabsq */, X86::VPABSQZ128rmb, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 14290 /* vpabsq */, X86::VPABSQZ256rmb, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 14290 /* vpabsq */, X86::VPABSQZrmb, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 14290 /* vpabsq */, X86::VPABSQZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 14290 /* vpabsq */, X86::VPABSQZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 14290 /* vpabsq */, X86::VPABSQZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 14290 /* vpabsq */, X86::VPABSQZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 14290 /* vpabsq */, X86::VPABSQZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 14290 /* vpabsq */, X86::VPABSQZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512 }, },
{ 14290 /* vpabsq */, X86::VPABSQZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 14290 /* vpabsq */, X86::VPABSQZ128rmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 14290 /* vpabsq */, X86::VPABSQZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 14290 /* vpabsq */, X86::VPABSQZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 14290 /* vpabsq */, X86::VPABSQZ256rmkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 14290 /* vpabsq */, X86::VPABSQZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 14290 /* vpabsq */, X86::VPABSQZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 14290 /* vpabsq */, X86::VPABSQZrmkz, Convert__Reg1_0__Reg1_2__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512 }, },
{ 14290 /* vpabsq */, X86::VPABSQZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 14290 /* vpabsq */, X86::VPABSQZ128rmbkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 14290 /* vpabsq */, X86::VPABSQZ256rmbkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 14290 /* vpabsq */, X86::VPABSQZrmbkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 14297 /* vpabsw */, X86::VPABSWrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 14297 /* vpabsw */, X86::VPABSWrm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 14297 /* vpabsw */, X86::VPABSWYrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256, MCK_VR256 }, },
{ 14297 /* vpabsw */, X86::VPABSWYrm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR256, MCK_Mem256 }, },
{ 14297 /* vpabsw */, X86::VPABSWZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 14297 /* vpabsw */, X86::VPABSWZ128rm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16X, MCK_Mem128 }, },
{ 14297 /* vpabsw */, X86::VPABSWZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 14297 /* vpabsw */, X86::VPABSWZ256rm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR256X, MCK_Mem256 }, },
{ 14297 /* vpabsw */, X86::VPABSWZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 14297 /* vpabsw */, X86::VPABSWZrm, Convert__Reg1_0__Mem5125_1, AMFBS_None, { MCK_VR512, MCK_Mem512 }, },
{ 14297 /* vpabsw */, X86::VPABSWZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 14297 /* vpabsw */, X86::VPABSWZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 14297 /* vpabsw */, X86::VPABSWZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 14297 /* vpabsw */, X86::VPABSWZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 14297 /* vpabsw */, X86::VPABSWZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 14297 /* vpabsw */, X86::VPABSWZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512 }, },
{ 14297 /* vpabsw */, X86::VPABSWZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 14297 /* vpabsw */, X86::VPABSWZ128rmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 14297 /* vpabsw */, X86::VPABSWZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 14297 /* vpabsw */, X86::VPABSWZ256rmkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 14297 /* vpabsw */, X86::VPABSWZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 14297 /* vpabsw */, X86::VPABSWZrmkz, Convert__Reg1_0__Reg1_2__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512 }, },
{ 14304 /* vpackssdw */, X86::VPACKSSDWrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 14304 /* vpackssdw */, X86::VPACKSSDWrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 14304 /* vpackssdw */, X86::VPACKSSDWYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 14304 /* vpackssdw */, X86::VPACKSSDWYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 14304 /* vpackssdw */, X86::VPACKSSDWZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 14304 /* vpackssdw */, X86::VPACKSSDWZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 14304 /* vpackssdw */, X86::VPACKSSDWZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 14304 /* vpackssdw */, X86::VPACKSSDWZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 14304 /* vpackssdw */, X86::VPACKSSDWZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 14304 /* vpackssdw */, X86::VPACKSSDWZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 14304 /* vpackssdw */, X86::VPACKSSDWZ128rmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 14304 /* vpackssdw */, X86::VPACKSSDWZ256rmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 14304 /* vpackssdw */, X86::VPACKSSDWZrmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 14304 /* vpackssdw */, X86::VPACKSSDWZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 14304 /* vpackssdw */, X86::VPACKSSDWZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 14304 /* vpackssdw */, X86::VPACKSSDWZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 14304 /* vpackssdw */, X86::VPACKSSDWZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 14304 /* vpackssdw */, X86::VPACKSSDWZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 14304 /* vpackssdw */, X86::VPACKSSDWZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 14304 /* vpackssdw */, X86::VPACKSSDWZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 14304 /* vpackssdw */, X86::VPACKSSDWZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 14304 /* vpackssdw */, X86::VPACKSSDWZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 14304 /* vpackssdw */, X86::VPACKSSDWZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 14304 /* vpackssdw */, X86::VPACKSSDWZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 14304 /* vpackssdw */, X86::VPACKSSDWZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 14304 /* vpackssdw */, X86::VPACKSSDWZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 14304 /* vpackssdw */, X86::VPACKSSDWZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 14304 /* vpackssdw */, X86::VPACKSSDWZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 14304 /* vpackssdw */, X86::VPACKSSDWZ128rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 14304 /* vpackssdw */, X86::VPACKSSDWZ256rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 14304 /* vpackssdw */, X86::VPACKSSDWZrmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 14314 /* vpacksswb */, X86::VPACKSSWBrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 14314 /* vpacksswb */, X86::VPACKSSWBrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 14314 /* vpacksswb */, X86::VPACKSSWBYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 14314 /* vpacksswb */, X86::VPACKSSWBYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 14314 /* vpacksswb */, X86::VPACKSSWBZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 14314 /* vpacksswb */, X86::VPACKSSWBZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 14314 /* vpacksswb */, X86::VPACKSSWBZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 14314 /* vpacksswb */, X86::VPACKSSWBZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 14314 /* vpacksswb */, X86::VPACKSSWBZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 14314 /* vpacksswb */, X86::VPACKSSWBZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 14314 /* vpacksswb */, X86::VPACKSSWBZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 14314 /* vpacksswb */, X86::VPACKSSWBZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 14314 /* vpacksswb */, X86::VPACKSSWBZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 14314 /* vpacksswb */, X86::VPACKSSWBZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 14314 /* vpacksswb */, X86::VPACKSSWBZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 14314 /* vpacksswb */, X86::VPACKSSWBZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 14314 /* vpacksswb */, X86::VPACKSSWBZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 14314 /* vpacksswb */, X86::VPACKSSWBZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 14314 /* vpacksswb */, X86::VPACKSSWBZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 14314 /* vpacksswb */, X86::VPACKSSWBZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 14314 /* vpacksswb */, X86::VPACKSSWBZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 14314 /* vpacksswb */, X86::VPACKSSWBZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 14324 /* vpackusdw */, X86::VPACKUSDWrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 14324 /* vpackusdw */, X86::VPACKUSDWrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 14324 /* vpackusdw */, X86::VPACKUSDWYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 14324 /* vpackusdw */, X86::VPACKUSDWYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 14324 /* vpackusdw */, X86::VPACKUSDWZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 14324 /* vpackusdw */, X86::VPACKUSDWZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 14324 /* vpackusdw */, X86::VPACKUSDWZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 14324 /* vpackusdw */, X86::VPACKUSDWZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 14324 /* vpackusdw */, X86::VPACKUSDWZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 14324 /* vpackusdw */, X86::VPACKUSDWZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 14324 /* vpackusdw */, X86::VPACKUSDWZ128rmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 14324 /* vpackusdw */, X86::VPACKUSDWZ256rmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 14324 /* vpackusdw */, X86::VPACKUSDWZrmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 14324 /* vpackusdw */, X86::VPACKUSDWZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 14324 /* vpackusdw */, X86::VPACKUSDWZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 14324 /* vpackusdw */, X86::VPACKUSDWZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 14324 /* vpackusdw */, X86::VPACKUSDWZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 14324 /* vpackusdw */, X86::VPACKUSDWZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 14324 /* vpackusdw */, X86::VPACKUSDWZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 14324 /* vpackusdw */, X86::VPACKUSDWZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 14324 /* vpackusdw */, X86::VPACKUSDWZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 14324 /* vpackusdw */, X86::VPACKUSDWZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 14324 /* vpackusdw */, X86::VPACKUSDWZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 14324 /* vpackusdw */, X86::VPACKUSDWZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 14324 /* vpackusdw */, X86::VPACKUSDWZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 14324 /* vpackusdw */, X86::VPACKUSDWZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 14324 /* vpackusdw */, X86::VPACKUSDWZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 14324 /* vpackusdw */, X86::VPACKUSDWZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 14324 /* vpackusdw */, X86::VPACKUSDWZ128rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 14324 /* vpackusdw */, X86::VPACKUSDWZ256rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 14324 /* vpackusdw */, X86::VPACKUSDWZrmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 14334 /* vpackuswb */, X86::VPACKUSWBrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 14334 /* vpackuswb */, X86::VPACKUSWBrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 14334 /* vpackuswb */, X86::VPACKUSWBYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 14334 /* vpackuswb */, X86::VPACKUSWBYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 14334 /* vpackuswb */, X86::VPACKUSWBZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 14334 /* vpackuswb */, X86::VPACKUSWBZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 14334 /* vpackuswb */, X86::VPACKUSWBZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 14334 /* vpackuswb */, X86::VPACKUSWBZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 14334 /* vpackuswb */, X86::VPACKUSWBZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 14334 /* vpackuswb */, X86::VPACKUSWBZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 14334 /* vpackuswb */, X86::VPACKUSWBZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 14334 /* vpackuswb */, X86::VPACKUSWBZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 14334 /* vpackuswb */, X86::VPACKUSWBZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 14334 /* vpackuswb */, X86::VPACKUSWBZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 14334 /* vpackuswb */, X86::VPACKUSWBZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 14334 /* vpackuswb */, X86::VPACKUSWBZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 14334 /* vpackuswb */, X86::VPACKUSWBZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 14334 /* vpackuswb */, X86::VPACKUSWBZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 14334 /* vpackuswb */, X86::VPACKUSWBZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 14334 /* vpackuswb */, X86::VPACKUSWBZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 14334 /* vpackuswb */, X86::VPACKUSWBZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 14334 /* vpackuswb */, X86::VPACKUSWBZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 14344 /* vpaddb */, X86::VPADDBrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 14344 /* vpaddb */, X86::VPADDBrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 14344 /* vpaddb */, X86::VPADDBYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 14344 /* vpaddb */, X86::VPADDBYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 14344 /* vpaddb */, X86::VPADDBZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 14344 /* vpaddb */, X86::VPADDBZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 14344 /* vpaddb */, X86::VPADDBZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 14344 /* vpaddb */, X86::VPADDBZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 14344 /* vpaddb */, X86::VPADDBZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 14344 /* vpaddb */, X86::VPADDBZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 14344 /* vpaddb */, X86::VPADDBZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 14344 /* vpaddb */, X86::VPADDBZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 14344 /* vpaddb */, X86::VPADDBZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 14344 /* vpaddb */, X86::VPADDBZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 14344 /* vpaddb */, X86::VPADDBZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 14344 /* vpaddb */, X86::VPADDBZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 14344 /* vpaddb */, X86::VPADDBZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 14344 /* vpaddb */, X86::VPADDBZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 14344 /* vpaddb */, X86::VPADDBZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 14344 /* vpaddb */, X86::VPADDBZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 14344 /* vpaddb */, X86::VPADDBZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 14344 /* vpaddb */, X86::VPADDBZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 14351 /* vpaddd */, X86::VPADDDrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 14351 /* vpaddd */, X86::VPADDDrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 14351 /* vpaddd */, X86::VPADDDYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 14351 /* vpaddd */, X86::VPADDDYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 14351 /* vpaddd */, X86::VPADDDZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 14351 /* vpaddd */, X86::VPADDDZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 14351 /* vpaddd */, X86::VPADDDZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 14351 /* vpaddd */, X86::VPADDDZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 14351 /* vpaddd */, X86::VPADDDZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 14351 /* vpaddd */, X86::VPADDDZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 14351 /* vpaddd */, X86::VPADDDZ128rmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 14351 /* vpaddd */, X86::VPADDDZ256rmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 14351 /* vpaddd */, X86::VPADDDZrmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 14351 /* vpaddd */, X86::VPADDDZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 14351 /* vpaddd */, X86::VPADDDZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 14351 /* vpaddd */, X86::VPADDDZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 14351 /* vpaddd */, X86::VPADDDZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 14351 /* vpaddd */, X86::VPADDDZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 14351 /* vpaddd */, X86::VPADDDZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 14351 /* vpaddd */, X86::VPADDDZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 14351 /* vpaddd */, X86::VPADDDZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 14351 /* vpaddd */, X86::VPADDDZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 14351 /* vpaddd */, X86::VPADDDZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 14351 /* vpaddd */, X86::VPADDDZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 14351 /* vpaddd */, X86::VPADDDZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 14351 /* vpaddd */, X86::VPADDDZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 14351 /* vpaddd */, X86::VPADDDZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 14351 /* vpaddd */, X86::VPADDDZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 14351 /* vpaddd */, X86::VPADDDZ128rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 14351 /* vpaddd */, X86::VPADDDZ256rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 14351 /* vpaddd */, X86::VPADDDZrmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 14358 /* vpaddq */, X86::VPADDQrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 14358 /* vpaddq */, X86::VPADDQrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 14358 /* vpaddq */, X86::VPADDQYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 14358 /* vpaddq */, X86::VPADDQYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 14358 /* vpaddq */, X86::VPADDQZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 14358 /* vpaddq */, X86::VPADDQZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 14358 /* vpaddq */, X86::VPADDQZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 14358 /* vpaddq */, X86::VPADDQZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 14358 /* vpaddq */, X86::VPADDQZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 14358 /* vpaddq */, X86::VPADDQZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 14358 /* vpaddq */, X86::VPADDQZ128rmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 14358 /* vpaddq */, X86::VPADDQZ256rmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 14358 /* vpaddq */, X86::VPADDQZrmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 14358 /* vpaddq */, X86::VPADDQZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 14358 /* vpaddq */, X86::VPADDQZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 14358 /* vpaddq */, X86::VPADDQZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 14358 /* vpaddq */, X86::VPADDQZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 14358 /* vpaddq */, X86::VPADDQZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 14358 /* vpaddq */, X86::VPADDQZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 14358 /* vpaddq */, X86::VPADDQZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 14358 /* vpaddq */, X86::VPADDQZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 14358 /* vpaddq */, X86::VPADDQZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 14358 /* vpaddq */, X86::VPADDQZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 14358 /* vpaddq */, X86::VPADDQZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 14358 /* vpaddq */, X86::VPADDQZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 14358 /* vpaddq */, X86::VPADDQZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 14358 /* vpaddq */, X86::VPADDQZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 14358 /* vpaddq */, X86::VPADDQZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 14358 /* vpaddq */, X86::VPADDQZ128rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 14358 /* vpaddq */, X86::VPADDQZ256rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 14358 /* vpaddq */, X86::VPADDQZrmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 14365 /* vpaddsb */, X86::VPADDSBrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 14365 /* vpaddsb */, X86::VPADDSBrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 14365 /* vpaddsb */, X86::VPADDSBYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 14365 /* vpaddsb */, X86::VPADDSBYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 14365 /* vpaddsb */, X86::VPADDSBZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 14365 /* vpaddsb */, X86::VPADDSBZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 14365 /* vpaddsb */, X86::VPADDSBZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 14365 /* vpaddsb */, X86::VPADDSBZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 14365 /* vpaddsb */, X86::VPADDSBZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 14365 /* vpaddsb */, X86::VPADDSBZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 14365 /* vpaddsb */, X86::VPADDSBZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 14365 /* vpaddsb */, X86::VPADDSBZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 14365 /* vpaddsb */, X86::VPADDSBZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 14365 /* vpaddsb */, X86::VPADDSBZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 14365 /* vpaddsb */, X86::VPADDSBZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 14365 /* vpaddsb */, X86::VPADDSBZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 14365 /* vpaddsb */, X86::VPADDSBZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 14365 /* vpaddsb */, X86::VPADDSBZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 14365 /* vpaddsb */, X86::VPADDSBZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 14365 /* vpaddsb */, X86::VPADDSBZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 14365 /* vpaddsb */, X86::VPADDSBZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 14365 /* vpaddsb */, X86::VPADDSBZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 14373 /* vpaddsw */, X86::VPADDSWrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 14373 /* vpaddsw */, X86::VPADDSWrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 14373 /* vpaddsw */, X86::VPADDSWYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 14373 /* vpaddsw */, X86::VPADDSWYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 14373 /* vpaddsw */, X86::VPADDSWZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 14373 /* vpaddsw */, X86::VPADDSWZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 14373 /* vpaddsw */, X86::VPADDSWZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 14373 /* vpaddsw */, X86::VPADDSWZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 14373 /* vpaddsw */, X86::VPADDSWZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 14373 /* vpaddsw */, X86::VPADDSWZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 14373 /* vpaddsw */, X86::VPADDSWZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 14373 /* vpaddsw */, X86::VPADDSWZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 14373 /* vpaddsw */, X86::VPADDSWZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 14373 /* vpaddsw */, X86::VPADDSWZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 14373 /* vpaddsw */, X86::VPADDSWZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 14373 /* vpaddsw */, X86::VPADDSWZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 14373 /* vpaddsw */, X86::VPADDSWZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 14373 /* vpaddsw */, X86::VPADDSWZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 14373 /* vpaddsw */, X86::VPADDSWZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 14373 /* vpaddsw */, X86::VPADDSWZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 14373 /* vpaddsw */, X86::VPADDSWZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 14373 /* vpaddsw */, X86::VPADDSWZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 14381 /* vpaddusb */, X86::VPADDUSBrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 14381 /* vpaddusb */, X86::VPADDUSBrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 14381 /* vpaddusb */, X86::VPADDUSBYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 14381 /* vpaddusb */, X86::VPADDUSBYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 14381 /* vpaddusb */, X86::VPADDUSBZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 14381 /* vpaddusb */, X86::VPADDUSBZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 14381 /* vpaddusb */, X86::VPADDUSBZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 14381 /* vpaddusb */, X86::VPADDUSBZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 14381 /* vpaddusb */, X86::VPADDUSBZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 14381 /* vpaddusb */, X86::VPADDUSBZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 14381 /* vpaddusb */, X86::VPADDUSBZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 14381 /* vpaddusb */, X86::VPADDUSBZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 14381 /* vpaddusb */, X86::VPADDUSBZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 14381 /* vpaddusb */, X86::VPADDUSBZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 14381 /* vpaddusb */, X86::VPADDUSBZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 14381 /* vpaddusb */, X86::VPADDUSBZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 14381 /* vpaddusb */, X86::VPADDUSBZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 14381 /* vpaddusb */, X86::VPADDUSBZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 14381 /* vpaddusb */, X86::VPADDUSBZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 14381 /* vpaddusb */, X86::VPADDUSBZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 14381 /* vpaddusb */, X86::VPADDUSBZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 14381 /* vpaddusb */, X86::VPADDUSBZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 14390 /* vpaddusw */, X86::VPADDUSWrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 14390 /* vpaddusw */, X86::VPADDUSWrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 14390 /* vpaddusw */, X86::VPADDUSWYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 14390 /* vpaddusw */, X86::VPADDUSWYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 14390 /* vpaddusw */, X86::VPADDUSWZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 14390 /* vpaddusw */, X86::VPADDUSWZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 14390 /* vpaddusw */, X86::VPADDUSWZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 14390 /* vpaddusw */, X86::VPADDUSWZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 14390 /* vpaddusw */, X86::VPADDUSWZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 14390 /* vpaddusw */, X86::VPADDUSWZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 14390 /* vpaddusw */, X86::VPADDUSWZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 14390 /* vpaddusw */, X86::VPADDUSWZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 14390 /* vpaddusw */, X86::VPADDUSWZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 14390 /* vpaddusw */, X86::VPADDUSWZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 14390 /* vpaddusw */, X86::VPADDUSWZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 14390 /* vpaddusw */, X86::VPADDUSWZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 14390 /* vpaddusw */, X86::VPADDUSWZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 14390 /* vpaddusw */, X86::VPADDUSWZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 14390 /* vpaddusw */, X86::VPADDUSWZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 14390 /* vpaddusw */, X86::VPADDUSWZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 14390 /* vpaddusw */, X86::VPADDUSWZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 14390 /* vpaddusw */, X86::VPADDUSWZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 14399 /* vpaddw */, X86::VPADDWrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 14399 /* vpaddw */, X86::VPADDWrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 14399 /* vpaddw */, X86::VPADDWYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 14399 /* vpaddw */, X86::VPADDWYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 14399 /* vpaddw */, X86::VPADDWZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 14399 /* vpaddw */, X86::VPADDWZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 14399 /* vpaddw */, X86::VPADDWZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 14399 /* vpaddw */, X86::VPADDWZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 14399 /* vpaddw */, X86::VPADDWZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 14399 /* vpaddw */, X86::VPADDWZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 14399 /* vpaddw */, X86::VPADDWZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 14399 /* vpaddw */, X86::VPADDWZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 14399 /* vpaddw */, X86::VPADDWZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 14399 /* vpaddw */, X86::VPADDWZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 14399 /* vpaddw */, X86::VPADDWZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 14399 /* vpaddw */, X86::VPADDWZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 14399 /* vpaddw */, X86::VPADDWZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 14399 /* vpaddw */, X86::VPADDWZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 14399 /* vpaddw */, X86::VPADDWZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 14399 /* vpaddw */, X86::VPADDWZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 14399 /* vpaddw */, X86::VPADDWZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 14399 /* vpaddw */, X86::VPADDWZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 14406 /* vpalignr */, X86::VPALIGNRrri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 14406 /* vpalignr */, X86::VPALIGNRrmi, Convert__Reg1_0__Reg1_1__Mem1285_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 14406 /* vpalignr */, X86::VPALIGNRYrri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256, MCK_ImmUnsignedi8 }, },
{ 14406 /* vpalignr */, X86::VPALIGNRYrmi, Convert__Reg1_0__Reg1_1__Mem2565_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 14406 /* vpalignr */, X86::VPALIGNRZ128rri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 14406 /* vpalignr */, X86::VPALIGNRZ128rmi, Convert__Reg1_0__Reg1_1__Mem1285_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 14406 /* vpalignr */, X86::VPALIGNRZ256rri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 14406 /* vpalignr */, X86::VPALIGNRZ256rmi, Convert__Reg1_0__Reg1_1__Mem2565_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 14406 /* vpalignr */, X86::VPALIGNRZrri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 14406 /* vpalignr */, X86::VPALIGNRZrmi, Convert__Reg1_0__Reg1_1__Mem5125_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 14406 /* vpalignr */, X86::VPALIGNRZ128rrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 14406 /* vpalignr */, X86::VPALIGNRZ128rmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 14406 /* vpalignr */, X86::VPALIGNRZ256rrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 14406 /* vpalignr */, X86::VPALIGNRZ256rmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 14406 /* vpalignr */, X86::VPALIGNRZrrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 14406 /* vpalignr */, X86::VPALIGNRZrmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 14406 /* vpalignr */, X86::VPALIGNRZ128rrikz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 14406 /* vpalignr */, X86::VPALIGNRZ128rmikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 14406 /* vpalignr */, X86::VPALIGNRZ256rrikz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 14406 /* vpalignr */, X86::VPALIGNRZ256rmikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 14406 /* vpalignr */, X86::VPALIGNRZrrikz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 14406 /* vpalignr */, X86::VPALIGNRZrmikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 14415 /* vpand */, X86::VPANDrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 14415 /* vpand */, X86::VPANDrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 14415 /* vpand */, X86::VPANDYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 14415 /* vpand */, X86::VPANDYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 14421 /* vpandd */, X86::VPANDDZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 14421 /* vpandd */, X86::VPANDDZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 14421 /* vpandd */, X86::VPANDDZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 14421 /* vpandd */, X86::VPANDDZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 14421 /* vpandd */, X86::VPANDDZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 14421 /* vpandd */, X86::VPANDDZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 14421 /* vpandd */, X86::VPANDDZ128rmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 14421 /* vpandd */, X86::VPANDDZ256rmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 14421 /* vpandd */, X86::VPANDDZrmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 14421 /* vpandd */, X86::VPANDDZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 14421 /* vpandd */, X86::VPANDDZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 14421 /* vpandd */, X86::VPANDDZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 14421 /* vpandd */, X86::VPANDDZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 14421 /* vpandd */, X86::VPANDDZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 14421 /* vpandd */, X86::VPANDDZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 14421 /* vpandd */, X86::VPANDDZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 14421 /* vpandd */, X86::VPANDDZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 14421 /* vpandd */, X86::VPANDDZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 14421 /* vpandd */, X86::VPANDDZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 14421 /* vpandd */, X86::VPANDDZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 14421 /* vpandd */, X86::VPANDDZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 14421 /* vpandd */, X86::VPANDDZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 14421 /* vpandd */, X86::VPANDDZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 14421 /* vpandd */, X86::VPANDDZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 14421 /* vpandd */, X86::VPANDDZ128rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 14421 /* vpandd */, X86::VPANDDZ256rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 14421 /* vpandd */, X86::VPANDDZrmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 14428 /* vpandn */, X86::VPANDNrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 14428 /* vpandn */, X86::VPANDNrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 14428 /* vpandn */, X86::VPANDNYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 14428 /* vpandn */, X86::VPANDNYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 14435 /* vpandnd */, X86::VPANDNDZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 14435 /* vpandnd */, X86::VPANDNDZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 14435 /* vpandnd */, X86::VPANDNDZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 14435 /* vpandnd */, X86::VPANDNDZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 14435 /* vpandnd */, X86::VPANDNDZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 14435 /* vpandnd */, X86::VPANDNDZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 14435 /* vpandnd */, X86::VPANDNDZ128rmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 14435 /* vpandnd */, X86::VPANDNDZ256rmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 14435 /* vpandnd */, X86::VPANDNDZrmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 14435 /* vpandnd */, X86::VPANDNDZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 14435 /* vpandnd */, X86::VPANDNDZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 14435 /* vpandnd */, X86::VPANDNDZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 14435 /* vpandnd */, X86::VPANDNDZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 14435 /* vpandnd */, X86::VPANDNDZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 14435 /* vpandnd */, X86::VPANDNDZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 14435 /* vpandnd */, X86::VPANDNDZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 14435 /* vpandnd */, X86::VPANDNDZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 14435 /* vpandnd */, X86::VPANDNDZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 14435 /* vpandnd */, X86::VPANDNDZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 14435 /* vpandnd */, X86::VPANDNDZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 14435 /* vpandnd */, X86::VPANDNDZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 14435 /* vpandnd */, X86::VPANDNDZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 14435 /* vpandnd */, X86::VPANDNDZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 14435 /* vpandnd */, X86::VPANDNDZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 14435 /* vpandnd */, X86::VPANDNDZ128rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 14435 /* vpandnd */, X86::VPANDNDZ256rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 14435 /* vpandnd */, X86::VPANDNDZrmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 14443 /* vpandnq */, X86::VPANDNQZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 14443 /* vpandnq */, X86::VPANDNQZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 14443 /* vpandnq */, X86::VPANDNQZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 14443 /* vpandnq */, X86::VPANDNQZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 14443 /* vpandnq */, X86::VPANDNQZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 14443 /* vpandnq */, X86::VPANDNQZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 14443 /* vpandnq */, X86::VPANDNQZ128rmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 14443 /* vpandnq */, X86::VPANDNQZ256rmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 14443 /* vpandnq */, X86::VPANDNQZrmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 14443 /* vpandnq */, X86::VPANDNQZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 14443 /* vpandnq */, X86::VPANDNQZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 14443 /* vpandnq */, X86::VPANDNQZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 14443 /* vpandnq */, X86::VPANDNQZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 14443 /* vpandnq */, X86::VPANDNQZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 14443 /* vpandnq */, X86::VPANDNQZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 14443 /* vpandnq */, X86::VPANDNQZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 14443 /* vpandnq */, X86::VPANDNQZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 14443 /* vpandnq */, X86::VPANDNQZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 14443 /* vpandnq */, X86::VPANDNQZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 14443 /* vpandnq */, X86::VPANDNQZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 14443 /* vpandnq */, X86::VPANDNQZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 14443 /* vpandnq */, X86::VPANDNQZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 14443 /* vpandnq */, X86::VPANDNQZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 14443 /* vpandnq */, X86::VPANDNQZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 14443 /* vpandnq */, X86::VPANDNQZ128rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 14443 /* vpandnq */, X86::VPANDNQZ256rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 14443 /* vpandnq */, X86::VPANDNQZrmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 14451 /* vpandq */, X86::VPANDQZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 14451 /* vpandq */, X86::VPANDQZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 14451 /* vpandq */, X86::VPANDQZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 14451 /* vpandq */, X86::VPANDQZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 14451 /* vpandq */, X86::VPANDQZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 14451 /* vpandq */, X86::VPANDQZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 14451 /* vpandq */, X86::VPANDQZ128rmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 14451 /* vpandq */, X86::VPANDQZ256rmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 14451 /* vpandq */, X86::VPANDQZrmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 14451 /* vpandq */, X86::VPANDQZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 14451 /* vpandq */, X86::VPANDQZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 14451 /* vpandq */, X86::VPANDQZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 14451 /* vpandq */, X86::VPANDQZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 14451 /* vpandq */, X86::VPANDQZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 14451 /* vpandq */, X86::VPANDQZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 14451 /* vpandq */, X86::VPANDQZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 14451 /* vpandq */, X86::VPANDQZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 14451 /* vpandq */, X86::VPANDQZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 14451 /* vpandq */, X86::VPANDQZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 14451 /* vpandq */, X86::VPANDQZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 14451 /* vpandq */, X86::VPANDQZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 14451 /* vpandq */, X86::VPANDQZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 14451 /* vpandq */, X86::VPANDQZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 14451 /* vpandq */, X86::VPANDQZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 14451 /* vpandq */, X86::VPANDQZ128rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 14451 /* vpandq */, X86::VPANDQZ256rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 14451 /* vpandq */, X86::VPANDQZrmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 14458 /* vpavgb */, X86::VPAVGBrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 14458 /* vpavgb */, X86::VPAVGBrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 14458 /* vpavgb */, X86::VPAVGBYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 14458 /* vpavgb */, X86::VPAVGBYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 14458 /* vpavgb */, X86::VPAVGBZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 14458 /* vpavgb */, X86::VPAVGBZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 14458 /* vpavgb */, X86::VPAVGBZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 14458 /* vpavgb */, X86::VPAVGBZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 14458 /* vpavgb */, X86::VPAVGBZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 14458 /* vpavgb */, X86::VPAVGBZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 14458 /* vpavgb */, X86::VPAVGBZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 14458 /* vpavgb */, X86::VPAVGBZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 14458 /* vpavgb */, X86::VPAVGBZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 14458 /* vpavgb */, X86::VPAVGBZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 14458 /* vpavgb */, X86::VPAVGBZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 14458 /* vpavgb */, X86::VPAVGBZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 14458 /* vpavgb */, X86::VPAVGBZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 14458 /* vpavgb */, X86::VPAVGBZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 14458 /* vpavgb */, X86::VPAVGBZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 14458 /* vpavgb */, X86::VPAVGBZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 14458 /* vpavgb */, X86::VPAVGBZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 14458 /* vpavgb */, X86::VPAVGBZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 14465 /* vpavgw */, X86::VPAVGWrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 14465 /* vpavgw */, X86::VPAVGWrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 14465 /* vpavgw */, X86::VPAVGWYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 14465 /* vpavgw */, X86::VPAVGWYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 14465 /* vpavgw */, X86::VPAVGWZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 14465 /* vpavgw */, X86::VPAVGWZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 14465 /* vpavgw */, X86::VPAVGWZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 14465 /* vpavgw */, X86::VPAVGWZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 14465 /* vpavgw */, X86::VPAVGWZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 14465 /* vpavgw */, X86::VPAVGWZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 14465 /* vpavgw */, X86::VPAVGWZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 14465 /* vpavgw */, X86::VPAVGWZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 14465 /* vpavgw */, X86::VPAVGWZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 14465 /* vpavgw */, X86::VPAVGWZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 14465 /* vpavgw */, X86::VPAVGWZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 14465 /* vpavgw */, X86::VPAVGWZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 14465 /* vpavgw */, X86::VPAVGWZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 14465 /* vpavgw */, X86::VPAVGWZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 14465 /* vpavgw */, X86::VPAVGWZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 14465 /* vpavgw */, X86::VPAVGWZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 14465 /* vpavgw */, X86::VPAVGWZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 14465 /* vpavgw */, X86::VPAVGWZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 14472 /* vpblendd */, X86::VPBLENDDrri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 14472 /* vpblendd */, X86::VPBLENDDrmi, Convert__Reg1_0__Reg1_1__Mem1285_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 14472 /* vpblendd */, X86::VPBLENDDYrri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256, MCK_ImmUnsignedi8 }, },
{ 14472 /* vpblendd */, X86::VPBLENDDYrmi, Convert__Reg1_0__Reg1_1__Mem2565_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 14481 /* vpblendmb */, X86::VPBLENDMBZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 14481 /* vpblendmb */, X86::VPBLENDMBZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 14481 /* vpblendmb */, X86::VPBLENDMBZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 14481 /* vpblendmb */, X86::VPBLENDMBZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 14481 /* vpblendmb */, X86::VPBLENDMBZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 14481 /* vpblendmb */, X86::VPBLENDMBZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 14481 /* vpblendmb */, X86::VPBLENDMBZ128rrk, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 14481 /* vpblendmb */, X86::VPBLENDMBZ128rmk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 14481 /* vpblendmb */, X86::VPBLENDMBZ256rrk, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 14481 /* vpblendmb */, X86::VPBLENDMBZ256rmk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 14481 /* vpblendmb */, X86::VPBLENDMBZrrk, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 14481 /* vpblendmb */, X86::VPBLENDMBZrmk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 14481 /* vpblendmb */, X86::VPBLENDMBZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 14481 /* vpblendmb */, X86::VPBLENDMBZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 14481 /* vpblendmb */, X86::VPBLENDMBZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 14481 /* vpblendmb */, X86::VPBLENDMBZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 14481 /* vpblendmb */, X86::VPBLENDMBZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 14481 /* vpblendmb */, X86::VPBLENDMBZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 14491 /* vpblendmd */, X86::VPBLENDMDZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 14491 /* vpblendmd */, X86::VPBLENDMDZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 14491 /* vpblendmd */, X86::VPBLENDMDZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 14491 /* vpblendmd */, X86::VPBLENDMDZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 14491 /* vpblendmd */, X86::VPBLENDMDZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 14491 /* vpblendmd */, X86::VPBLENDMDZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 14491 /* vpblendmd */, X86::VPBLENDMDZ128rmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 14491 /* vpblendmd */, X86::VPBLENDMDZ256rmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 14491 /* vpblendmd */, X86::VPBLENDMDZrmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 14491 /* vpblendmd */, X86::VPBLENDMDZ128rrk, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 14491 /* vpblendmd */, X86::VPBLENDMDZ128rmk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 14491 /* vpblendmd */, X86::VPBLENDMDZ256rrk, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 14491 /* vpblendmd */, X86::VPBLENDMDZ256rmk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 14491 /* vpblendmd */, X86::VPBLENDMDZrrk, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 14491 /* vpblendmd */, X86::VPBLENDMDZrmk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 14491 /* vpblendmd */, X86::VPBLENDMDZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 14491 /* vpblendmd */, X86::VPBLENDMDZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 14491 /* vpblendmd */, X86::VPBLENDMDZ128rmbk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 14491 /* vpblendmd */, X86::VPBLENDMDZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 14491 /* vpblendmd */, X86::VPBLENDMDZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 14491 /* vpblendmd */, X86::VPBLENDMDZ256rmbk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 14491 /* vpblendmd */, X86::VPBLENDMDZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 14491 /* vpblendmd */, X86::VPBLENDMDZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 14491 /* vpblendmd */, X86::VPBLENDMDZrmbk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 14491 /* vpblendmd */, X86::VPBLENDMDZ128rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 14491 /* vpblendmd */, X86::VPBLENDMDZ256rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 14491 /* vpblendmd */, X86::VPBLENDMDZrmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 14501 /* vpblendmq */, X86::VPBLENDMQZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 14501 /* vpblendmq */, X86::VPBLENDMQZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 14501 /* vpblendmq */, X86::VPBLENDMQZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 14501 /* vpblendmq */, X86::VPBLENDMQZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 14501 /* vpblendmq */, X86::VPBLENDMQZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 14501 /* vpblendmq */, X86::VPBLENDMQZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 14501 /* vpblendmq */, X86::VPBLENDMQZ128rmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 14501 /* vpblendmq */, X86::VPBLENDMQZ256rmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 14501 /* vpblendmq */, X86::VPBLENDMQZrmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 14501 /* vpblendmq */, X86::VPBLENDMQZ128rrk, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 14501 /* vpblendmq */, X86::VPBLENDMQZ128rmk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 14501 /* vpblendmq */, X86::VPBLENDMQZ256rrk, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 14501 /* vpblendmq */, X86::VPBLENDMQZ256rmk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 14501 /* vpblendmq */, X86::VPBLENDMQZrrk, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 14501 /* vpblendmq */, X86::VPBLENDMQZrmk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 14501 /* vpblendmq */, X86::VPBLENDMQZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 14501 /* vpblendmq */, X86::VPBLENDMQZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 14501 /* vpblendmq */, X86::VPBLENDMQZ128rmbk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 14501 /* vpblendmq */, X86::VPBLENDMQZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 14501 /* vpblendmq */, X86::VPBLENDMQZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 14501 /* vpblendmq */, X86::VPBLENDMQZ256rmbk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 14501 /* vpblendmq */, X86::VPBLENDMQZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 14501 /* vpblendmq */, X86::VPBLENDMQZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 14501 /* vpblendmq */, X86::VPBLENDMQZrmbk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 14501 /* vpblendmq */, X86::VPBLENDMQZ128rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 14501 /* vpblendmq */, X86::VPBLENDMQZ256rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 14501 /* vpblendmq */, X86::VPBLENDMQZrmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 14511 /* vpblendmw */, X86::VPBLENDMWZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 14511 /* vpblendmw */, X86::VPBLENDMWZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 14511 /* vpblendmw */, X86::VPBLENDMWZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 14511 /* vpblendmw */, X86::VPBLENDMWZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 14511 /* vpblendmw */, X86::VPBLENDMWZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 14511 /* vpblendmw */, X86::VPBLENDMWZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 14511 /* vpblendmw */, X86::VPBLENDMWZ128rrk, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 14511 /* vpblendmw */, X86::VPBLENDMWZ128rmk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 14511 /* vpblendmw */, X86::VPBLENDMWZ256rrk, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 14511 /* vpblendmw */, X86::VPBLENDMWZ256rmk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 14511 /* vpblendmw */, X86::VPBLENDMWZrrk, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 14511 /* vpblendmw */, X86::VPBLENDMWZrmk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 14511 /* vpblendmw */, X86::VPBLENDMWZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 14511 /* vpblendmw */, X86::VPBLENDMWZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 14511 /* vpblendmw */, X86::VPBLENDMWZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 14511 /* vpblendmw */, X86::VPBLENDMWZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 14511 /* vpblendmw */, X86::VPBLENDMWZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 14511 /* vpblendmw */, X86::VPBLENDMWZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 14521 /* vpblendvb */, X86::VPBLENDVBrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 14521 /* vpblendvb */, X86::VPBLENDVBrm, Convert__Reg1_0__Reg1_1__Mem1285_2__Reg1_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128, MCK_FR16 }, },
{ 14521 /* vpblendvb */, X86::VPBLENDVBYrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 14521 /* vpblendvb */, X86::VPBLENDVBYrm, Convert__Reg1_0__Reg1_1__Mem2565_2__Reg1_3, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256, MCK_VR256 }, },
{ 14531 /* vpblendw */, X86::VPBLENDWrri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 14531 /* vpblendw */, X86::VPBLENDWrmi, Convert__Reg1_0__Reg1_1__Mem1285_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 14531 /* vpblendw */, X86::VPBLENDWYrri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256, MCK_ImmUnsignedi8 }, },
{ 14531 /* vpblendw */, X86::VPBLENDWYrmi, Convert__Reg1_0__Reg1_1__Mem2565_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 14540 /* vpbroadcastb */, X86::VPBROADCASTBrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 14540 /* vpbroadcastb */, X86::VPBROADCASTBrm, Convert__Reg1_0__Mem85_1, AMFBS_None, { MCK_FR16, MCK_Mem8 }, },
{ 14540 /* vpbroadcastb */, X86::VPBROADCASTBYrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256, MCK_FR16 }, },
{ 14540 /* vpbroadcastb */, X86::VPBROADCASTBYrm, Convert__Reg1_0__Mem85_1, AMFBS_None, { MCK_VR256, MCK_Mem8 }, },
{ 14540 /* vpbroadcastb */, X86::VPBROADCASTBrZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_GR32 }, },
{ 14540 /* vpbroadcastb */, X86::VPBROADCASTBZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 14540 /* vpbroadcastb */, X86::VPBROADCASTBZ128rm, Convert__Reg1_0__Mem85_1, AMFBS_None, { MCK_FR16X, MCK_Mem8 }, },
{ 14540 /* vpbroadcastb */, X86::VPBROADCASTBrZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_GR32 }, },
{ 14540 /* vpbroadcastb */, X86::VPBROADCASTBZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_FR16X }, },
{ 14540 /* vpbroadcastb */, X86::VPBROADCASTBZ256rm, Convert__Reg1_0__Mem85_1, AMFBS_None, { MCK_VR256X, MCK_Mem8 }, },
{ 14540 /* vpbroadcastb */, X86::VPBROADCASTBrZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_GR32 }, },
{ 14540 /* vpbroadcastb */, X86::VPBROADCASTBZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_FR16X }, },
{ 14540 /* vpbroadcastb */, X86::VPBROADCASTBZrm, Convert__Reg1_0__Mem85_1, AMFBS_None, { MCK_VR512, MCK_Mem8 }, },
{ 14540 /* vpbroadcastb */, X86::VPBROADCASTBrZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_GR32 }, },
{ 14540 /* vpbroadcastb */, X86::VPBROADCASTBZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 14540 /* vpbroadcastb */, X86::VPBROADCASTBZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem85_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem8 }, },
{ 14540 /* vpbroadcastb */, X86::VPBROADCASTBrZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_GR32 }, },
{ 14540 /* vpbroadcastb */, X86::VPBROADCASTBZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 14540 /* vpbroadcastb */, X86::VPBROADCASTBZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem85_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem8 }, },
{ 14540 /* vpbroadcastb */, X86::VPBROADCASTBrZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_GR32 }, },
{ 14540 /* vpbroadcastb */, X86::VPBROADCASTBZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 14540 /* vpbroadcastb */, X86::VPBROADCASTBZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem85_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem8 }, },
{ 14540 /* vpbroadcastb */, X86::VPBROADCASTBrZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_GR32 }, },
{ 14540 /* vpbroadcastb */, X86::VPBROADCASTBZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 14540 /* vpbroadcastb */, X86::VPBROADCASTBZ128rmkz, Convert__Reg1_0__Reg1_2__Mem85_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem8 }, },
{ 14540 /* vpbroadcastb */, X86::VPBROADCASTBrZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_GR32 }, },
{ 14540 /* vpbroadcastb */, X86::VPBROADCASTBZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 14540 /* vpbroadcastb */, X86::VPBROADCASTBZ256rmkz, Convert__Reg1_0__Reg1_2__Mem85_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem8 }, },
{ 14540 /* vpbroadcastb */, X86::VPBROADCASTBrZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_GR32 }, },
{ 14540 /* vpbroadcastb */, X86::VPBROADCASTBZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 14540 /* vpbroadcastb */, X86::VPBROADCASTBZrmkz, Convert__Reg1_0__Reg1_2__Mem85_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem8 }, },
{ 14553 /* vpbroadcastd */, X86::VPBROADCASTDrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 14553 /* vpbroadcastd */, X86::VPBROADCASTDrm, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_FR16, MCK_Mem32 }, },
{ 14553 /* vpbroadcastd */, X86::VPBROADCASTDYrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256, MCK_FR16 }, },
{ 14553 /* vpbroadcastd */, X86::VPBROADCASTDYrm, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_VR256, MCK_Mem32 }, },
{ 14553 /* vpbroadcastd */, X86::VPBROADCASTDrZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_GR32 }, },
{ 14553 /* vpbroadcastd */, X86::VPBROADCASTDZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 14553 /* vpbroadcastd */, X86::VPBROADCASTDZ128rm, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_FR16X, MCK_Mem32 }, },
{ 14553 /* vpbroadcastd */, X86::VPBROADCASTDrZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_GR32 }, },
{ 14553 /* vpbroadcastd */, X86::VPBROADCASTDZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_FR16X }, },
{ 14553 /* vpbroadcastd */, X86::VPBROADCASTDZ256rm, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_VR256X, MCK_Mem32 }, },
{ 14553 /* vpbroadcastd */, X86::VPBROADCASTDrZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_GR32 }, },
{ 14553 /* vpbroadcastd */, X86::VPBROADCASTDZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_FR16X }, },
{ 14553 /* vpbroadcastd */, X86::VPBROADCASTDZrm, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_VR512, MCK_Mem32 }, },
{ 14553 /* vpbroadcastd */, X86::VPBROADCASTDrZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_GR32 }, },
{ 14553 /* vpbroadcastd */, X86::VPBROADCASTDZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 14553 /* vpbroadcastd */, X86::VPBROADCASTDZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32 }, },
{ 14553 /* vpbroadcastd */, X86::VPBROADCASTDrZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_GR32 }, },
{ 14553 /* vpbroadcastd */, X86::VPBROADCASTDZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 14553 /* vpbroadcastd */, X86::VPBROADCASTDZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32 }, },
{ 14553 /* vpbroadcastd */, X86::VPBROADCASTDrZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_GR32 }, },
{ 14553 /* vpbroadcastd */, X86::VPBROADCASTDZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 14553 /* vpbroadcastd */, X86::VPBROADCASTDZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32 }, },
{ 14553 /* vpbroadcastd */, X86::VPBROADCASTDrZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_GR32 }, },
{ 14553 /* vpbroadcastd */, X86::VPBROADCASTDZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 14553 /* vpbroadcastd */, X86::VPBROADCASTDZ128rmkz, Convert__Reg1_0__Reg1_2__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32 }, },
{ 14553 /* vpbroadcastd */, X86::VPBROADCASTDrZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_GR32 }, },
{ 14553 /* vpbroadcastd */, X86::VPBROADCASTDZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 14553 /* vpbroadcastd */, X86::VPBROADCASTDZ256rmkz, Convert__Reg1_0__Reg1_2__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32 }, },
{ 14553 /* vpbroadcastd */, X86::VPBROADCASTDrZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_GR32 }, },
{ 14553 /* vpbroadcastd */, X86::VPBROADCASTDZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 14553 /* vpbroadcastd */, X86::VPBROADCASTDZrmkz, Convert__Reg1_0__Reg1_2__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32 }, },
{ 14566 /* vpbroadcastmb2q */, X86::VPBROADCASTMB2QZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_VK1 }, },
{ 14566 /* vpbroadcastmb2q */, X86::VPBROADCASTMB2QZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VK1 }, },
{ 14566 /* vpbroadcastmb2q */, X86::VPBROADCASTMB2QZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VK1 }, },
{ 14582 /* vpbroadcastmw2d */, X86::VPBROADCASTMW2DZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_VK1 }, },
{ 14582 /* vpbroadcastmw2d */, X86::VPBROADCASTMW2DZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VK1 }, },
{ 14582 /* vpbroadcastmw2d */, X86::VPBROADCASTMW2DZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VK1 }, },
{ 14598 /* vpbroadcastq */, X86::VPBROADCASTQrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 14598 /* vpbroadcastq */, X86::VPBROADCASTQrm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_FR16, MCK_Mem64 }, },
{ 14598 /* vpbroadcastq */, X86::VPBROADCASTQYrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256, MCK_FR16 }, },
{ 14598 /* vpbroadcastq */, X86::VPBROADCASTQYrm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_VR256, MCK_Mem64 }, },
{ 14598 /* vpbroadcastq */, X86::VPBROADCASTQrZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_GR64 }, },
{ 14598 /* vpbroadcastq */, X86::VPBROADCASTQZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 14598 /* vpbroadcastq */, X86::VPBROADCASTQZ128rm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_FR16X, MCK_Mem64 }, },
{ 14598 /* vpbroadcastq */, X86::VPBROADCASTQrZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_GR64 }, },
{ 14598 /* vpbroadcastq */, X86::VPBROADCASTQZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_FR16X }, },
{ 14598 /* vpbroadcastq */, X86::VPBROADCASTQZ256rm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_VR256X, MCK_Mem64 }, },
{ 14598 /* vpbroadcastq */, X86::VPBROADCASTQrZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_GR64 }, },
{ 14598 /* vpbroadcastq */, X86::VPBROADCASTQZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_FR16X }, },
{ 14598 /* vpbroadcastq */, X86::VPBROADCASTQZrm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_VR512, MCK_Mem64 }, },
{ 14598 /* vpbroadcastq */, X86::VPBROADCASTQrZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_GR64 }, },
{ 14598 /* vpbroadcastq */, X86::VPBROADCASTQZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 14598 /* vpbroadcastq */, X86::VPBROADCASTQZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64 }, },
{ 14598 /* vpbroadcastq */, X86::VPBROADCASTQrZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_GR64 }, },
{ 14598 /* vpbroadcastq */, X86::VPBROADCASTQZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 14598 /* vpbroadcastq */, X86::VPBROADCASTQZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64 }, },
{ 14598 /* vpbroadcastq */, X86::VPBROADCASTQrZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_GR64 }, },
{ 14598 /* vpbroadcastq */, X86::VPBROADCASTQZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 14598 /* vpbroadcastq */, X86::VPBROADCASTQZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64 }, },
{ 14598 /* vpbroadcastq */, X86::VPBROADCASTQrZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_GR64 }, },
{ 14598 /* vpbroadcastq */, X86::VPBROADCASTQZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 14598 /* vpbroadcastq */, X86::VPBROADCASTQZ128rmkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64 }, },
{ 14598 /* vpbroadcastq */, X86::VPBROADCASTQrZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_GR64 }, },
{ 14598 /* vpbroadcastq */, X86::VPBROADCASTQZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 14598 /* vpbroadcastq */, X86::VPBROADCASTQZ256rmkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64 }, },
{ 14598 /* vpbroadcastq */, X86::VPBROADCASTQrZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_GR64 }, },
{ 14598 /* vpbroadcastq */, X86::VPBROADCASTQZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 14598 /* vpbroadcastq */, X86::VPBROADCASTQZrmkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64 }, },
{ 14611 /* vpbroadcastw */, X86::VPBROADCASTWrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 14611 /* vpbroadcastw */, X86::VPBROADCASTWrm, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_FR16, MCK_Mem16 }, },
{ 14611 /* vpbroadcastw */, X86::VPBROADCASTWYrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256, MCK_FR16 }, },
{ 14611 /* vpbroadcastw */, X86::VPBROADCASTWYrm, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_VR256, MCK_Mem16 }, },
{ 14611 /* vpbroadcastw */, X86::VPBROADCASTWrZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_GR32 }, },
{ 14611 /* vpbroadcastw */, X86::VPBROADCASTWZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 14611 /* vpbroadcastw */, X86::VPBROADCASTWZ128rm, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_FR16X, MCK_Mem16 }, },
{ 14611 /* vpbroadcastw */, X86::VPBROADCASTWrZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_GR32 }, },
{ 14611 /* vpbroadcastw */, X86::VPBROADCASTWZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_FR16X }, },
{ 14611 /* vpbroadcastw */, X86::VPBROADCASTWZ256rm, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_VR256X, MCK_Mem16 }, },
{ 14611 /* vpbroadcastw */, X86::VPBROADCASTWrZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_GR32 }, },
{ 14611 /* vpbroadcastw */, X86::VPBROADCASTWZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_FR16X }, },
{ 14611 /* vpbroadcastw */, X86::VPBROADCASTWZrm, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_VR512, MCK_Mem16 }, },
{ 14611 /* vpbroadcastw */, X86::VPBROADCASTWrZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_GR32 }, },
{ 14611 /* vpbroadcastw */, X86::VPBROADCASTWZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 14611 /* vpbroadcastw */, X86::VPBROADCASTWZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem165_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem16 }, },
{ 14611 /* vpbroadcastw */, X86::VPBROADCASTWrZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_GR32 }, },
{ 14611 /* vpbroadcastw */, X86::VPBROADCASTWZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 14611 /* vpbroadcastw */, X86::VPBROADCASTWZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem165_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem16 }, },
{ 14611 /* vpbroadcastw */, X86::VPBROADCASTWrZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_GR32 }, },
{ 14611 /* vpbroadcastw */, X86::VPBROADCASTWZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 14611 /* vpbroadcastw */, X86::VPBROADCASTWZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem165_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem16 }, },
{ 14611 /* vpbroadcastw */, X86::VPBROADCASTWrZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_GR32 }, },
{ 14611 /* vpbroadcastw */, X86::VPBROADCASTWZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 14611 /* vpbroadcastw */, X86::VPBROADCASTWZ128rmkz, Convert__Reg1_0__Reg1_2__Mem165_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem16 }, },
{ 14611 /* vpbroadcastw */, X86::VPBROADCASTWrZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_GR32 }, },
{ 14611 /* vpbroadcastw */, X86::VPBROADCASTWZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 14611 /* vpbroadcastw */, X86::VPBROADCASTWZ256rmkz, Convert__Reg1_0__Reg1_2__Mem165_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem16 }, },
{ 14611 /* vpbroadcastw */, X86::VPBROADCASTWrZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_GR32 }, },
{ 14611 /* vpbroadcastw */, X86::VPBROADCASTWZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 14611 /* vpbroadcastw */, X86::VPBROADCASTWZrmkz, Convert__Reg1_0__Reg1_2__Mem165_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem16 }, },
{ 14624 /* vpclmulhqhqdq */, X86::VPCLMULQDQrr, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_17, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 14624 /* vpclmulhqhqdq */, X86::VPCLMULQDQrm, Convert__Reg1_0__Reg1_1__Mem1285_2__imm_95_17, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 14624 /* vpclmulhqhqdq */, X86::VPCLMULQDQYrr, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_17, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 14624 /* vpclmulhqhqdq */, X86::VPCLMULQDQYrm, Convert__Reg1_0__Reg1_1__Mem2565_2__imm_95_17, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 14624 /* vpclmulhqhqdq */, X86::VPCLMULQDQZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_17, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 14624 /* vpclmulhqhqdq */, X86::VPCLMULQDQZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2__imm_95_17, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 14624 /* vpclmulhqhqdq */, X86::VPCLMULQDQZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_17, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 14624 /* vpclmulhqhqdq */, X86::VPCLMULQDQZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2__imm_95_17, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 14624 /* vpclmulhqhqdq */, X86::VPCLMULQDQZrr, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_17, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 14624 /* vpclmulhqhqdq */, X86::VPCLMULQDQZrm, Convert__Reg1_0__Reg1_1__Mem5125_2__imm_95_17, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 14638 /* vpclmulhqlqdq */, X86::VPCLMULQDQrr, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_1, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 14638 /* vpclmulhqlqdq */, X86::VPCLMULQDQrm, Convert__Reg1_0__Reg1_1__Mem1285_2__imm_95_1, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 14638 /* vpclmulhqlqdq */, X86::VPCLMULQDQYrr, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_1, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 14638 /* vpclmulhqlqdq */, X86::VPCLMULQDQYrm, Convert__Reg1_0__Reg1_1__Mem2565_2__imm_95_1, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 14638 /* vpclmulhqlqdq */, X86::VPCLMULQDQZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_1, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 14638 /* vpclmulhqlqdq */, X86::VPCLMULQDQZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2__imm_95_1, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 14638 /* vpclmulhqlqdq */, X86::VPCLMULQDQZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_1, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 14638 /* vpclmulhqlqdq */, X86::VPCLMULQDQZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2__imm_95_1, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 14638 /* vpclmulhqlqdq */, X86::VPCLMULQDQZrr, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_1, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 14638 /* vpclmulhqlqdq */, X86::VPCLMULQDQZrm, Convert__Reg1_0__Reg1_1__Mem5125_2__imm_95_1, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 14652 /* vpclmullqhqdq */, X86::VPCLMULQDQrr, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_16, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 14652 /* vpclmullqhqdq */, X86::VPCLMULQDQrm, Convert__Reg1_0__Reg1_1__Mem1285_2__imm_95_16, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 14652 /* vpclmullqhqdq */, X86::VPCLMULQDQYrr, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_16, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 14652 /* vpclmullqhqdq */, X86::VPCLMULQDQYrm, Convert__Reg1_0__Reg1_1__Mem2565_2__imm_95_16, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 14652 /* vpclmullqhqdq */, X86::VPCLMULQDQZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_16, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 14652 /* vpclmullqhqdq */, X86::VPCLMULQDQZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2__imm_95_16, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 14652 /* vpclmullqhqdq */, X86::VPCLMULQDQZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_16, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 14652 /* vpclmullqhqdq */, X86::VPCLMULQDQZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2__imm_95_16, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 14652 /* vpclmullqhqdq */, X86::VPCLMULQDQZrr, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_16, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 14652 /* vpclmullqhqdq */, X86::VPCLMULQDQZrm, Convert__Reg1_0__Reg1_1__Mem5125_2__imm_95_16, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 14666 /* vpclmullqlqdq */, X86::VPCLMULQDQrr, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 14666 /* vpclmullqlqdq */, X86::VPCLMULQDQrm, Convert__Reg1_0__Reg1_1__Mem1285_2__imm_95_0, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 14666 /* vpclmullqlqdq */, X86::VPCLMULQDQYrr, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 14666 /* vpclmullqlqdq */, X86::VPCLMULQDQYrm, Convert__Reg1_0__Reg1_1__Mem2565_2__imm_95_0, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 14666 /* vpclmullqlqdq */, X86::VPCLMULQDQZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 14666 /* vpclmullqlqdq */, X86::VPCLMULQDQZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2__imm_95_0, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 14666 /* vpclmullqlqdq */, X86::VPCLMULQDQZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 14666 /* vpclmullqlqdq */, X86::VPCLMULQDQZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2__imm_95_0, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 14666 /* vpclmullqlqdq */, X86::VPCLMULQDQZrr, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 14666 /* vpclmullqlqdq */, X86::VPCLMULQDQZrm, Convert__Reg1_0__Reg1_1__Mem5125_2__imm_95_0, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 14680 /* vpclmulqdq */, X86::VPCLMULQDQrr, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 14680 /* vpclmulqdq */, X86::VPCLMULQDQrm, Convert__Reg1_0__Reg1_1__Mem1285_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 14680 /* vpclmulqdq */, X86::VPCLMULQDQYrr, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256, MCK_ImmUnsignedi8 }, },
{ 14680 /* vpclmulqdq */, X86::VPCLMULQDQYrm, Convert__Reg1_0__Reg1_1__Mem2565_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 14680 /* vpclmulqdq */, X86::VPCLMULQDQZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 14680 /* vpclmulqdq */, X86::VPCLMULQDQZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 14680 /* vpclmulqdq */, X86::VPCLMULQDQZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 14680 /* vpclmulqdq */, X86::VPCLMULQDQZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 14680 /* vpclmulqdq */, X86::VPCLMULQDQZrr, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 14680 /* vpclmulqdq */, X86::VPCLMULQDQZrm, Convert__Reg1_0__Reg1_1__Mem5125_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 14691 /* vpcmov */, X86::VPCMOVrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 14691 /* vpcmov */, X86::VPCMOVrrm, Convert__Reg1_0__Reg1_1__Reg1_2__Mem1285_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 14691 /* vpcmov */, X86::VPCMOVrmr, Convert__Reg1_0__Reg1_1__Mem1285_2__Reg1_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128, MCK_FR16 }, },
{ 14691 /* vpcmov */, X86::VPCMOVYrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 14691 /* vpcmov */, X86::VPCMOVYrrm, Convert__Reg1_0__Reg1_1__Reg1_2__Mem2565_3, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 14691 /* vpcmov */, X86::VPCMOVYrmr, Convert__Reg1_0__Reg1_1__Mem2565_2__Reg1_3, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256, MCK_VR256 }, },
{ 14698 /* vpcmpb */, X86::VPCMPBZ128rri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VK1, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 14698 /* vpcmpb */, X86::VPCMPBZ128rmi, Convert__Reg1_0__Reg1_1__Mem1285_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VK1, MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 14698 /* vpcmpb */, X86::VPCMPBZ256rri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VK1, MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 14698 /* vpcmpb */, X86::VPCMPBZ256rmi, Convert__Reg1_0__Reg1_1__Mem2565_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VK1, MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 14698 /* vpcmpb */, X86::VPCMPBZrri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VK1, MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 14698 /* vpcmpb */, X86::VPCMPBZrmi, Convert__Reg1_0__Reg1_1__Mem5125_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VK1, MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 14698 /* vpcmpb */, X86::VPCMPBZ128rrik, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 14698 /* vpcmpb */, X86::VPCMPBZ128rmik, Convert__Reg1_0__Reg1_2__Reg1_4__Mem1285_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 14698 /* vpcmpb */, X86::VPCMPBZ256rrik, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 14698 /* vpcmpb */, X86::VPCMPBZ256rmik, Convert__Reg1_0__Reg1_2__Reg1_4__Mem2565_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 14698 /* vpcmpb */, X86::VPCMPBZrrik, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 14698 /* vpcmpb */, X86::VPCMPBZrmik, Convert__Reg1_0__Reg1_2__Reg1_4__Mem5125_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 14705 /* vpcmpd */, X86::VPCMPDZ128rri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VK1, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 14705 /* vpcmpd */, X86::VPCMPDZ128rmi, Convert__Reg1_0__Reg1_1__Mem1285_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VK1, MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 14705 /* vpcmpd */, X86::VPCMPDZ256rri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VK1, MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 14705 /* vpcmpd */, X86::VPCMPDZ256rmi, Convert__Reg1_0__Reg1_1__Mem2565_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VK1, MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 14705 /* vpcmpd */, X86::VPCMPDZrri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VK1, MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 14705 /* vpcmpd */, X86::VPCMPDZrmi, Convert__Reg1_0__Reg1_1__Mem5125_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VK1, MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 14705 /* vpcmpd */, X86::VPCMPDZ128rmib, Convert__Reg1_0__Reg1_1__Mem325_2__ImmUnsignedi81_4, AMFBS_None, { MCK_VK1, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 14705 /* vpcmpd */, X86::VPCMPDZ256rmib, Convert__Reg1_0__Reg1_1__Mem325_2__ImmUnsignedi81_4, AMFBS_None, { MCK_VK1, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 14705 /* vpcmpd */, X86::VPCMPDZrmib, Convert__Reg1_0__Reg1_1__Mem325_2__ImmUnsignedi81_4, AMFBS_None, { MCK_VK1, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_, MCK_ImmUnsignedi8 }, },
{ 14705 /* vpcmpd */, X86::VPCMPDZ128rrik, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 14705 /* vpcmpd */, X86::VPCMPDZ128rmik, Convert__Reg1_0__Reg1_2__Reg1_4__Mem1285_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 14705 /* vpcmpd */, X86::VPCMPDZ256rrik, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 14705 /* vpcmpd */, X86::VPCMPDZ256rmik, Convert__Reg1_0__Reg1_2__Reg1_4__Mem2565_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 14705 /* vpcmpd */, X86::VPCMPDZrrik, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 14705 /* vpcmpd */, X86::VPCMPDZrmik, Convert__Reg1_0__Reg1_2__Reg1_4__Mem5125_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 14705 /* vpcmpd */, X86::VPCMPDZ128rmibk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem325_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 14705 /* vpcmpd */, X86::VPCMPDZ256rmibk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem325_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 14705 /* vpcmpd */, X86::VPCMPDZrmibk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem325_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_, MCK_ImmUnsignedi8 }, },
{ 14712 /* vpcmpeqb */, X86::VPCMPEQBZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VK1, MCK_FR16X, MCK_FR16X }, },
{ 14712 /* vpcmpeqb */, X86::VPCMPEQBZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_VK1, MCK_FR16X, MCK_Mem128 }, },
{ 14712 /* vpcmpeqb */, X86::VPCMPEQBZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VK1, MCK_VR256X, MCK_VR256X }, },
{ 14712 /* vpcmpeqb */, X86::VPCMPEQBZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VK1, MCK_VR256X, MCK_Mem256 }, },
{ 14712 /* vpcmpeqb */, X86::VPCMPEQBZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VK1, MCK_VR512, MCK_VR512 }, },
{ 14712 /* vpcmpeqb */, X86::VPCMPEQBZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VK1, MCK_VR512, MCK_Mem512 }, },
{ 14712 /* vpcmpeqb */, X86::VPCMPEQBrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 14712 /* vpcmpeqb */, X86::VPCMPEQBrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 14712 /* vpcmpeqb */, X86::VPCMPEQBYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 14712 /* vpcmpeqb */, X86::VPCMPEQBYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 14712 /* vpcmpeqb */, X86::VPCMPEQBZ128rrk, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 14712 /* vpcmpeqb */, X86::VPCMPEQBZ128rmk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 14712 /* vpcmpeqb */, X86::VPCMPEQBZ256rrk, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 14712 /* vpcmpeqb */, X86::VPCMPEQBZ256rmk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 14712 /* vpcmpeqb */, X86::VPCMPEQBZrrk, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 14712 /* vpcmpeqb */, X86::VPCMPEQBZrmk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 14721 /* vpcmpeqd */, X86::VPCMPEQDZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VK1, MCK_FR16X, MCK_FR16X }, },
{ 14721 /* vpcmpeqd */, X86::VPCMPEQDZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_VK1, MCK_FR16X, MCK_Mem128 }, },
{ 14721 /* vpcmpeqd */, X86::VPCMPEQDZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VK1, MCK_VR256X, MCK_VR256X }, },
{ 14721 /* vpcmpeqd */, X86::VPCMPEQDZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VK1, MCK_VR256X, MCK_Mem256 }, },
{ 14721 /* vpcmpeqd */, X86::VPCMPEQDZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VK1, MCK_VR512, MCK_VR512 }, },
{ 14721 /* vpcmpeqd */, X86::VPCMPEQDZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VK1, MCK_VR512, MCK_Mem512 }, },
{ 14721 /* vpcmpeqd */, X86::VPCMPEQDrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 14721 /* vpcmpeqd */, X86::VPCMPEQDrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 14721 /* vpcmpeqd */, X86::VPCMPEQDYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 14721 /* vpcmpeqd */, X86::VPCMPEQDYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 14721 /* vpcmpeqd */, X86::VPCMPEQDZ128rmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VK1, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 14721 /* vpcmpeqd */, X86::VPCMPEQDZ256rmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VK1, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 14721 /* vpcmpeqd */, X86::VPCMPEQDZrmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VK1, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 14721 /* vpcmpeqd */, X86::VPCMPEQDZ128rrk, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 14721 /* vpcmpeqd */, X86::VPCMPEQDZ128rmk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 14721 /* vpcmpeqd */, X86::VPCMPEQDZ256rrk, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 14721 /* vpcmpeqd */, X86::VPCMPEQDZ256rmk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 14721 /* vpcmpeqd */, X86::VPCMPEQDZrrk, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 14721 /* vpcmpeqd */, X86::VPCMPEQDZrmk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 14721 /* vpcmpeqd */, X86::VPCMPEQDZ128rmbk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 14721 /* vpcmpeqd */, X86::VPCMPEQDZ256rmbk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 14721 /* vpcmpeqd */, X86::VPCMPEQDZrmbk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 14730 /* vpcmpeqq */, X86::VPCMPEQQZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VK1, MCK_FR16X, MCK_FR16X }, },
{ 14730 /* vpcmpeqq */, X86::VPCMPEQQZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_VK1, MCK_FR16X, MCK_Mem128 }, },
{ 14730 /* vpcmpeqq */, X86::VPCMPEQQZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VK1, MCK_VR256X, MCK_VR256X }, },
{ 14730 /* vpcmpeqq */, X86::VPCMPEQQZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VK1, MCK_VR256X, MCK_Mem256 }, },
{ 14730 /* vpcmpeqq */, X86::VPCMPEQQZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VK1, MCK_VR512, MCK_VR512 }, },
{ 14730 /* vpcmpeqq */, X86::VPCMPEQQZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VK1, MCK_VR512, MCK_Mem512 }, },
{ 14730 /* vpcmpeqq */, X86::VPCMPEQQrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 14730 /* vpcmpeqq */, X86::VPCMPEQQrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 14730 /* vpcmpeqq */, X86::VPCMPEQQYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 14730 /* vpcmpeqq */, X86::VPCMPEQQYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 14730 /* vpcmpeqq */, X86::VPCMPEQQZ128rmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_VK1, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 14730 /* vpcmpeqq */, X86::VPCMPEQQZ256rmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_VK1, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 14730 /* vpcmpeqq */, X86::VPCMPEQQZrmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_VK1, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 14730 /* vpcmpeqq */, X86::VPCMPEQQZ128rrk, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 14730 /* vpcmpeqq */, X86::VPCMPEQQZ128rmk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 14730 /* vpcmpeqq */, X86::VPCMPEQQZ256rrk, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 14730 /* vpcmpeqq */, X86::VPCMPEQQZ256rmk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 14730 /* vpcmpeqq */, X86::VPCMPEQQZrrk, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 14730 /* vpcmpeqq */, X86::VPCMPEQQZrmk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 14730 /* vpcmpeqq */, X86::VPCMPEQQZ128rmbk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 14730 /* vpcmpeqq */, X86::VPCMPEQQZ256rmbk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 14730 /* vpcmpeqq */, X86::VPCMPEQQZrmbk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 14739 /* vpcmpeqw */, X86::VPCMPEQWZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VK1, MCK_FR16X, MCK_FR16X }, },
{ 14739 /* vpcmpeqw */, X86::VPCMPEQWZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_VK1, MCK_FR16X, MCK_Mem128 }, },
{ 14739 /* vpcmpeqw */, X86::VPCMPEQWZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VK1, MCK_VR256X, MCK_VR256X }, },
{ 14739 /* vpcmpeqw */, X86::VPCMPEQWZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VK1, MCK_VR256X, MCK_Mem256 }, },
{ 14739 /* vpcmpeqw */, X86::VPCMPEQWZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VK1, MCK_VR512, MCK_VR512 }, },
{ 14739 /* vpcmpeqw */, X86::VPCMPEQWZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VK1, MCK_VR512, MCK_Mem512 }, },
{ 14739 /* vpcmpeqw */, X86::VPCMPEQWrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 14739 /* vpcmpeqw */, X86::VPCMPEQWrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 14739 /* vpcmpeqw */, X86::VPCMPEQWYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 14739 /* vpcmpeqw */, X86::VPCMPEQWYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 14739 /* vpcmpeqw */, X86::VPCMPEQWZ128rrk, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 14739 /* vpcmpeqw */, X86::VPCMPEQWZ128rmk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 14739 /* vpcmpeqw */, X86::VPCMPEQWZ256rrk, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 14739 /* vpcmpeqw */, X86::VPCMPEQWZ256rmk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 14739 /* vpcmpeqw */, X86::VPCMPEQWZrrk, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 14739 /* vpcmpeqw */, X86::VPCMPEQWZrmk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 14748 /* vpcmpestri */, X86::VPCMPESTRIrr, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 14748 /* vpcmpestri */, X86::VPCMPESTRIrm, Convert__Reg1_0__Mem1285_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 14759 /* vpcmpestrm */, X86::VPCMPESTRMrr, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 14759 /* vpcmpestrm */, X86::VPCMPESTRMrm, Convert__Reg1_0__Mem1285_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 14770 /* vpcmpgtb */, X86::VPCMPGTBZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VK1, MCK_FR16X, MCK_FR16X }, },
{ 14770 /* vpcmpgtb */, X86::VPCMPGTBZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_VK1, MCK_FR16X, MCK_Mem128 }, },
{ 14770 /* vpcmpgtb */, X86::VPCMPGTBZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VK1, MCK_VR256X, MCK_VR256X }, },
{ 14770 /* vpcmpgtb */, X86::VPCMPGTBZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VK1, MCK_VR256X, MCK_Mem256 }, },
{ 14770 /* vpcmpgtb */, X86::VPCMPGTBZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VK1, MCK_VR512, MCK_VR512 }, },
{ 14770 /* vpcmpgtb */, X86::VPCMPGTBZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VK1, MCK_VR512, MCK_Mem512 }, },
{ 14770 /* vpcmpgtb */, X86::VPCMPGTBrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 14770 /* vpcmpgtb */, X86::VPCMPGTBrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 14770 /* vpcmpgtb */, X86::VPCMPGTBYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 14770 /* vpcmpgtb */, X86::VPCMPGTBYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 14770 /* vpcmpgtb */, X86::VPCMPGTBZ128rrk, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 14770 /* vpcmpgtb */, X86::VPCMPGTBZ128rmk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 14770 /* vpcmpgtb */, X86::VPCMPGTBZ256rrk, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 14770 /* vpcmpgtb */, X86::VPCMPGTBZ256rmk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 14770 /* vpcmpgtb */, X86::VPCMPGTBZrrk, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 14770 /* vpcmpgtb */, X86::VPCMPGTBZrmk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 14779 /* vpcmpgtd */, X86::VPCMPGTDZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VK1, MCK_FR16X, MCK_FR16X }, },
{ 14779 /* vpcmpgtd */, X86::VPCMPGTDZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_VK1, MCK_FR16X, MCK_Mem128 }, },
{ 14779 /* vpcmpgtd */, X86::VPCMPGTDZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VK1, MCK_VR256X, MCK_VR256X }, },
{ 14779 /* vpcmpgtd */, X86::VPCMPGTDZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VK1, MCK_VR256X, MCK_Mem256 }, },
{ 14779 /* vpcmpgtd */, X86::VPCMPGTDZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VK1, MCK_VR512, MCK_VR512 }, },
{ 14779 /* vpcmpgtd */, X86::VPCMPGTDZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VK1, MCK_VR512, MCK_Mem512 }, },
{ 14779 /* vpcmpgtd */, X86::VPCMPGTDrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 14779 /* vpcmpgtd */, X86::VPCMPGTDrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 14779 /* vpcmpgtd */, X86::VPCMPGTDYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 14779 /* vpcmpgtd */, X86::VPCMPGTDYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 14779 /* vpcmpgtd */, X86::VPCMPGTDZ128rmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VK1, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 14779 /* vpcmpgtd */, X86::VPCMPGTDZ256rmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VK1, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 14779 /* vpcmpgtd */, X86::VPCMPGTDZrmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VK1, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 14779 /* vpcmpgtd */, X86::VPCMPGTDZ128rrk, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 14779 /* vpcmpgtd */, X86::VPCMPGTDZ128rmk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 14779 /* vpcmpgtd */, X86::VPCMPGTDZ256rrk, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 14779 /* vpcmpgtd */, X86::VPCMPGTDZ256rmk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 14779 /* vpcmpgtd */, X86::VPCMPGTDZrrk, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 14779 /* vpcmpgtd */, X86::VPCMPGTDZrmk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 14779 /* vpcmpgtd */, X86::VPCMPGTDZ128rmbk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 14779 /* vpcmpgtd */, X86::VPCMPGTDZ256rmbk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 14779 /* vpcmpgtd */, X86::VPCMPGTDZrmbk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 14788 /* vpcmpgtq */, X86::VPCMPGTQZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VK1, MCK_FR16X, MCK_FR16X }, },
{ 14788 /* vpcmpgtq */, X86::VPCMPGTQZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_VK1, MCK_FR16X, MCK_Mem128 }, },
{ 14788 /* vpcmpgtq */, X86::VPCMPGTQZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VK1, MCK_VR256X, MCK_VR256X }, },
{ 14788 /* vpcmpgtq */, X86::VPCMPGTQZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VK1, MCK_VR256X, MCK_Mem256 }, },
{ 14788 /* vpcmpgtq */, X86::VPCMPGTQZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VK1, MCK_VR512, MCK_VR512 }, },
{ 14788 /* vpcmpgtq */, X86::VPCMPGTQZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VK1, MCK_VR512, MCK_Mem512 }, },
{ 14788 /* vpcmpgtq */, X86::VPCMPGTQrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 14788 /* vpcmpgtq */, X86::VPCMPGTQrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 14788 /* vpcmpgtq */, X86::VPCMPGTQYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 14788 /* vpcmpgtq */, X86::VPCMPGTQYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 14788 /* vpcmpgtq */, X86::VPCMPGTQZ128rmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_VK1, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 14788 /* vpcmpgtq */, X86::VPCMPGTQZ256rmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_VK1, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 14788 /* vpcmpgtq */, X86::VPCMPGTQZrmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_VK1, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 14788 /* vpcmpgtq */, X86::VPCMPGTQZ128rrk, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 14788 /* vpcmpgtq */, X86::VPCMPGTQZ128rmk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 14788 /* vpcmpgtq */, X86::VPCMPGTQZ256rrk, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 14788 /* vpcmpgtq */, X86::VPCMPGTQZ256rmk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 14788 /* vpcmpgtq */, X86::VPCMPGTQZrrk, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 14788 /* vpcmpgtq */, X86::VPCMPGTQZrmk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 14788 /* vpcmpgtq */, X86::VPCMPGTQZ128rmbk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 14788 /* vpcmpgtq */, X86::VPCMPGTQZ256rmbk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 14788 /* vpcmpgtq */, X86::VPCMPGTQZrmbk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 14797 /* vpcmpgtw */, X86::VPCMPGTWZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VK1, MCK_FR16X, MCK_FR16X }, },
{ 14797 /* vpcmpgtw */, X86::VPCMPGTWZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_VK1, MCK_FR16X, MCK_Mem128 }, },
{ 14797 /* vpcmpgtw */, X86::VPCMPGTWZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VK1, MCK_VR256X, MCK_VR256X }, },
{ 14797 /* vpcmpgtw */, X86::VPCMPGTWZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VK1, MCK_VR256X, MCK_Mem256 }, },
{ 14797 /* vpcmpgtw */, X86::VPCMPGTWZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VK1, MCK_VR512, MCK_VR512 }, },
{ 14797 /* vpcmpgtw */, X86::VPCMPGTWZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VK1, MCK_VR512, MCK_Mem512 }, },
{ 14797 /* vpcmpgtw */, X86::VPCMPGTWrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 14797 /* vpcmpgtw */, X86::VPCMPGTWrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 14797 /* vpcmpgtw */, X86::VPCMPGTWYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 14797 /* vpcmpgtw */, X86::VPCMPGTWYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 14797 /* vpcmpgtw */, X86::VPCMPGTWZ128rrk, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 14797 /* vpcmpgtw */, X86::VPCMPGTWZ128rmk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 14797 /* vpcmpgtw */, X86::VPCMPGTWZ256rrk, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 14797 /* vpcmpgtw */, X86::VPCMPGTWZ256rmk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 14797 /* vpcmpgtw */, X86::VPCMPGTWZrrk, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 14797 /* vpcmpgtw */, X86::VPCMPGTWZrmk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 14806 /* vpcmpistri */, X86::VPCMPISTRIrr, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 14806 /* vpcmpistri */, X86::VPCMPISTRIrm, Convert__Reg1_0__Mem1285_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 14817 /* vpcmpistrm */, X86::VPCMPISTRMrr, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 14817 /* vpcmpistrm */, X86::VPCMPISTRMrm, Convert__Reg1_0__Mem1285_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 14828 /* vpcmpq */, X86::VPCMPQZ128rri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VK1, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 14828 /* vpcmpq */, X86::VPCMPQZ128rmi, Convert__Reg1_0__Reg1_1__Mem1285_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VK1, MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 14828 /* vpcmpq */, X86::VPCMPQZ256rri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VK1, MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 14828 /* vpcmpq */, X86::VPCMPQZ256rmi, Convert__Reg1_0__Reg1_1__Mem2565_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VK1, MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 14828 /* vpcmpq */, X86::VPCMPQZrri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VK1, MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 14828 /* vpcmpq */, X86::VPCMPQZrmi, Convert__Reg1_0__Reg1_1__Mem5125_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VK1, MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 14828 /* vpcmpq */, X86::VPCMPQZ128rmib, Convert__Reg1_0__Reg1_1__Mem645_2__ImmUnsignedi81_4, AMFBS_None, { MCK_VK1, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_, MCK_ImmUnsignedi8 }, },
{ 14828 /* vpcmpq */, X86::VPCMPQZ256rmib, Convert__Reg1_0__Reg1_1__Mem645_2__ImmUnsignedi81_4, AMFBS_None, { MCK_VK1, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 14828 /* vpcmpq */, X86::VPCMPQZrmib, Convert__Reg1_0__Reg1_1__Mem645_2__ImmUnsignedi81_4, AMFBS_None, { MCK_VK1, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 14828 /* vpcmpq */, X86::VPCMPQZ128rrik, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 14828 /* vpcmpq */, X86::VPCMPQZ128rmik, Convert__Reg1_0__Reg1_2__Reg1_4__Mem1285_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 14828 /* vpcmpq */, X86::VPCMPQZ256rrik, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 14828 /* vpcmpq */, X86::VPCMPQZ256rmik, Convert__Reg1_0__Reg1_2__Reg1_4__Mem2565_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 14828 /* vpcmpq */, X86::VPCMPQZrrik, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 14828 /* vpcmpq */, X86::VPCMPQZrmik, Convert__Reg1_0__Reg1_2__Reg1_4__Mem5125_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 14828 /* vpcmpq */, X86::VPCMPQZ128rmibk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem645_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_, MCK_ImmUnsignedi8 }, },
{ 14828 /* vpcmpq */, X86::VPCMPQZ256rmibk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem645_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 14828 /* vpcmpq */, X86::VPCMPQZrmibk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem645_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 14835 /* vpcmpub */, X86::VPCMPUBZ128rri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VK1, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 14835 /* vpcmpub */, X86::VPCMPUBZ128rmi, Convert__Reg1_0__Reg1_1__Mem1285_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VK1, MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 14835 /* vpcmpub */, X86::VPCMPUBZ256rri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VK1, MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 14835 /* vpcmpub */, X86::VPCMPUBZ256rmi, Convert__Reg1_0__Reg1_1__Mem2565_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VK1, MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 14835 /* vpcmpub */, X86::VPCMPUBZrri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VK1, MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 14835 /* vpcmpub */, X86::VPCMPUBZrmi, Convert__Reg1_0__Reg1_1__Mem5125_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VK1, MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 14835 /* vpcmpub */, X86::VPCMPUBZ128rrik, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 14835 /* vpcmpub */, X86::VPCMPUBZ128rmik, Convert__Reg1_0__Reg1_2__Reg1_4__Mem1285_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 14835 /* vpcmpub */, X86::VPCMPUBZ256rrik, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 14835 /* vpcmpub */, X86::VPCMPUBZ256rmik, Convert__Reg1_0__Reg1_2__Reg1_4__Mem2565_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 14835 /* vpcmpub */, X86::VPCMPUBZrrik, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 14835 /* vpcmpub */, X86::VPCMPUBZrmik, Convert__Reg1_0__Reg1_2__Reg1_4__Mem5125_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 14843 /* vpcmpud */, X86::VPCMPUDZ128rri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VK1, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 14843 /* vpcmpud */, X86::VPCMPUDZ128rmi, Convert__Reg1_0__Reg1_1__Mem1285_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VK1, MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 14843 /* vpcmpud */, X86::VPCMPUDZ256rri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VK1, MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 14843 /* vpcmpud */, X86::VPCMPUDZ256rmi, Convert__Reg1_0__Reg1_1__Mem2565_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VK1, MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 14843 /* vpcmpud */, X86::VPCMPUDZrri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VK1, MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 14843 /* vpcmpud */, X86::VPCMPUDZrmi, Convert__Reg1_0__Reg1_1__Mem5125_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VK1, MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 14843 /* vpcmpud */, X86::VPCMPUDZ128rmib, Convert__Reg1_0__Reg1_1__Mem325_2__ImmUnsignedi81_4, AMFBS_None, { MCK_VK1, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 14843 /* vpcmpud */, X86::VPCMPUDZ256rmib, Convert__Reg1_0__Reg1_1__Mem325_2__ImmUnsignedi81_4, AMFBS_None, { MCK_VK1, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 14843 /* vpcmpud */, X86::VPCMPUDZrmib, Convert__Reg1_0__Reg1_1__Mem325_2__ImmUnsignedi81_4, AMFBS_None, { MCK_VK1, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_, MCK_ImmUnsignedi8 }, },
{ 14843 /* vpcmpud */, X86::VPCMPUDZ128rrik, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 14843 /* vpcmpud */, X86::VPCMPUDZ128rmik, Convert__Reg1_0__Reg1_2__Reg1_4__Mem1285_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 14843 /* vpcmpud */, X86::VPCMPUDZ256rrik, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 14843 /* vpcmpud */, X86::VPCMPUDZ256rmik, Convert__Reg1_0__Reg1_2__Reg1_4__Mem2565_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 14843 /* vpcmpud */, X86::VPCMPUDZrrik, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 14843 /* vpcmpud */, X86::VPCMPUDZrmik, Convert__Reg1_0__Reg1_2__Reg1_4__Mem5125_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 14843 /* vpcmpud */, X86::VPCMPUDZ128rmibk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem325_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 14843 /* vpcmpud */, X86::VPCMPUDZ256rmibk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem325_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 14843 /* vpcmpud */, X86::VPCMPUDZrmibk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem325_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_, MCK_ImmUnsignedi8 }, },
{ 14851 /* vpcmpuq */, X86::VPCMPUQZ128rri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VK1, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 14851 /* vpcmpuq */, X86::VPCMPUQZ128rmi, Convert__Reg1_0__Reg1_1__Mem1285_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VK1, MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 14851 /* vpcmpuq */, X86::VPCMPUQZ256rri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VK1, MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 14851 /* vpcmpuq */, X86::VPCMPUQZ256rmi, Convert__Reg1_0__Reg1_1__Mem2565_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VK1, MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 14851 /* vpcmpuq */, X86::VPCMPUQZrri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VK1, MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 14851 /* vpcmpuq */, X86::VPCMPUQZrmi, Convert__Reg1_0__Reg1_1__Mem5125_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VK1, MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 14851 /* vpcmpuq */, X86::VPCMPUQZ128rmib, Convert__Reg1_0__Reg1_1__Mem645_2__ImmUnsignedi81_4, AMFBS_None, { MCK_VK1, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_, MCK_ImmUnsignedi8 }, },
{ 14851 /* vpcmpuq */, X86::VPCMPUQZ256rmib, Convert__Reg1_0__Reg1_1__Mem645_2__ImmUnsignedi81_4, AMFBS_None, { MCK_VK1, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 14851 /* vpcmpuq */, X86::VPCMPUQZrmib, Convert__Reg1_0__Reg1_1__Mem645_2__ImmUnsignedi81_4, AMFBS_None, { MCK_VK1, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 14851 /* vpcmpuq */, X86::VPCMPUQZ128rrik, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 14851 /* vpcmpuq */, X86::VPCMPUQZ128rmik, Convert__Reg1_0__Reg1_2__Reg1_4__Mem1285_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 14851 /* vpcmpuq */, X86::VPCMPUQZ256rrik, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 14851 /* vpcmpuq */, X86::VPCMPUQZ256rmik, Convert__Reg1_0__Reg1_2__Reg1_4__Mem2565_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 14851 /* vpcmpuq */, X86::VPCMPUQZrrik, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 14851 /* vpcmpuq */, X86::VPCMPUQZrmik, Convert__Reg1_0__Reg1_2__Reg1_4__Mem5125_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 14851 /* vpcmpuq */, X86::VPCMPUQZ128rmibk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem645_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_, MCK_ImmUnsignedi8 }, },
{ 14851 /* vpcmpuq */, X86::VPCMPUQZ256rmibk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem645_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 14851 /* vpcmpuq */, X86::VPCMPUQZrmibk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem645_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 14859 /* vpcmpuw */, X86::VPCMPUWZ128rri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VK1, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 14859 /* vpcmpuw */, X86::VPCMPUWZ128rmi, Convert__Reg1_0__Reg1_1__Mem1285_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VK1, MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 14859 /* vpcmpuw */, X86::VPCMPUWZ256rri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VK1, MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 14859 /* vpcmpuw */, X86::VPCMPUWZ256rmi, Convert__Reg1_0__Reg1_1__Mem2565_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VK1, MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 14859 /* vpcmpuw */, X86::VPCMPUWZrri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VK1, MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 14859 /* vpcmpuw */, X86::VPCMPUWZrmi, Convert__Reg1_0__Reg1_1__Mem5125_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VK1, MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 14859 /* vpcmpuw */, X86::VPCMPUWZ128rrik, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 14859 /* vpcmpuw */, X86::VPCMPUWZ128rmik, Convert__Reg1_0__Reg1_2__Reg1_4__Mem1285_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 14859 /* vpcmpuw */, X86::VPCMPUWZ256rrik, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 14859 /* vpcmpuw */, X86::VPCMPUWZ256rmik, Convert__Reg1_0__Reg1_2__Reg1_4__Mem2565_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 14859 /* vpcmpuw */, X86::VPCMPUWZrrik, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 14859 /* vpcmpuw */, X86::VPCMPUWZrmik, Convert__Reg1_0__Reg1_2__Reg1_4__Mem5125_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 14867 /* vpcmpw */, X86::VPCMPWZ128rri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VK1, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 14867 /* vpcmpw */, X86::VPCMPWZ128rmi, Convert__Reg1_0__Reg1_1__Mem1285_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VK1, MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 14867 /* vpcmpw */, X86::VPCMPWZ256rri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VK1, MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 14867 /* vpcmpw */, X86::VPCMPWZ256rmi, Convert__Reg1_0__Reg1_1__Mem2565_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VK1, MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 14867 /* vpcmpw */, X86::VPCMPWZrri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VK1, MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 14867 /* vpcmpw */, X86::VPCMPWZrmi, Convert__Reg1_0__Reg1_1__Mem5125_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VK1, MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 14867 /* vpcmpw */, X86::VPCMPWZ128rrik, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 14867 /* vpcmpw */, X86::VPCMPWZ128rmik, Convert__Reg1_0__Reg1_2__Reg1_4__Mem1285_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 14867 /* vpcmpw */, X86::VPCMPWZ256rrik, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 14867 /* vpcmpw */, X86::VPCMPWZ256rmik, Convert__Reg1_0__Reg1_2__Reg1_4__Mem2565_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 14867 /* vpcmpw */, X86::VPCMPWZrrik, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 14867 /* vpcmpw */, X86::VPCMPWZrmik, Convert__Reg1_0__Reg1_2__Reg1_4__Mem5125_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 14874 /* vpcomb */, X86::VPCOMBri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 14874 /* vpcomb */, X86::VPCOMBmi, Convert__Reg1_0__Reg1_1__Mem1285_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 14881 /* vpcomd */, X86::VPCOMDri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 14881 /* vpcomd */, X86::VPCOMDmi, Convert__Reg1_0__Reg1_1__Mem1285_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 14888 /* vpcompressb */, X86::VPCOMPRESSBZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 14888 /* vpcompressb */, X86::VPCOMPRESSBZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 14888 /* vpcompressb */, X86::VPCOMPRESSBZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 14888 /* vpcompressb */, X86::VPCOMPRESSBZ128mr, Convert__Mem1285_0__Reg1_1, AMFBS_None, { MCK_Mem128, MCK_FR16X }, },
{ 14888 /* vpcompressb */, X86::VPCOMPRESSBZ256mr, Convert__Mem2565_0__Reg1_1, AMFBS_None, { MCK_Mem256, MCK_VR256X }, },
{ 14888 /* vpcompressb */, X86::VPCOMPRESSBZmr, Convert__Mem5125_0__Reg1_1, AMFBS_None, { MCK_Mem512, MCK_VR512 }, },
{ 14888 /* vpcompressb */, X86::VPCOMPRESSBZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 14888 /* vpcompressb */, X86::VPCOMPRESSBZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 14888 /* vpcompressb */, X86::VPCOMPRESSBZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 14888 /* vpcompressb */, X86::VPCOMPRESSBZ128mrk, Convert__Mem1285_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem128, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 14888 /* vpcompressb */, X86::VPCOMPRESSBZ256mrk, Convert__Mem2565_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem256, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 14888 /* vpcompressb */, X86::VPCOMPRESSBZmrk, Convert__Mem5125_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 14888 /* vpcompressb */, X86::VPCOMPRESSBZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 14888 /* vpcompressb */, X86::VPCOMPRESSBZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 14888 /* vpcompressb */, X86::VPCOMPRESSBZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 14900 /* vpcompressd */, X86::VPCOMPRESSDZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 14900 /* vpcompressd */, X86::VPCOMPRESSDZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 14900 /* vpcompressd */, X86::VPCOMPRESSDZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 14900 /* vpcompressd */, X86::VPCOMPRESSDZ128mr, Convert__Mem1285_0__Reg1_1, AMFBS_None, { MCK_Mem128, MCK_FR16X }, },
{ 14900 /* vpcompressd */, X86::VPCOMPRESSDZ256mr, Convert__Mem2565_0__Reg1_1, AMFBS_None, { MCK_Mem256, MCK_VR256X }, },
{ 14900 /* vpcompressd */, X86::VPCOMPRESSDZmr, Convert__Mem5125_0__Reg1_1, AMFBS_None, { MCK_Mem512, MCK_VR512 }, },
{ 14900 /* vpcompressd */, X86::VPCOMPRESSDZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 14900 /* vpcompressd */, X86::VPCOMPRESSDZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 14900 /* vpcompressd */, X86::VPCOMPRESSDZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 14900 /* vpcompressd */, X86::VPCOMPRESSDZ128mrk, Convert__Mem1285_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem128, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 14900 /* vpcompressd */, X86::VPCOMPRESSDZ256mrk, Convert__Mem2565_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem256, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 14900 /* vpcompressd */, X86::VPCOMPRESSDZmrk, Convert__Mem5125_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 14900 /* vpcompressd */, X86::VPCOMPRESSDZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 14900 /* vpcompressd */, X86::VPCOMPRESSDZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 14900 /* vpcompressd */, X86::VPCOMPRESSDZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 14912 /* vpcompressq */, X86::VPCOMPRESSQZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 14912 /* vpcompressq */, X86::VPCOMPRESSQZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 14912 /* vpcompressq */, X86::VPCOMPRESSQZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 14912 /* vpcompressq */, X86::VPCOMPRESSQZ128mr, Convert__Mem1285_0__Reg1_1, AMFBS_None, { MCK_Mem128, MCK_FR16X }, },
{ 14912 /* vpcompressq */, X86::VPCOMPRESSQZ256mr, Convert__Mem2565_0__Reg1_1, AMFBS_None, { MCK_Mem256, MCK_VR256X }, },
{ 14912 /* vpcompressq */, X86::VPCOMPRESSQZmr, Convert__Mem5125_0__Reg1_1, AMFBS_None, { MCK_Mem512, MCK_VR512 }, },
{ 14912 /* vpcompressq */, X86::VPCOMPRESSQZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 14912 /* vpcompressq */, X86::VPCOMPRESSQZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 14912 /* vpcompressq */, X86::VPCOMPRESSQZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 14912 /* vpcompressq */, X86::VPCOMPRESSQZ128mrk, Convert__Mem1285_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem128, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 14912 /* vpcompressq */, X86::VPCOMPRESSQZ256mrk, Convert__Mem2565_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem256, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 14912 /* vpcompressq */, X86::VPCOMPRESSQZmrk, Convert__Mem5125_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 14912 /* vpcompressq */, X86::VPCOMPRESSQZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 14912 /* vpcompressq */, X86::VPCOMPRESSQZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 14912 /* vpcompressq */, X86::VPCOMPRESSQZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 14924 /* vpcompressw */, X86::VPCOMPRESSWZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 14924 /* vpcompressw */, X86::VPCOMPRESSWZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 14924 /* vpcompressw */, X86::VPCOMPRESSWZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 14924 /* vpcompressw */, X86::VPCOMPRESSWZ128mr, Convert__Mem1285_0__Reg1_1, AMFBS_None, { MCK_Mem128, MCK_FR16X }, },
{ 14924 /* vpcompressw */, X86::VPCOMPRESSWZ256mr, Convert__Mem2565_0__Reg1_1, AMFBS_None, { MCK_Mem256, MCK_VR256X }, },
{ 14924 /* vpcompressw */, X86::VPCOMPRESSWZmr, Convert__Mem5125_0__Reg1_1, AMFBS_None, { MCK_Mem512, MCK_VR512 }, },
{ 14924 /* vpcompressw */, X86::VPCOMPRESSWZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 14924 /* vpcompressw */, X86::VPCOMPRESSWZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 14924 /* vpcompressw */, X86::VPCOMPRESSWZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 14924 /* vpcompressw */, X86::VPCOMPRESSWZ128mrk, Convert__Mem1285_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem128, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 14924 /* vpcompressw */, X86::VPCOMPRESSWZ256mrk, Convert__Mem2565_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem256, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 14924 /* vpcompressw */, X86::VPCOMPRESSWZmrk, Convert__Mem5125_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 14924 /* vpcompressw */, X86::VPCOMPRESSWZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 14924 /* vpcompressw */, X86::VPCOMPRESSWZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 14924 /* vpcompressw */, X86::VPCOMPRESSWZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 14936 /* vpcomq */, X86::VPCOMQri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 14936 /* vpcomq */, X86::VPCOMQmi, Convert__Reg1_0__Reg1_1__Mem1285_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 14943 /* vpcomub */, X86::VPCOMUBri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 14943 /* vpcomub */, X86::VPCOMUBmi, Convert__Reg1_0__Reg1_1__Mem1285_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 14951 /* vpcomud */, X86::VPCOMUDri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 14951 /* vpcomud */, X86::VPCOMUDmi, Convert__Reg1_0__Reg1_1__Mem1285_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 14959 /* vpcomuq */, X86::VPCOMUQri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 14959 /* vpcomuq */, X86::VPCOMUQmi, Convert__Reg1_0__Reg1_1__Mem1285_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 14967 /* vpcomuw */, X86::VPCOMUWri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 14967 /* vpcomuw */, X86::VPCOMUWmi, Convert__Reg1_0__Reg1_1__Mem1285_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 14975 /* vpcomw */, X86::VPCOMWri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 14975 /* vpcomw */, X86::VPCOMWmi, Convert__Reg1_0__Reg1_1__Mem1285_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 14982 /* vpconflictd */, X86::VPCONFLICTDZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 14982 /* vpconflictd */, X86::VPCONFLICTDZ128rm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16X, MCK_Mem128 }, },
{ 14982 /* vpconflictd */, X86::VPCONFLICTDZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 14982 /* vpconflictd */, X86::VPCONFLICTDZ256rm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR256X, MCK_Mem256 }, },
{ 14982 /* vpconflictd */, X86::VPCONFLICTDZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 14982 /* vpconflictd */, X86::VPCONFLICTDZrm, Convert__Reg1_0__Mem5125_1, AMFBS_None, { MCK_VR512, MCK_Mem512 }, },
{ 14982 /* vpconflictd */, X86::VPCONFLICTDZ128rmb, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 14982 /* vpconflictd */, X86::VPCONFLICTDZ256rmb, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 14982 /* vpconflictd */, X86::VPCONFLICTDZrmb, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 14982 /* vpconflictd */, X86::VPCONFLICTDZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 14982 /* vpconflictd */, X86::VPCONFLICTDZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 14982 /* vpconflictd */, X86::VPCONFLICTDZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 14982 /* vpconflictd */, X86::VPCONFLICTDZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 14982 /* vpconflictd */, X86::VPCONFLICTDZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 14982 /* vpconflictd */, X86::VPCONFLICTDZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512 }, },
{ 14982 /* vpconflictd */, X86::VPCONFLICTDZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 14982 /* vpconflictd */, X86::VPCONFLICTDZ128rmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 14982 /* vpconflictd */, X86::VPCONFLICTDZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 14982 /* vpconflictd */, X86::VPCONFLICTDZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 14982 /* vpconflictd */, X86::VPCONFLICTDZ256rmkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 14982 /* vpconflictd */, X86::VPCONFLICTDZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 14982 /* vpconflictd */, X86::VPCONFLICTDZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 14982 /* vpconflictd */, X86::VPCONFLICTDZrmkz, Convert__Reg1_0__Reg1_2__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512 }, },
{ 14982 /* vpconflictd */, X86::VPCONFLICTDZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 14982 /* vpconflictd */, X86::VPCONFLICTDZ128rmbkz, Convert__Reg1_0__Reg1_2__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 14982 /* vpconflictd */, X86::VPCONFLICTDZ256rmbkz, Convert__Reg1_0__Reg1_2__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 14982 /* vpconflictd */, X86::VPCONFLICTDZrmbkz, Convert__Reg1_0__Reg1_2__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 14994 /* vpconflictq */, X86::VPCONFLICTQZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 14994 /* vpconflictq */, X86::VPCONFLICTQZ128rm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16X, MCK_Mem128 }, },
{ 14994 /* vpconflictq */, X86::VPCONFLICTQZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 14994 /* vpconflictq */, X86::VPCONFLICTQZ256rm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR256X, MCK_Mem256 }, },
{ 14994 /* vpconflictq */, X86::VPCONFLICTQZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 14994 /* vpconflictq */, X86::VPCONFLICTQZrm, Convert__Reg1_0__Mem5125_1, AMFBS_None, { MCK_VR512, MCK_Mem512 }, },
{ 14994 /* vpconflictq */, X86::VPCONFLICTQZ128rmb, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 14994 /* vpconflictq */, X86::VPCONFLICTQZ256rmb, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 14994 /* vpconflictq */, X86::VPCONFLICTQZrmb, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 14994 /* vpconflictq */, X86::VPCONFLICTQZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 14994 /* vpconflictq */, X86::VPCONFLICTQZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 14994 /* vpconflictq */, X86::VPCONFLICTQZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 14994 /* vpconflictq */, X86::VPCONFLICTQZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 14994 /* vpconflictq */, X86::VPCONFLICTQZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 14994 /* vpconflictq */, X86::VPCONFLICTQZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512 }, },
{ 14994 /* vpconflictq */, X86::VPCONFLICTQZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 14994 /* vpconflictq */, X86::VPCONFLICTQZ128rmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 14994 /* vpconflictq */, X86::VPCONFLICTQZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 14994 /* vpconflictq */, X86::VPCONFLICTQZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 14994 /* vpconflictq */, X86::VPCONFLICTQZ256rmkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 14994 /* vpconflictq */, X86::VPCONFLICTQZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 14994 /* vpconflictq */, X86::VPCONFLICTQZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 14994 /* vpconflictq */, X86::VPCONFLICTQZrmkz, Convert__Reg1_0__Reg1_2__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512 }, },
{ 14994 /* vpconflictq */, X86::VPCONFLICTQZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 14994 /* vpconflictq */, X86::VPCONFLICTQZ128rmbkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 14994 /* vpconflictq */, X86::VPCONFLICTQZ256rmbkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 14994 /* vpconflictq */, X86::VPCONFLICTQZrmbkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 15006 /* vpdpbssd */, X86::VPDPBSSDrr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 15006 /* vpdpbssd */, X86::VPDPBSSDrm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 15006 /* vpdpbssd */, X86::VPDPBSSDYrr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 15006 /* vpdpbssd */, X86::VPDPBSSDYrm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 15015 /* vpdpbssds */, X86::VPDPBSSDSrr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 15015 /* vpdpbssds */, X86::VPDPBSSDSrm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 15015 /* vpdpbssds */, X86::VPDPBSSDSYrr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 15015 /* vpdpbssds */, X86::VPDPBSSDSYrm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 15025 /* vpdpbsud */, X86::VPDPBSUDrr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 15025 /* vpdpbsud */, X86::VPDPBSUDrm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 15025 /* vpdpbsud */, X86::VPDPBSUDYrr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 15025 /* vpdpbsud */, X86::VPDPBSUDYrm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 15034 /* vpdpbsuds */, X86::VPDPBSUDSrr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 15034 /* vpdpbsuds */, X86::VPDPBSUDSrm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 15034 /* vpdpbsuds */, X86::VPDPBSUDSYrr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 15034 /* vpdpbsuds */, X86::VPDPBSUDSYrm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 15044 /* vpdpbusd */, X86::VPDPBUSDrr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 15044 /* vpdpbusd */, X86::VPDPBUSDrm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 15044 /* vpdpbusd */, X86::VPDPBUSDYrr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 15044 /* vpdpbusd */, X86::VPDPBUSDYrm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 15044 /* vpdpbusd */, X86::VPDPBUSDZ128r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 15044 /* vpdpbusd */, X86::VPDPBUSDZ128m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 15044 /* vpdpbusd */, X86::VPDPBUSDZ256r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 15044 /* vpdpbusd */, X86::VPDPBUSDZ256m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 15044 /* vpdpbusd */, X86::VPDPBUSDZr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 15044 /* vpdpbusd */, X86::VPDPBUSDZm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 15044 /* vpdpbusd */, X86::VPDPBUSDZ128mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 15044 /* vpdpbusd */, X86::VPDPBUSDZ256mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 15044 /* vpdpbusd */, X86::VPDPBUSDZmb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 15044 /* vpdpbusd */, X86::VPDPBUSDZ128rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 15044 /* vpdpbusd */, X86::VPDPBUSDZ128mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 15044 /* vpdpbusd */, X86::VPDPBUSDZ256rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 15044 /* vpdpbusd */, X86::VPDPBUSDZ256mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 15044 /* vpdpbusd */, X86::VPDPBUSDZrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 15044 /* vpdpbusd */, X86::VPDPBUSDZmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 15044 /* vpdpbusd */, X86::VPDPBUSDZ128rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 15044 /* vpdpbusd */, X86::VPDPBUSDZ128mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 15044 /* vpdpbusd */, X86::VPDPBUSDZ128mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 15044 /* vpdpbusd */, X86::VPDPBUSDZ256rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 15044 /* vpdpbusd */, X86::VPDPBUSDZ256mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 15044 /* vpdpbusd */, X86::VPDPBUSDZ256mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 15044 /* vpdpbusd */, X86::VPDPBUSDZrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 15044 /* vpdpbusd */, X86::VPDPBUSDZmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 15044 /* vpdpbusd */, X86::VPDPBUSDZmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 15044 /* vpdpbusd */, X86::VPDPBUSDZ128mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 15044 /* vpdpbusd */, X86::VPDPBUSDZ256mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 15044 /* vpdpbusd */, X86::VPDPBUSDZmbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 15053 /* vpdpbusds */, X86::VPDPBUSDSrr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 15053 /* vpdpbusds */, X86::VPDPBUSDSrm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 15053 /* vpdpbusds */, X86::VPDPBUSDSYrr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 15053 /* vpdpbusds */, X86::VPDPBUSDSYrm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 15053 /* vpdpbusds */, X86::VPDPBUSDSZ128r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 15053 /* vpdpbusds */, X86::VPDPBUSDSZ128m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 15053 /* vpdpbusds */, X86::VPDPBUSDSZ256r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 15053 /* vpdpbusds */, X86::VPDPBUSDSZ256m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 15053 /* vpdpbusds */, X86::VPDPBUSDSZr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 15053 /* vpdpbusds */, X86::VPDPBUSDSZm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 15053 /* vpdpbusds */, X86::VPDPBUSDSZ128mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 15053 /* vpdpbusds */, X86::VPDPBUSDSZ256mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 15053 /* vpdpbusds */, X86::VPDPBUSDSZmb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 15053 /* vpdpbusds */, X86::VPDPBUSDSZ128rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 15053 /* vpdpbusds */, X86::VPDPBUSDSZ128mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 15053 /* vpdpbusds */, X86::VPDPBUSDSZ256rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 15053 /* vpdpbusds */, X86::VPDPBUSDSZ256mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 15053 /* vpdpbusds */, X86::VPDPBUSDSZrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 15053 /* vpdpbusds */, X86::VPDPBUSDSZmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 15053 /* vpdpbusds */, X86::VPDPBUSDSZ128rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 15053 /* vpdpbusds */, X86::VPDPBUSDSZ128mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 15053 /* vpdpbusds */, X86::VPDPBUSDSZ128mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 15053 /* vpdpbusds */, X86::VPDPBUSDSZ256rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 15053 /* vpdpbusds */, X86::VPDPBUSDSZ256mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 15053 /* vpdpbusds */, X86::VPDPBUSDSZ256mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 15053 /* vpdpbusds */, X86::VPDPBUSDSZrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 15053 /* vpdpbusds */, X86::VPDPBUSDSZmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 15053 /* vpdpbusds */, X86::VPDPBUSDSZmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 15053 /* vpdpbusds */, X86::VPDPBUSDSZ128mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 15053 /* vpdpbusds */, X86::VPDPBUSDSZ256mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 15053 /* vpdpbusds */, X86::VPDPBUSDSZmbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 15063 /* vpdpbuud */, X86::VPDPBUUDrr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 15063 /* vpdpbuud */, X86::VPDPBUUDrm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 15063 /* vpdpbuud */, X86::VPDPBUUDYrr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 15063 /* vpdpbuud */, X86::VPDPBUUDYrm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 15072 /* vpdpbuuds */, X86::VPDPBUUDSrr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 15072 /* vpdpbuuds */, X86::VPDPBUUDSrm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 15072 /* vpdpbuuds */, X86::VPDPBUUDSYrr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 15072 /* vpdpbuuds */, X86::VPDPBUUDSYrm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 15082 /* vpdpwssd */, X86::VPDPWSSDrr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 15082 /* vpdpwssd */, X86::VPDPWSSDrm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 15082 /* vpdpwssd */, X86::VPDPWSSDYrr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 15082 /* vpdpwssd */, X86::VPDPWSSDYrm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 15082 /* vpdpwssd */, X86::VPDPWSSDZ128r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 15082 /* vpdpwssd */, X86::VPDPWSSDZ128m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 15082 /* vpdpwssd */, X86::VPDPWSSDZ256r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 15082 /* vpdpwssd */, X86::VPDPWSSDZ256m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 15082 /* vpdpwssd */, X86::VPDPWSSDZr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 15082 /* vpdpwssd */, X86::VPDPWSSDZm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 15082 /* vpdpwssd */, X86::VPDPWSSDZ128mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 15082 /* vpdpwssd */, X86::VPDPWSSDZ256mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 15082 /* vpdpwssd */, X86::VPDPWSSDZmb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 15082 /* vpdpwssd */, X86::VPDPWSSDZ128rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 15082 /* vpdpwssd */, X86::VPDPWSSDZ128mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 15082 /* vpdpwssd */, X86::VPDPWSSDZ256rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 15082 /* vpdpwssd */, X86::VPDPWSSDZ256mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 15082 /* vpdpwssd */, X86::VPDPWSSDZrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 15082 /* vpdpwssd */, X86::VPDPWSSDZmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 15082 /* vpdpwssd */, X86::VPDPWSSDZ128rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 15082 /* vpdpwssd */, X86::VPDPWSSDZ128mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 15082 /* vpdpwssd */, X86::VPDPWSSDZ128mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 15082 /* vpdpwssd */, X86::VPDPWSSDZ256rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 15082 /* vpdpwssd */, X86::VPDPWSSDZ256mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 15082 /* vpdpwssd */, X86::VPDPWSSDZ256mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 15082 /* vpdpwssd */, X86::VPDPWSSDZrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 15082 /* vpdpwssd */, X86::VPDPWSSDZmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 15082 /* vpdpwssd */, X86::VPDPWSSDZmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 15082 /* vpdpwssd */, X86::VPDPWSSDZ128mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 15082 /* vpdpwssd */, X86::VPDPWSSDZ256mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 15082 /* vpdpwssd */, X86::VPDPWSSDZmbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 15091 /* vpdpwssds */, X86::VPDPWSSDSrr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 15091 /* vpdpwssds */, X86::VPDPWSSDSrm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 15091 /* vpdpwssds */, X86::VPDPWSSDSYrr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 15091 /* vpdpwssds */, X86::VPDPWSSDSYrm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 15091 /* vpdpwssds */, X86::VPDPWSSDSZ128r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 15091 /* vpdpwssds */, X86::VPDPWSSDSZ128m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 15091 /* vpdpwssds */, X86::VPDPWSSDSZ256r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 15091 /* vpdpwssds */, X86::VPDPWSSDSZ256m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 15091 /* vpdpwssds */, X86::VPDPWSSDSZr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 15091 /* vpdpwssds */, X86::VPDPWSSDSZm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 15091 /* vpdpwssds */, X86::VPDPWSSDSZ128mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 15091 /* vpdpwssds */, X86::VPDPWSSDSZ256mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 15091 /* vpdpwssds */, X86::VPDPWSSDSZmb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 15091 /* vpdpwssds */, X86::VPDPWSSDSZ128rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 15091 /* vpdpwssds */, X86::VPDPWSSDSZ128mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 15091 /* vpdpwssds */, X86::VPDPWSSDSZ256rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 15091 /* vpdpwssds */, X86::VPDPWSSDSZ256mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 15091 /* vpdpwssds */, X86::VPDPWSSDSZrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 15091 /* vpdpwssds */, X86::VPDPWSSDSZmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 15091 /* vpdpwssds */, X86::VPDPWSSDSZ128rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 15091 /* vpdpwssds */, X86::VPDPWSSDSZ128mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 15091 /* vpdpwssds */, X86::VPDPWSSDSZ128mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 15091 /* vpdpwssds */, X86::VPDPWSSDSZ256rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 15091 /* vpdpwssds */, X86::VPDPWSSDSZ256mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 15091 /* vpdpwssds */, X86::VPDPWSSDSZ256mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 15091 /* vpdpwssds */, X86::VPDPWSSDSZrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 15091 /* vpdpwssds */, X86::VPDPWSSDSZmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 15091 /* vpdpwssds */, X86::VPDPWSSDSZmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 15091 /* vpdpwssds */, X86::VPDPWSSDSZ128mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 15091 /* vpdpwssds */, X86::VPDPWSSDSZ256mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 15091 /* vpdpwssds */, X86::VPDPWSSDSZmbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 15101 /* vperm2f128 */, X86::VPERM2F128rr, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256, MCK_ImmUnsignedi8 }, },
{ 15101 /* vperm2f128 */, X86::VPERM2F128rm, Convert__Reg1_0__Reg1_1__Mem2565_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 15112 /* vperm2i128 */, X86::VPERM2I128rr, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256, MCK_ImmUnsignedi8 }, },
{ 15112 /* vperm2i128 */, X86::VPERM2I128rm, Convert__Reg1_0__Reg1_1__Mem2565_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 15123 /* vpermb */, X86::VPERMBZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 15123 /* vpermb */, X86::VPERMBZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 15123 /* vpermb */, X86::VPERMBZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 15123 /* vpermb */, X86::VPERMBZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 15123 /* vpermb */, X86::VPERMBZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 15123 /* vpermb */, X86::VPERMBZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 15123 /* vpermb */, X86::VPERMBZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 15123 /* vpermb */, X86::VPERMBZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 15123 /* vpermb */, X86::VPERMBZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 15123 /* vpermb */, X86::VPERMBZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 15123 /* vpermb */, X86::VPERMBZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 15123 /* vpermb */, X86::VPERMBZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 15123 /* vpermb */, X86::VPERMBZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 15123 /* vpermb */, X86::VPERMBZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 15123 /* vpermb */, X86::VPERMBZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 15123 /* vpermb */, X86::VPERMBZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 15123 /* vpermb */, X86::VPERMBZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 15123 /* vpermb */, X86::VPERMBZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 15130 /* vpermd */, X86::VPERMDYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 15130 /* vpermd */, X86::VPERMDYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 15130 /* vpermd */, X86::VPERMDZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 15130 /* vpermd */, X86::VPERMDZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 15130 /* vpermd */, X86::VPERMDZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 15130 /* vpermd */, X86::VPERMDZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 15130 /* vpermd */, X86::VPERMDZ256rmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 15130 /* vpermd */, X86::VPERMDZrmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 15130 /* vpermd */, X86::VPERMDZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 15130 /* vpermd */, X86::VPERMDZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 15130 /* vpermd */, X86::VPERMDZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 15130 /* vpermd */, X86::VPERMDZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 15130 /* vpermd */, X86::VPERMDZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 15130 /* vpermd */, X86::VPERMDZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 15130 /* vpermd */, X86::VPERMDZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 15130 /* vpermd */, X86::VPERMDZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 15130 /* vpermd */, X86::VPERMDZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 15130 /* vpermd */, X86::VPERMDZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 15130 /* vpermd */, X86::VPERMDZ256rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 15130 /* vpermd */, X86::VPERMDZrmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 15137 /* vpermi2b */, X86::VPERMI2B128rr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 15137 /* vpermi2b */, X86::VPERMI2B128rm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 15137 /* vpermi2b */, X86::VPERMI2B256rr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 15137 /* vpermi2b */, X86::VPERMI2B256rm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 15137 /* vpermi2b */, X86::VPERMI2Brr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 15137 /* vpermi2b */, X86::VPERMI2Brm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 15137 /* vpermi2b */, X86::VPERMI2B128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 15137 /* vpermi2b */, X86::VPERMI2B128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 15137 /* vpermi2b */, X86::VPERMI2B256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 15137 /* vpermi2b */, X86::VPERMI2B256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 15137 /* vpermi2b */, X86::VPERMI2Brrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 15137 /* vpermi2b */, X86::VPERMI2Brmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 15137 /* vpermi2b */, X86::VPERMI2B128rrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 15137 /* vpermi2b */, X86::VPERMI2B128rmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 15137 /* vpermi2b */, X86::VPERMI2B256rrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 15137 /* vpermi2b */, X86::VPERMI2B256rmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 15137 /* vpermi2b */, X86::VPERMI2Brrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 15137 /* vpermi2b */, X86::VPERMI2Brmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 15146 /* vpermi2d */, X86::VPERMI2D128rr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 15146 /* vpermi2d */, X86::VPERMI2D128rm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 15146 /* vpermi2d */, X86::VPERMI2D256rr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 15146 /* vpermi2d */, X86::VPERMI2D256rm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 15146 /* vpermi2d */, X86::VPERMI2Drr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 15146 /* vpermi2d */, X86::VPERMI2Drm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 15146 /* vpermi2d */, X86::VPERMI2D128rmb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 15146 /* vpermi2d */, X86::VPERMI2D256rmb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 15146 /* vpermi2d */, X86::VPERMI2Drmb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 15146 /* vpermi2d */, X86::VPERMI2D128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 15146 /* vpermi2d */, X86::VPERMI2D128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 15146 /* vpermi2d */, X86::VPERMI2D256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 15146 /* vpermi2d */, X86::VPERMI2D256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 15146 /* vpermi2d */, X86::VPERMI2Drrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 15146 /* vpermi2d */, X86::VPERMI2Drmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 15146 /* vpermi2d */, X86::VPERMI2D128rrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 15146 /* vpermi2d */, X86::VPERMI2D128rmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 15146 /* vpermi2d */, X86::VPERMI2D128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 15146 /* vpermi2d */, X86::VPERMI2D256rrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 15146 /* vpermi2d */, X86::VPERMI2D256rmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 15146 /* vpermi2d */, X86::VPERMI2D256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 15146 /* vpermi2d */, X86::VPERMI2Drrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 15146 /* vpermi2d */, X86::VPERMI2Drmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 15146 /* vpermi2d */, X86::VPERMI2Drmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 15146 /* vpermi2d */, X86::VPERMI2D128rmbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 15146 /* vpermi2d */, X86::VPERMI2D256rmbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 15146 /* vpermi2d */, X86::VPERMI2Drmbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 15155 /* vpermi2pd */, X86::VPERMI2PD128rr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 15155 /* vpermi2pd */, X86::VPERMI2PD128rm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 15155 /* vpermi2pd */, X86::VPERMI2PD256rr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 15155 /* vpermi2pd */, X86::VPERMI2PD256rm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 15155 /* vpermi2pd */, X86::VPERMI2PDrr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 15155 /* vpermi2pd */, X86::VPERMI2PDrm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 15155 /* vpermi2pd */, X86::VPERMI2PD128rmb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 15155 /* vpermi2pd */, X86::VPERMI2PD256rmb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 15155 /* vpermi2pd */, X86::VPERMI2PDrmb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 15155 /* vpermi2pd */, X86::VPERMI2PD128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 15155 /* vpermi2pd */, X86::VPERMI2PD128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 15155 /* vpermi2pd */, X86::VPERMI2PD256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 15155 /* vpermi2pd */, X86::VPERMI2PD256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 15155 /* vpermi2pd */, X86::VPERMI2PDrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 15155 /* vpermi2pd */, X86::VPERMI2PDrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 15155 /* vpermi2pd */, X86::VPERMI2PD128rrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 15155 /* vpermi2pd */, X86::VPERMI2PD128rmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 15155 /* vpermi2pd */, X86::VPERMI2PD128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 15155 /* vpermi2pd */, X86::VPERMI2PD256rrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 15155 /* vpermi2pd */, X86::VPERMI2PD256rmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 15155 /* vpermi2pd */, X86::VPERMI2PD256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 15155 /* vpermi2pd */, X86::VPERMI2PDrrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 15155 /* vpermi2pd */, X86::VPERMI2PDrmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 15155 /* vpermi2pd */, X86::VPERMI2PDrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 15155 /* vpermi2pd */, X86::VPERMI2PD128rmbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 15155 /* vpermi2pd */, X86::VPERMI2PD256rmbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 15155 /* vpermi2pd */, X86::VPERMI2PDrmbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 15165 /* vpermi2ps */, X86::VPERMI2PS128rr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 15165 /* vpermi2ps */, X86::VPERMI2PS128rm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 15165 /* vpermi2ps */, X86::VPERMI2PS256rr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 15165 /* vpermi2ps */, X86::VPERMI2PS256rm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 15165 /* vpermi2ps */, X86::VPERMI2PSrr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 15165 /* vpermi2ps */, X86::VPERMI2PSrm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 15165 /* vpermi2ps */, X86::VPERMI2PS128rmb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 15165 /* vpermi2ps */, X86::VPERMI2PS256rmb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 15165 /* vpermi2ps */, X86::VPERMI2PSrmb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 15165 /* vpermi2ps */, X86::VPERMI2PS128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 15165 /* vpermi2ps */, X86::VPERMI2PS128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 15165 /* vpermi2ps */, X86::VPERMI2PS256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 15165 /* vpermi2ps */, X86::VPERMI2PS256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 15165 /* vpermi2ps */, X86::VPERMI2PSrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 15165 /* vpermi2ps */, X86::VPERMI2PSrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 15165 /* vpermi2ps */, X86::VPERMI2PS128rrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 15165 /* vpermi2ps */, X86::VPERMI2PS128rmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 15165 /* vpermi2ps */, X86::VPERMI2PS128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 15165 /* vpermi2ps */, X86::VPERMI2PS256rrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 15165 /* vpermi2ps */, X86::VPERMI2PS256rmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 15165 /* vpermi2ps */, X86::VPERMI2PS256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 15165 /* vpermi2ps */, X86::VPERMI2PSrrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 15165 /* vpermi2ps */, X86::VPERMI2PSrmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 15165 /* vpermi2ps */, X86::VPERMI2PSrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 15165 /* vpermi2ps */, X86::VPERMI2PS128rmbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 15165 /* vpermi2ps */, X86::VPERMI2PS256rmbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 15165 /* vpermi2ps */, X86::VPERMI2PSrmbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 15175 /* vpermi2q */, X86::VPERMI2Q128rr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 15175 /* vpermi2q */, X86::VPERMI2Q128rm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 15175 /* vpermi2q */, X86::VPERMI2Q256rr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 15175 /* vpermi2q */, X86::VPERMI2Q256rm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 15175 /* vpermi2q */, X86::VPERMI2Qrr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 15175 /* vpermi2q */, X86::VPERMI2Qrm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 15175 /* vpermi2q */, X86::VPERMI2Q128rmb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 15175 /* vpermi2q */, X86::VPERMI2Q256rmb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 15175 /* vpermi2q */, X86::VPERMI2Qrmb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 15175 /* vpermi2q */, X86::VPERMI2Q128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 15175 /* vpermi2q */, X86::VPERMI2Q128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 15175 /* vpermi2q */, X86::VPERMI2Q256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 15175 /* vpermi2q */, X86::VPERMI2Q256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 15175 /* vpermi2q */, X86::VPERMI2Qrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 15175 /* vpermi2q */, X86::VPERMI2Qrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 15175 /* vpermi2q */, X86::VPERMI2Q128rrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 15175 /* vpermi2q */, X86::VPERMI2Q128rmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 15175 /* vpermi2q */, X86::VPERMI2Q128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 15175 /* vpermi2q */, X86::VPERMI2Q256rrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 15175 /* vpermi2q */, X86::VPERMI2Q256rmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 15175 /* vpermi2q */, X86::VPERMI2Q256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 15175 /* vpermi2q */, X86::VPERMI2Qrrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 15175 /* vpermi2q */, X86::VPERMI2Qrmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 15175 /* vpermi2q */, X86::VPERMI2Qrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 15175 /* vpermi2q */, X86::VPERMI2Q128rmbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 15175 /* vpermi2q */, X86::VPERMI2Q256rmbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 15175 /* vpermi2q */, X86::VPERMI2Qrmbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 15184 /* vpermi2w */, X86::VPERMI2W128rr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 15184 /* vpermi2w */, X86::VPERMI2W128rm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 15184 /* vpermi2w */, X86::VPERMI2W256rr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 15184 /* vpermi2w */, X86::VPERMI2W256rm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 15184 /* vpermi2w */, X86::VPERMI2Wrr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 15184 /* vpermi2w */, X86::VPERMI2Wrm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 15184 /* vpermi2w */, X86::VPERMI2W128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 15184 /* vpermi2w */, X86::VPERMI2W128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 15184 /* vpermi2w */, X86::VPERMI2W256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 15184 /* vpermi2w */, X86::VPERMI2W256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 15184 /* vpermi2w */, X86::VPERMI2Wrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 15184 /* vpermi2w */, X86::VPERMI2Wrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 15184 /* vpermi2w */, X86::VPERMI2W128rrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 15184 /* vpermi2w */, X86::VPERMI2W128rmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 15184 /* vpermi2w */, X86::VPERMI2W256rrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 15184 /* vpermi2w */, X86::VPERMI2W256rmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 15184 /* vpermi2w */, X86::VPERMI2Wrrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 15184 /* vpermi2w */, X86::VPERMI2Wrmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 15193 /* vpermil2pd */, X86::VPERMIL2PDrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3__ImmUnsignedi41_4, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_FR16, MCK_ImmUnsignedi4 }, },
{ 15193 /* vpermil2pd */, X86::VPERMIL2PDrm, Convert__Reg1_0__Reg1_1__Reg1_2__Mem1285_3__ImmUnsignedi41_4, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_Mem128, MCK_ImmUnsignedi4 }, },
{ 15193 /* vpermil2pd */, X86::VPERMIL2PDmr, Convert__Reg1_0__Reg1_1__Mem1285_2__Reg1_3__ImmUnsignedi41_4, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128, MCK_FR16, MCK_ImmUnsignedi4 }, },
{ 15193 /* vpermil2pd */, X86::VPERMIL2PDYrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3__ImmUnsignedi41_4, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256, MCK_VR256, MCK_ImmUnsignedi4 }, },
{ 15193 /* vpermil2pd */, X86::VPERMIL2PDYrm, Convert__Reg1_0__Reg1_1__Reg1_2__Mem2565_3__ImmUnsignedi41_4, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256, MCK_Mem256, MCK_ImmUnsignedi4 }, },
{ 15193 /* vpermil2pd */, X86::VPERMIL2PDYmr, Convert__Reg1_0__Reg1_1__Mem2565_2__Reg1_3__ImmUnsignedi41_4, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256, MCK_VR256, MCK_ImmUnsignedi4 }, },
{ 15204 /* vpermil2ps */, X86::VPERMIL2PSrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3__ImmUnsignedi41_4, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_FR16, MCK_ImmUnsignedi4 }, },
{ 15204 /* vpermil2ps */, X86::VPERMIL2PSrm, Convert__Reg1_0__Reg1_1__Reg1_2__Mem1285_3__ImmUnsignedi41_4, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_Mem128, MCK_ImmUnsignedi4 }, },
{ 15204 /* vpermil2ps */, X86::VPERMIL2PSmr, Convert__Reg1_0__Reg1_1__Mem1285_2__Reg1_3__ImmUnsignedi41_4, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128, MCK_FR16, MCK_ImmUnsignedi4 }, },
{ 15204 /* vpermil2ps */, X86::VPERMIL2PSYrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3__ImmUnsignedi41_4, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256, MCK_VR256, MCK_ImmUnsignedi4 }, },
{ 15204 /* vpermil2ps */, X86::VPERMIL2PSYrm, Convert__Reg1_0__Reg1_1__Reg1_2__Mem2565_3__ImmUnsignedi41_4, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256, MCK_Mem256, MCK_ImmUnsignedi4 }, },
{ 15204 /* vpermil2ps */, X86::VPERMIL2PSYmr, Convert__Reg1_0__Reg1_1__Mem2565_2__Reg1_3__ImmUnsignedi41_4, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256, MCK_VR256, MCK_ImmUnsignedi4 }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDmi, Convert__Reg1_0__Mem1285_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDYri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_ImmUnsignedi8 }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDYmi, Convert__Reg1_0__Mem2565_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR256, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZ128ri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZ128mi, Convert__Reg1_0__Mem1285_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZ256ri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZ256mi, Convert__Reg1_0__Mem2565_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZmi, Convert__Reg1_0__Mem5125_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZ128rmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZ128mbi, Convert__Reg1_0__Mem645_1__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_, MCK_ImmUnsignedi8 }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZ256rmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZ256mbi, Convert__Reg1_0__Mem645_1__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZrmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZmbi, Convert__Reg1_0__Mem645_1__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_Mem64, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZ128rik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZ128mik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4__ImmUnsignedi81_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZ256rik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZ256mik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZ128rikz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZ128mikz, Convert__Reg1_0__Reg1_2__Mem1285_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZ128mbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to2_125_, MCK_ImmUnsignedi8 }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZ256rikz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZ256mikz, Convert__Reg1_0__Reg1_2__Mem2565_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZ256mbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZrikz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZmikz, Convert__Reg1_0__Reg1_2__Mem5125_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZ128rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZ128mbikz, Convert__Reg1_0__Reg1_2__Mem645_5__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to2_125_, MCK_ImmUnsignedi8 }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZ256rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZ256mbikz, Convert__Reg1_0__Reg1_2__Mem645_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZrmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 15215 /* vpermilpd */, X86::VPERMILPDZmbikz, Convert__Reg1_0__Reg1_2__Mem645_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 15225 /* vpermilps */, X86::VPERMILPSrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 15225 /* vpermilps */, X86::VPERMILPSri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 15225 /* vpermilps */, X86::VPERMILPSrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 15225 /* vpermilps */, X86::VPERMILPSmi, Convert__Reg1_0__Mem1285_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 15225 /* vpermilps */, X86::VPERMILPSYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 15225 /* vpermilps */, X86::VPERMILPSYri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_ImmUnsignedi8 }, },
{ 15225 /* vpermilps */, X86::VPERMILPSYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 15225 /* vpermilps */, X86::VPERMILPSYmi, Convert__Reg1_0__Mem2565_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR256, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZ128ri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZ128mi, Convert__Reg1_0__Mem1285_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZ256ri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZ256mi, Convert__Reg1_0__Mem2565_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZmi, Convert__Reg1_0__Mem5125_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZ128rmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZ128mbi, Convert__Reg1_0__Mem325_1__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZ256rmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZ256mbi, Convert__Reg1_0__Mem325_1__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZrmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZmbi, Convert__Reg1_0__Mem325_1__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_Mem32, MCK__123_1to16_125_, MCK_ImmUnsignedi8 }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZ128rik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZ128mik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4__ImmUnsignedi81_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZ256rik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZ256mik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZ128rikz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZ128mikz, Convert__Reg1_0__Reg1_2__Mem1285_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZ128mbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZ256rikz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZ256mikz, Convert__Reg1_0__Reg1_2__Mem2565_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZ256mbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZrikz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZmikz, Convert__Reg1_0__Reg1_2__Mem5125_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to16_125_, MCK_ImmUnsignedi8 }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZ128rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZ128mbikz, Convert__Reg1_0__Reg1_2__Mem325_5__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZ256rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZ256mbikz, Convert__Reg1_0__Reg1_2__Mem325_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZrmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 15225 /* vpermilps */, X86::VPERMILPSZmbikz, Convert__Reg1_0__Reg1_2__Mem325_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to16_125_, MCK_ImmUnsignedi8 }, },
{ 15235 /* vpermpd */, X86::VPERMPDYri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_ImmUnsignedi8 }, },
{ 15235 /* vpermpd */, X86::VPERMPDYmi, Convert__Reg1_0__Mem2565_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR256, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 15235 /* vpermpd */, X86::VPERMPDZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 15235 /* vpermpd */, X86::VPERMPDZ256ri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 15235 /* vpermpd */, X86::VPERMPDZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 15235 /* vpermpd */, X86::VPERMPDZ256mi, Convert__Reg1_0__Mem2565_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 15235 /* vpermpd */, X86::VPERMPDZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 15235 /* vpermpd */, X86::VPERMPDZri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 15235 /* vpermpd */, X86::VPERMPDZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 15235 /* vpermpd */, X86::VPERMPDZmi, Convert__Reg1_0__Mem5125_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 15235 /* vpermpd */, X86::VPERMPDZ256rmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 15235 /* vpermpd */, X86::VPERMPDZ256mbi, Convert__Reg1_0__Mem645_1__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 15235 /* vpermpd */, X86::VPERMPDZrmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 15235 /* vpermpd */, X86::VPERMPDZmbi, Convert__Reg1_0__Mem645_1__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_Mem64, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 15235 /* vpermpd */, X86::VPERMPDZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 15235 /* vpermpd */, X86::VPERMPDZ256rik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 15235 /* vpermpd */, X86::VPERMPDZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 15235 /* vpermpd */, X86::VPERMPDZ256mik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 15235 /* vpermpd */, X86::VPERMPDZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 15235 /* vpermpd */, X86::VPERMPDZrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 15235 /* vpermpd */, X86::VPERMPDZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 15235 /* vpermpd */, X86::VPERMPDZmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 15235 /* vpermpd */, X86::VPERMPDZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 15235 /* vpermpd */, X86::VPERMPDZ256rikz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 15235 /* vpermpd */, X86::VPERMPDZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 15235 /* vpermpd */, X86::VPERMPDZ256mikz, Convert__Reg1_0__Reg1_2__Mem2565_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 15235 /* vpermpd */, X86::VPERMPDZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 15235 /* vpermpd */, X86::VPERMPDZ256mbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 15235 /* vpermpd */, X86::VPERMPDZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 15235 /* vpermpd */, X86::VPERMPDZrikz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 15235 /* vpermpd */, X86::VPERMPDZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 15235 /* vpermpd */, X86::VPERMPDZmikz, Convert__Reg1_0__Reg1_2__Mem5125_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 15235 /* vpermpd */, X86::VPERMPDZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 15235 /* vpermpd */, X86::VPERMPDZmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 15235 /* vpermpd */, X86::VPERMPDZ256rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 15235 /* vpermpd */, X86::VPERMPDZ256mbikz, Convert__Reg1_0__Reg1_2__Mem645_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 15235 /* vpermpd */, X86::VPERMPDZrmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 15235 /* vpermpd */, X86::VPERMPDZmbikz, Convert__Reg1_0__Reg1_2__Mem645_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 15243 /* vpermps */, X86::VPERMPSYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 15243 /* vpermps */, X86::VPERMPSYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 15243 /* vpermps */, X86::VPERMPSZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 15243 /* vpermps */, X86::VPERMPSZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 15243 /* vpermps */, X86::VPERMPSZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 15243 /* vpermps */, X86::VPERMPSZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 15243 /* vpermps */, X86::VPERMPSZ256rmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 15243 /* vpermps */, X86::VPERMPSZrmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 15243 /* vpermps */, X86::VPERMPSZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 15243 /* vpermps */, X86::VPERMPSZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 15243 /* vpermps */, X86::VPERMPSZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 15243 /* vpermps */, X86::VPERMPSZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 15243 /* vpermps */, X86::VPERMPSZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 15243 /* vpermps */, X86::VPERMPSZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 15243 /* vpermps */, X86::VPERMPSZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 15243 /* vpermps */, X86::VPERMPSZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 15243 /* vpermps */, X86::VPERMPSZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 15243 /* vpermps */, X86::VPERMPSZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 15243 /* vpermps */, X86::VPERMPSZ256rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 15243 /* vpermps */, X86::VPERMPSZrmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 15251 /* vpermq */, X86::VPERMQYri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_ImmUnsignedi8 }, },
{ 15251 /* vpermq */, X86::VPERMQYmi, Convert__Reg1_0__Mem2565_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR256, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 15251 /* vpermq */, X86::VPERMQZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 15251 /* vpermq */, X86::VPERMQZ256ri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 15251 /* vpermq */, X86::VPERMQZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 15251 /* vpermq */, X86::VPERMQZ256mi, Convert__Reg1_0__Mem2565_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 15251 /* vpermq */, X86::VPERMQZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 15251 /* vpermq */, X86::VPERMQZri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 15251 /* vpermq */, X86::VPERMQZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 15251 /* vpermq */, X86::VPERMQZmi, Convert__Reg1_0__Mem5125_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 15251 /* vpermq */, X86::VPERMQZ256rmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 15251 /* vpermq */, X86::VPERMQZ256mbi, Convert__Reg1_0__Mem645_1__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 15251 /* vpermq */, X86::VPERMQZrmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 15251 /* vpermq */, X86::VPERMQZmbi, Convert__Reg1_0__Mem645_1__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_Mem64, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 15251 /* vpermq */, X86::VPERMQZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 15251 /* vpermq */, X86::VPERMQZ256rik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 15251 /* vpermq */, X86::VPERMQZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 15251 /* vpermq */, X86::VPERMQZ256mik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 15251 /* vpermq */, X86::VPERMQZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 15251 /* vpermq */, X86::VPERMQZrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 15251 /* vpermq */, X86::VPERMQZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 15251 /* vpermq */, X86::VPERMQZmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 15251 /* vpermq */, X86::VPERMQZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 15251 /* vpermq */, X86::VPERMQZ256rikz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 15251 /* vpermq */, X86::VPERMQZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 15251 /* vpermq */, X86::VPERMQZ256mikz, Convert__Reg1_0__Reg1_2__Mem2565_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 15251 /* vpermq */, X86::VPERMQZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 15251 /* vpermq */, X86::VPERMQZ256mbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 15251 /* vpermq */, X86::VPERMQZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 15251 /* vpermq */, X86::VPERMQZrikz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 15251 /* vpermq */, X86::VPERMQZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 15251 /* vpermq */, X86::VPERMQZmikz, Convert__Reg1_0__Reg1_2__Mem5125_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 15251 /* vpermq */, X86::VPERMQZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 15251 /* vpermq */, X86::VPERMQZmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 15251 /* vpermq */, X86::VPERMQZ256rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 15251 /* vpermq */, X86::VPERMQZ256mbikz, Convert__Reg1_0__Reg1_2__Mem645_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 15251 /* vpermq */, X86::VPERMQZrmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 15251 /* vpermq */, X86::VPERMQZmbikz, Convert__Reg1_0__Reg1_2__Mem645_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 15258 /* vpermt2b */, X86::VPERMT2B128rr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 15258 /* vpermt2b */, X86::VPERMT2B128rm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 15258 /* vpermt2b */, X86::VPERMT2B256rr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 15258 /* vpermt2b */, X86::VPERMT2B256rm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 15258 /* vpermt2b */, X86::VPERMT2Brr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 15258 /* vpermt2b */, X86::VPERMT2Brm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 15258 /* vpermt2b */, X86::VPERMT2B128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 15258 /* vpermt2b */, X86::VPERMT2B128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 15258 /* vpermt2b */, X86::VPERMT2B256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 15258 /* vpermt2b */, X86::VPERMT2B256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 15258 /* vpermt2b */, X86::VPERMT2Brrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 15258 /* vpermt2b */, X86::VPERMT2Brmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 15258 /* vpermt2b */, X86::VPERMT2B128rrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 15258 /* vpermt2b */, X86::VPERMT2B128rmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 15258 /* vpermt2b */, X86::VPERMT2B256rrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 15258 /* vpermt2b */, X86::VPERMT2B256rmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 15258 /* vpermt2b */, X86::VPERMT2Brrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 15258 /* vpermt2b */, X86::VPERMT2Brmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 15267 /* vpermt2d */, X86::VPERMT2D128rr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 15267 /* vpermt2d */, X86::VPERMT2D128rm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 15267 /* vpermt2d */, X86::VPERMT2D256rr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 15267 /* vpermt2d */, X86::VPERMT2D256rm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 15267 /* vpermt2d */, X86::VPERMT2Drr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 15267 /* vpermt2d */, X86::VPERMT2Drm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 15267 /* vpermt2d */, X86::VPERMT2D128rmb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 15267 /* vpermt2d */, X86::VPERMT2D256rmb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 15267 /* vpermt2d */, X86::VPERMT2Drmb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 15267 /* vpermt2d */, X86::VPERMT2D128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 15267 /* vpermt2d */, X86::VPERMT2D128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 15267 /* vpermt2d */, X86::VPERMT2D256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 15267 /* vpermt2d */, X86::VPERMT2D256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 15267 /* vpermt2d */, X86::VPERMT2Drrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 15267 /* vpermt2d */, X86::VPERMT2Drmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 15267 /* vpermt2d */, X86::VPERMT2D128rrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 15267 /* vpermt2d */, X86::VPERMT2D128rmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 15267 /* vpermt2d */, X86::VPERMT2D128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 15267 /* vpermt2d */, X86::VPERMT2D256rrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 15267 /* vpermt2d */, X86::VPERMT2D256rmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 15267 /* vpermt2d */, X86::VPERMT2D256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 15267 /* vpermt2d */, X86::VPERMT2Drrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 15267 /* vpermt2d */, X86::VPERMT2Drmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 15267 /* vpermt2d */, X86::VPERMT2Drmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 15267 /* vpermt2d */, X86::VPERMT2D128rmbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 15267 /* vpermt2d */, X86::VPERMT2D256rmbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 15267 /* vpermt2d */, X86::VPERMT2Drmbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 15276 /* vpermt2pd */, X86::VPERMT2PD128rr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 15276 /* vpermt2pd */, X86::VPERMT2PD128rm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 15276 /* vpermt2pd */, X86::VPERMT2PD256rr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 15276 /* vpermt2pd */, X86::VPERMT2PD256rm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 15276 /* vpermt2pd */, X86::VPERMT2PDrr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 15276 /* vpermt2pd */, X86::VPERMT2PDrm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 15276 /* vpermt2pd */, X86::VPERMT2PD128rmb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 15276 /* vpermt2pd */, X86::VPERMT2PD256rmb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 15276 /* vpermt2pd */, X86::VPERMT2PDrmb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 15276 /* vpermt2pd */, X86::VPERMT2PD128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 15276 /* vpermt2pd */, X86::VPERMT2PD128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 15276 /* vpermt2pd */, X86::VPERMT2PD256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 15276 /* vpermt2pd */, X86::VPERMT2PD256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 15276 /* vpermt2pd */, X86::VPERMT2PDrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 15276 /* vpermt2pd */, X86::VPERMT2PDrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 15276 /* vpermt2pd */, X86::VPERMT2PD128rrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 15276 /* vpermt2pd */, X86::VPERMT2PD128rmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 15276 /* vpermt2pd */, X86::VPERMT2PD128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 15276 /* vpermt2pd */, X86::VPERMT2PD256rrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 15276 /* vpermt2pd */, X86::VPERMT2PD256rmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 15276 /* vpermt2pd */, X86::VPERMT2PD256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 15276 /* vpermt2pd */, X86::VPERMT2PDrrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 15276 /* vpermt2pd */, X86::VPERMT2PDrmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 15276 /* vpermt2pd */, X86::VPERMT2PDrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 15276 /* vpermt2pd */, X86::VPERMT2PD128rmbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 15276 /* vpermt2pd */, X86::VPERMT2PD256rmbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 15276 /* vpermt2pd */, X86::VPERMT2PDrmbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 15286 /* vpermt2ps */, X86::VPERMT2PS128rr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 15286 /* vpermt2ps */, X86::VPERMT2PS128rm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 15286 /* vpermt2ps */, X86::VPERMT2PS256rr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 15286 /* vpermt2ps */, X86::VPERMT2PS256rm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 15286 /* vpermt2ps */, X86::VPERMT2PSrr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 15286 /* vpermt2ps */, X86::VPERMT2PSrm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 15286 /* vpermt2ps */, X86::VPERMT2PS128rmb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 15286 /* vpermt2ps */, X86::VPERMT2PS256rmb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 15286 /* vpermt2ps */, X86::VPERMT2PSrmb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 15286 /* vpermt2ps */, X86::VPERMT2PS128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 15286 /* vpermt2ps */, X86::VPERMT2PS128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 15286 /* vpermt2ps */, X86::VPERMT2PS256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 15286 /* vpermt2ps */, X86::VPERMT2PS256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 15286 /* vpermt2ps */, X86::VPERMT2PSrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 15286 /* vpermt2ps */, X86::VPERMT2PSrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 15286 /* vpermt2ps */, X86::VPERMT2PS128rrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 15286 /* vpermt2ps */, X86::VPERMT2PS128rmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 15286 /* vpermt2ps */, X86::VPERMT2PS128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 15286 /* vpermt2ps */, X86::VPERMT2PS256rrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 15286 /* vpermt2ps */, X86::VPERMT2PS256rmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 15286 /* vpermt2ps */, X86::VPERMT2PS256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 15286 /* vpermt2ps */, X86::VPERMT2PSrrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 15286 /* vpermt2ps */, X86::VPERMT2PSrmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 15286 /* vpermt2ps */, X86::VPERMT2PSrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 15286 /* vpermt2ps */, X86::VPERMT2PS128rmbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 15286 /* vpermt2ps */, X86::VPERMT2PS256rmbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 15286 /* vpermt2ps */, X86::VPERMT2PSrmbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 15296 /* vpermt2q */, X86::VPERMT2Q128rr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 15296 /* vpermt2q */, X86::VPERMT2Q128rm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 15296 /* vpermt2q */, X86::VPERMT2Q256rr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 15296 /* vpermt2q */, X86::VPERMT2Q256rm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 15296 /* vpermt2q */, X86::VPERMT2Qrr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 15296 /* vpermt2q */, X86::VPERMT2Qrm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 15296 /* vpermt2q */, X86::VPERMT2Q128rmb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 15296 /* vpermt2q */, X86::VPERMT2Q256rmb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 15296 /* vpermt2q */, X86::VPERMT2Qrmb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 15296 /* vpermt2q */, X86::VPERMT2Q128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 15296 /* vpermt2q */, X86::VPERMT2Q128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 15296 /* vpermt2q */, X86::VPERMT2Q256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 15296 /* vpermt2q */, X86::VPERMT2Q256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 15296 /* vpermt2q */, X86::VPERMT2Qrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 15296 /* vpermt2q */, X86::VPERMT2Qrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 15296 /* vpermt2q */, X86::VPERMT2Q128rrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 15296 /* vpermt2q */, X86::VPERMT2Q128rmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 15296 /* vpermt2q */, X86::VPERMT2Q128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 15296 /* vpermt2q */, X86::VPERMT2Q256rrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 15296 /* vpermt2q */, X86::VPERMT2Q256rmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 15296 /* vpermt2q */, X86::VPERMT2Q256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 15296 /* vpermt2q */, X86::VPERMT2Qrrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 15296 /* vpermt2q */, X86::VPERMT2Qrmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 15296 /* vpermt2q */, X86::VPERMT2Qrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 15296 /* vpermt2q */, X86::VPERMT2Q128rmbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 15296 /* vpermt2q */, X86::VPERMT2Q256rmbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 15296 /* vpermt2q */, X86::VPERMT2Qrmbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 15305 /* vpermt2w */, X86::VPERMT2W128rr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 15305 /* vpermt2w */, X86::VPERMT2W128rm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 15305 /* vpermt2w */, X86::VPERMT2W256rr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 15305 /* vpermt2w */, X86::VPERMT2W256rm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 15305 /* vpermt2w */, X86::VPERMT2Wrr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 15305 /* vpermt2w */, X86::VPERMT2Wrm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 15305 /* vpermt2w */, X86::VPERMT2W128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 15305 /* vpermt2w */, X86::VPERMT2W128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 15305 /* vpermt2w */, X86::VPERMT2W256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 15305 /* vpermt2w */, X86::VPERMT2W256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 15305 /* vpermt2w */, X86::VPERMT2Wrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 15305 /* vpermt2w */, X86::VPERMT2Wrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 15305 /* vpermt2w */, X86::VPERMT2W128rrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 15305 /* vpermt2w */, X86::VPERMT2W128rmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 15305 /* vpermt2w */, X86::VPERMT2W256rrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 15305 /* vpermt2w */, X86::VPERMT2W256rmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 15305 /* vpermt2w */, X86::VPERMT2Wrrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 15305 /* vpermt2w */, X86::VPERMT2Wrmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 15314 /* vpermw */, X86::VPERMWZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 15314 /* vpermw */, X86::VPERMWZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 15314 /* vpermw */, X86::VPERMWZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 15314 /* vpermw */, X86::VPERMWZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 15314 /* vpermw */, X86::VPERMWZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 15314 /* vpermw */, X86::VPERMWZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 15314 /* vpermw */, X86::VPERMWZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 15314 /* vpermw */, X86::VPERMWZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 15314 /* vpermw */, X86::VPERMWZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 15314 /* vpermw */, X86::VPERMWZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 15314 /* vpermw */, X86::VPERMWZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 15314 /* vpermw */, X86::VPERMWZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 15314 /* vpermw */, X86::VPERMWZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 15314 /* vpermw */, X86::VPERMWZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 15314 /* vpermw */, X86::VPERMWZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 15314 /* vpermw */, X86::VPERMWZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 15314 /* vpermw */, X86::VPERMWZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 15314 /* vpermw */, X86::VPERMWZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 15321 /* vpexpandb */, X86::VPEXPANDBZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 15321 /* vpexpandb */, X86::VPEXPANDBZ128rm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16X, MCK_Mem128 }, },
{ 15321 /* vpexpandb */, X86::VPEXPANDBZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 15321 /* vpexpandb */, X86::VPEXPANDBZ256rm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR256X, MCK_Mem256 }, },
{ 15321 /* vpexpandb */, X86::VPEXPANDBZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 15321 /* vpexpandb */, X86::VPEXPANDBZrm, Convert__Reg1_0__Mem5125_1, AMFBS_None, { MCK_VR512, MCK_Mem512 }, },
{ 15321 /* vpexpandb */, X86::VPEXPANDBZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 15321 /* vpexpandb */, X86::VPEXPANDBZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 15321 /* vpexpandb */, X86::VPEXPANDBZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 15321 /* vpexpandb */, X86::VPEXPANDBZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 15321 /* vpexpandb */, X86::VPEXPANDBZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 15321 /* vpexpandb */, X86::VPEXPANDBZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512 }, },
{ 15321 /* vpexpandb */, X86::VPEXPANDBZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 15321 /* vpexpandb */, X86::VPEXPANDBZ128rmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 15321 /* vpexpandb */, X86::VPEXPANDBZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 15321 /* vpexpandb */, X86::VPEXPANDBZ256rmkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 15321 /* vpexpandb */, X86::VPEXPANDBZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 15321 /* vpexpandb */, X86::VPEXPANDBZrmkz, Convert__Reg1_0__Reg1_2__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512 }, },
{ 15331 /* vpexpandd */, X86::VPEXPANDDZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 15331 /* vpexpandd */, X86::VPEXPANDDZ128rm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16X, MCK_Mem128 }, },
{ 15331 /* vpexpandd */, X86::VPEXPANDDZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 15331 /* vpexpandd */, X86::VPEXPANDDZ256rm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR256X, MCK_Mem256 }, },
{ 15331 /* vpexpandd */, X86::VPEXPANDDZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 15331 /* vpexpandd */, X86::VPEXPANDDZrm, Convert__Reg1_0__Mem5125_1, AMFBS_None, { MCK_VR512, MCK_Mem512 }, },
{ 15331 /* vpexpandd */, X86::VPEXPANDDZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 15331 /* vpexpandd */, X86::VPEXPANDDZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 15331 /* vpexpandd */, X86::VPEXPANDDZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 15331 /* vpexpandd */, X86::VPEXPANDDZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 15331 /* vpexpandd */, X86::VPEXPANDDZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 15331 /* vpexpandd */, X86::VPEXPANDDZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512 }, },
{ 15331 /* vpexpandd */, X86::VPEXPANDDZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 15331 /* vpexpandd */, X86::VPEXPANDDZ128rmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 15331 /* vpexpandd */, X86::VPEXPANDDZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 15331 /* vpexpandd */, X86::VPEXPANDDZ256rmkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 15331 /* vpexpandd */, X86::VPEXPANDDZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 15331 /* vpexpandd */, X86::VPEXPANDDZrmkz, Convert__Reg1_0__Reg1_2__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512 }, },
{ 15341 /* vpexpandq */, X86::VPEXPANDQZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 15341 /* vpexpandq */, X86::VPEXPANDQZ128rm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16X, MCK_Mem128 }, },
{ 15341 /* vpexpandq */, X86::VPEXPANDQZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 15341 /* vpexpandq */, X86::VPEXPANDQZ256rm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR256X, MCK_Mem256 }, },
{ 15341 /* vpexpandq */, X86::VPEXPANDQZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 15341 /* vpexpandq */, X86::VPEXPANDQZrm, Convert__Reg1_0__Mem5125_1, AMFBS_None, { MCK_VR512, MCK_Mem512 }, },
{ 15341 /* vpexpandq */, X86::VPEXPANDQZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 15341 /* vpexpandq */, X86::VPEXPANDQZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 15341 /* vpexpandq */, X86::VPEXPANDQZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 15341 /* vpexpandq */, X86::VPEXPANDQZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 15341 /* vpexpandq */, X86::VPEXPANDQZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 15341 /* vpexpandq */, X86::VPEXPANDQZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512 }, },
{ 15341 /* vpexpandq */, X86::VPEXPANDQZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 15341 /* vpexpandq */, X86::VPEXPANDQZ128rmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 15341 /* vpexpandq */, X86::VPEXPANDQZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 15341 /* vpexpandq */, X86::VPEXPANDQZ256rmkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 15341 /* vpexpandq */, X86::VPEXPANDQZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 15341 /* vpexpandq */, X86::VPEXPANDQZrmkz, Convert__Reg1_0__Reg1_2__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512 }, },
{ 15351 /* vpexpandw */, X86::VPEXPANDWZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 15351 /* vpexpandw */, X86::VPEXPANDWZ128rm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16X, MCK_Mem128 }, },
{ 15351 /* vpexpandw */, X86::VPEXPANDWZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 15351 /* vpexpandw */, X86::VPEXPANDWZ256rm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR256X, MCK_Mem256 }, },
{ 15351 /* vpexpandw */, X86::VPEXPANDWZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 15351 /* vpexpandw */, X86::VPEXPANDWZrm, Convert__Reg1_0__Mem5125_1, AMFBS_None, { MCK_VR512, MCK_Mem512 }, },
{ 15351 /* vpexpandw */, X86::VPEXPANDWZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 15351 /* vpexpandw */, X86::VPEXPANDWZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 15351 /* vpexpandw */, X86::VPEXPANDWZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 15351 /* vpexpandw */, X86::VPEXPANDWZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 15351 /* vpexpandw */, X86::VPEXPANDWZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 15351 /* vpexpandw */, X86::VPEXPANDWZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512 }, },
{ 15351 /* vpexpandw */, X86::VPEXPANDWZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 15351 /* vpexpandw */, X86::VPEXPANDWZ128rmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 15351 /* vpexpandw */, X86::VPEXPANDWZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 15351 /* vpexpandw */, X86::VPEXPANDWZ256rmkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 15351 /* vpexpandw */, X86::VPEXPANDWZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 15351 /* vpexpandw */, X86::VPEXPANDWZrmkz, Convert__Reg1_0__Reg1_2__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512 }, },
{ 15361 /* vpextrb */, X86::VPEXTRBrr, Convert__GR32orGR641_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_GR32orGR64, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 15361 /* vpextrb */, X86::VPEXTRBZrr, Convert__GR32orGR641_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_GR32orGR64, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 15361 /* vpextrb */, X86::VPEXTRBmr, Convert__Mem85_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_Mem8, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 15361 /* vpextrb */, X86::VPEXTRBZmr, Convert__Mem85_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_Mem8, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 15369 /* vpextrd */, X86::VPEXTRDrr, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_GR32, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 15369 /* vpextrd */, X86::VPEXTRDZrr, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_GR32, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 15369 /* vpextrd */, X86::VPEXTRDmr, Convert__Mem325_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_Mem32, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 15369 /* vpextrd */, X86::VPEXTRDZmr, Convert__Mem325_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_Mem32, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 15377 /* vpextrq */, X86::VPEXTRQrr, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_GR64, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 15377 /* vpextrq */, X86::VPEXTRQZrr, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_GR64, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 15377 /* vpextrq */, X86::VPEXTRQmr, Convert__Mem645_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_Mem64, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 15377 /* vpextrq */, X86::VPEXTRQZmr, Convert__Mem645_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_Mem64, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 15385 /* vpextrw */, X86::VPEXTRWrr, Convert__GR32orGR641_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_GR32orGR64, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 15385 /* vpextrw */, X86::VPEXTRWZrr, Convert__GR32orGR641_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_GR32orGR64, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 15385 /* vpextrw */, X86::VPEXTRWmr, Convert__Mem165_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_Mem16, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 15385 /* vpextrw */, X86::VPEXTRWZmr, Convert__Mem165_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_Mem16, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 15393 /* vpgatherdd */, X86::VPGATHERDDrm, Convert__Reg1_0__Reg1_2__Tie0_1_1__Mem128_RC1285_1__Tie1_3_3, AMFBS_None, { MCK_FR16, MCK_Mem128_RC128, MCK_FR16 }, },
{ 15393 /* vpgatherdd */, X86::VPGATHERDDYrm, Convert__Reg1_0__Reg1_2__Tie0_1_1__Mem256_RC2565_1__Tie1_3_3, AMFBS_None, { MCK_VR256, MCK_Mem256_RC256, MCK_VR256 }, },
{ 15393 /* vpgatherdd */, X86::VPGATHERDDZ128rm, Convert__Reg1_0__Reg1_2__Tie0_1_1__Tie1_3_3__Mem128_RC128X5_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128_RC128X }, },
{ 15393 /* vpgatherdd */, X86::VPGATHERDDZ256rm, Convert__Reg1_0__Reg1_2__Tie0_1_1__Tie1_3_3__Mem256_RC256X5_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256_RC256X }, },
{ 15393 /* vpgatherdd */, X86::VPGATHERDDZrm, Convert__Reg1_0__Reg1_2__Tie0_1_1__Tie1_3_3__Mem512_RC5125_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512_RC512 }, },
{ 15404 /* vpgatherdq */, X86::VPGATHERDQrm, Convert__Reg1_0__Reg1_2__Tie0_1_1__Mem128_RC1285_1__Tie1_3_3, AMFBS_None, { MCK_FR16, MCK_Mem128_RC128, MCK_FR16 }, },
{ 15404 /* vpgatherdq */, X86::VPGATHERDQYrm, Convert__Reg1_0__Reg1_2__Tie0_1_1__Mem256_RC1285_1__Tie1_3_3, AMFBS_None, { MCK_VR256, MCK_Mem256_RC128, MCK_VR256 }, },
{ 15404 /* vpgatherdq */, X86::VPGATHERDQZ128rm, Convert__Reg1_0__Reg1_2__Tie0_1_1__Tie1_3_3__Mem128_RC128X5_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128_RC128X }, },
{ 15404 /* vpgatherdq */, X86::VPGATHERDQZ256rm, Convert__Reg1_0__Reg1_2__Tie0_1_1__Tie1_3_3__Mem256_RC128X5_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256_RC128X }, },
{ 15404 /* vpgatherdq */, X86::VPGATHERDQZrm, Convert__Reg1_0__Reg1_2__Tie0_1_1__Tie1_3_3__Mem512_RC256X5_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512_RC256X }, },
{ 15415 /* vpgatherqd */, X86::VPGATHERQDYrm, Convert__Reg1_0__Reg1_2__Tie0_1_1__Mem128_RC2565_1__Tie1_3_3, AMFBS_None, { MCK_FR16, MCK_Mem128_RC256, MCK_FR16 }, },
{ 15415 /* vpgatherqd */, X86::VPGATHERQDrm, Convert__Reg1_0__Reg1_2__Tie0_1_1__Mem64_RC1285_1__Tie1_3_3, AMFBS_None, { MCK_FR16, MCK_Mem64_RC128, MCK_FR16 }, },
{ 15415 /* vpgatherqd */, X86::VPGATHERQDZ256rm, Convert__Reg1_0__Reg1_2__Tie0_1_1__Tie1_3_3__Mem128_RC256X5_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128_RC256X }, },
{ 15415 /* vpgatherqd */, X86::VPGATHERQDZ128rm, Convert__Reg1_0__Reg1_2__Tie0_1_1__Tie1_3_3__Mem64_RC128X5_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64_RC128X }, },
{ 15415 /* vpgatherqd */, X86::VPGATHERQDZrm, Convert__Reg1_0__Reg1_2__Tie0_1_1__Tie1_3_3__Mem256_RC5125_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256_RC512 }, },
{ 15426 /* vpgatherqq */, X86::VPGATHERQQrm, Convert__Reg1_0__Reg1_2__Tie0_1_1__Mem128_RC1285_1__Tie1_3_3, AMFBS_None, { MCK_FR16, MCK_Mem128_RC128, MCK_FR16 }, },
{ 15426 /* vpgatherqq */, X86::VPGATHERQQYrm, Convert__Reg1_0__Reg1_2__Tie0_1_1__Mem256_RC2565_1__Tie1_3_3, AMFBS_None, { MCK_VR256, MCK_Mem256_RC256, MCK_VR256 }, },
{ 15426 /* vpgatherqq */, X86::VPGATHERQQZ128rm, Convert__Reg1_0__Reg1_2__Tie0_1_1__Tie1_3_3__Mem128_RC128X5_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128_RC128X }, },
{ 15426 /* vpgatherqq */, X86::VPGATHERQQZ256rm, Convert__Reg1_0__Reg1_2__Tie0_1_1__Tie1_3_3__Mem256_RC256X5_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256_RC256X }, },
{ 15426 /* vpgatherqq */, X86::VPGATHERQQZrm, Convert__Reg1_0__Reg1_2__Tie0_1_1__Tie1_3_3__Mem512_RC5125_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512_RC512 }, },
{ 15437 /* vphaddbd */, X86::VPHADDBDrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 15437 /* vphaddbd */, X86::VPHADDBDrm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 15446 /* vphaddbq */, X86::VPHADDBQrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 15446 /* vphaddbq */, X86::VPHADDBQrm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 15455 /* vphaddbw */, X86::VPHADDBWrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 15455 /* vphaddbw */, X86::VPHADDBWrm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 15464 /* vphaddd */, X86::VPHADDDrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 15464 /* vphaddd */, X86::VPHADDDrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 15464 /* vphaddd */, X86::VPHADDDYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 15464 /* vphaddd */, X86::VPHADDDYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 15472 /* vphadddq */, X86::VPHADDDQrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 15472 /* vphadddq */, X86::VPHADDDQrm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 15481 /* vphaddsw */, X86::VPHADDSWrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 15481 /* vphaddsw */, X86::VPHADDSWrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 15481 /* vphaddsw */, X86::VPHADDSWYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 15481 /* vphaddsw */, X86::VPHADDSWYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 15490 /* vphaddubd */, X86::VPHADDUBDrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 15490 /* vphaddubd */, X86::VPHADDUBDrm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 15500 /* vphaddubq */, X86::VPHADDUBQrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 15500 /* vphaddubq */, X86::VPHADDUBQrm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 15510 /* vphaddubw */, X86::VPHADDUBWrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 15510 /* vphaddubw */, X86::VPHADDUBWrm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 15520 /* vphaddudq */, X86::VPHADDUDQrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 15520 /* vphaddudq */, X86::VPHADDUDQrm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 15530 /* vphadduwd */, X86::VPHADDUWDrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 15530 /* vphadduwd */, X86::VPHADDUWDrm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 15540 /* vphadduwq */, X86::VPHADDUWQrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 15540 /* vphadduwq */, X86::VPHADDUWQrm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 15550 /* vphaddw */, X86::VPHADDWrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 15550 /* vphaddw */, X86::VPHADDWrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 15550 /* vphaddw */, X86::VPHADDWYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 15550 /* vphaddw */, X86::VPHADDWYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 15558 /* vphaddwd */, X86::VPHADDWDrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 15558 /* vphaddwd */, X86::VPHADDWDrm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 15567 /* vphaddwq */, X86::VPHADDWQrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 15567 /* vphaddwq */, X86::VPHADDWQrm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 15576 /* vphminposuw */, X86::VPHMINPOSUWrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 15576 /* vphminposuw */, X86::VPHMINPOSUWrm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 15588 /* vphsubbw */, X86::VPHSUBBWrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 15588 /* vphsubbw */, X86::VPHSUBBWrm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 15597 /* vphsubd */, X86::VPHSUBDrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 15597 /* vphsubd */, X86::VPHSUBDrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 15597 /* vphsubd */, X86::VPHSUBDYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 15597 /* vphsubd */, X86::VPHSUBDYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 15605 /* vphsubdq */, X86::VPHSUBDQrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 15605 /* vphsubdq */, X86::VPHSUBDQrm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 15614 /* vphsubsw */, X86::VPHSUBSWrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 15614 /* vphsubsw */, X86::VPHSUBSWrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 15614 /* vphsubsw */, X86::VPHSUBSWYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 15614 /* vphsubsw */, X86::VPHSUBSWYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 15623 /* vphsubw */, X86::VPHSUBWrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 15623 /* vphsubw */, X86::VPHSUBWrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 15623 /* vphsubw */, X86::VPHSUBWYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 15623 /* vphsubw */, X86::VPHSUBWYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 15631 /* vphsubwd */, X86::VPHSUBWDrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 15631 /* vphsubwd */, X86::VPHSUBWDrm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 15640 /* vpinsrb */, X86::VPINSRBrr, Convert__Reg1_0__Reg1_1__GR32orGR641_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_GR32orGR64, MCK_ImmUnsignedi8 }, },
{ 15640 /* vpinsrb */, X86::VPINSRBrm, Convert__Reg1_0__Reg1_1__Mem85_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem8, MCK_ImmUnsignedi8 }, },
{ 15640 /* vpinsrb */, X86::VPINSRBZrr, Convert__Reg1_0__Reg1_1__GR32orGR641_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_GR32orGR64, MCK_ImmUnsignedi8 }, },
{ 15640 /* vpinsrb */, X86::VPINSRBZrm, Convert__Reg1_0__Reg1_1__Mem85_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem8, MCK_ImmUnsignedi8 }, },
{ 15648 /* vpinsrd */, X86::VPINSRDrr, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_GR32, MCK_ImmUnsignedi8 }, },
{ 15648 /* vpinsrd */, X86::VPINSRDrm, Convert__Reg1_0__Reg1_1__Mem325_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem32, MCK_ImmUnsignedi8 }, },
{ 15648 /* vpinsrd */, X86::VPINSRDZrr, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_GR32, MCK_ImmUnsignedi8 }, },
{ 15648 /* vpinsrd */, X86::VPINSRDZrm, Convert__Reg1_0__Reg1_1__Mem325_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32, MCK_ImmUnsignedi8 }, },
{ 15656 /* vpinsrq */, X86::VPINSRQrr, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_GR64, MCK_ImmUnsignedi8 }, },
{ 15656 /* vpinsrq */, X86::VPINSRQrm, Convert__Reg1_0__Reg1_1__Mem645_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem64, MCK_ImmUnsignedi8 }, },
{ 15656 /* vpinsrq */, X86::VPINSRQZrr, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_GR64, MCK_ImmUnsignedi8 }, },
{ 15656 /* vpinsrq */, X86::VPINSRQZrm, Convert__Reg1_0__Reg1_1__Mem645_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64, MCK_ImmUnsignedi8 }, },
{ 15664 /* vpinsrw */, X86::VPINSRWrr, Convert__Reg1_0__Reg1_1__GR32orGR641_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_GR32orGR64, MCK_ImmUnsignedi8 }, },
{ 15664 /* vpinsrw */, X86::VPINSRWrm, Convert__Reg1_0__Reg1_1__Mem165_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem16, MCK_ImmUnsignedi8 }, },
{ 15664 /* vpinsrw */, X86::VPINSRWZrr, Convert__Reg1_0__Reg1_1__GR32orGR641_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_GR32orGR64, MCK_ImmUnsignedi8 }, },
{ 15664 /* vpinsrw */, X86::VPINSRWZrm, Convert__Reg1_0__Reg1_1__Mem165_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem16, MCK_ImmUnsignedi8 }, },
{ 15672 /* vplzcntd */, X86::VPLZCNTDZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 15672 /* vplzcntd */, X86::VPLZCNTDZ128rm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16X, MCK_Mem128 }, },
{ 15672 /* vplzcntd */, X86::VPLZCNTDZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 15672 /* vplzcntd */, X86::VPLZCNTDZ256rm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR256X, MCK_Mem256 }, },
{ 15672 /* vplzcntd */, X86::VPLZCNTDZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 15672 /* vplzcntd */, X86::VPLZCNTDZrm, Convert__Reg1_0__Mem5125_1, AMFBS_None, { MCK_VR512, MCK_Mem512 }, },
{ 15672 /* vplzcntd */, X86::VPLZCNTDZ128rmb, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 15672 /* vplzcntd */, X86::VPLZCNTDZ256rmb, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 15672 /* vplzcntd */, X86::VPLZCNTDZrmb, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 15672 /* vplzcntd */, X86::VPLZCNTDZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 15672 /* vplzcntd */, X86::VPLZCNTDZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 15672 /* vplzcntd */, X86::VPLZCNTDZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 15672 /* vplzcntd */, X86::VPLZCNTDZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 15672 /* vplzcntd */, X86::VPLZCNTDZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 15672 /* vplzcntd */, X86::VPLZCNTDZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512 }, },
{ 15672 /* vplzcntd */, X86::VPLZCNTDZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 15672 /* vplzcntd */, X86::VPLZCNTDZ128rmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 15672 /* vplzcntd */, X86::VPLZCNTDZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 15672 /* vplzcntd */, X86::VPLZCNTDZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 15672 /* vplzcntd */, X86::VPLZCNTDZ256rmkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 15672 /* vplzcntd */, X86::VPLZCNTDZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 15672 /* vplzcntd */, X86::VPLZCNTDZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 15672 /* vplzcntd */, X86::VPLZCNTDZrmkz, Convert__Reg1_0__Reg1_2__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512 }, },
{ 15672 /* vplzcntd */, X86::VPLZCNTDZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 15672 /* vplzcntd */, X86::VPLZCNTDZ128rmbkz, Convert__Reg1_0__Reg1_2__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 15672 /* vplzcntd */, X86::VPLZCNTDZ256rmbkz, Convert__Reg1_0__Reg1_2__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 15672 /* vplzcntd */, X86::VPLZCNTDZrmbkz, Convert__Reg1_0__Reg1_2__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 15681 /* vplzcntq */, X86::VPLZCNTQZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 15681 /* vplzcntq */, X86::VPLZCNTQZ128rm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16X, MCK_Mem128 }, },
{ 15681 /* vplzcntq */, X86::VPLZCNTQZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 15681 /* vplzcntq */, X86::VPLZCNTQZ256rm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR256X, MCK_Mem256 }, },
{ 15681 /* vplzcntq */, X86::VPLZCNTQZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 15681 /* vplzcntq */, X86::VPLZCNTQZrm, Convert__Reg1_0__Mem5125_1, AMFBS_None, { MCK_VR512, MCK_Mem512 }, },
{ 15681 /* vplzcntq */, X86::VPLZCNTQZ128rmb, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 15681 /* vplzcntq */, X86::VPLZCNTQZ256rmb, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 15681 /* vplzcntq */, X86::VPLZCNTQZrmb, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 15681 /* vplzcntq */, X86::VPLZCNTQZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 15681 /* vplzcntq */, X86::VPLZCNTQZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 15681 /* vplzcntq */, X86::VPLZCNTQZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 15681 /* vplzcntq */, X86::VPLZCNTQZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 15681 /* vplzcntq */, X86::VPLZCNTQZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 15681 /* vplzcntq */, X86::VPLZCNTQZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512 }, },
{ 15681 /* vplzcntq */, X86::VPLZCNTQZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 15681 /* vplzcntq */, X86::VPLZCNTQZ128rmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 15681 /* vplzcntq */, X86::VPLZCNTQZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 15681 /* vplzcntq */, X86::VPLZCNTQZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 15681 /* vplzcntq */, X86::VPLZCNTQZ256rmkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 15681 /* vplzcntq */, X86::VPLZCNTQZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 15681 /* vplzcntq */, X86::VPLZCNTQZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 15681 /* vplzcntq */, X86::VPLZCNTQZrmkz, Convert__Reg1_0__Reg1_2__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512 }, },
{ 15681 /* vplzcntq */, X86::VPLZCNTQZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 15681 /* vplzcntq */, X86::VPLZCNTQZ128rmbkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 15681 /* vplzcntq */, X86::VPLZCNTQZ256rmbkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 15681 /* vplzcntq */, X86::VPLZCNTQZrmbkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 15690 /* vpmacsdd */, X86::VPMACSDDrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 15690 /* vpmacsdd */, X86::VPMACSDDrm, Convert__Reg1_0__Reg1_1__Mem1285_2__Reg1_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128, MCK_FR16 }, },
{ 15699 /* vpmacsdqh */, X86::VPMACSDQHrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 15699 /* vpmacsdqh */, X86::VPMACSDQHrm, Convert__Reg1_0__Reg1_1__Mem1285_2__Reg1_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128, MCK_FR16 }, },
{ 15709 /* vpmacsdql */, X86::VPMACSDQLrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 15709 /* vpmacsdql */, X86::VPMACSDQLrm, Convert__Reg1_0__Reg1_1__Mem1285_2__Reg1_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128, MCK_FR16 }, },
{ 15719 /* vpmacssdd */, X86::VPMACSSDDrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 15719 /* vpmacssdd */, X86::VPMACSSDDrm, Convert__Reg1_0__Reg1_1__Mem1285_2__Reg1_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128, MCK_FR16 }, },
{ 15729 /* vpmacssdqh */, X86::VPMACSSDQHrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 15729 /* vpmacssdqh */, X86::VPMACSSDQHrm, Convert__Reg1_0__Reg1_1__Mem1285_2__Reg1_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128, MCK_FR16 }, },
{ 15740 /* vpmacssdql */, X86::VPMACSSDQLrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 15740 /* vpmacssdql */, X86::VPMACSSDQLrm, Convert__Reg1_0__Reg1_1__Mem1285_2__Reg1_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128, MCK_FR16 }, },
{ 15751 /* vpmacsswd */, X86::VPMACSSWDrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 15751 /* vpmacsswd */, X86::VPMACSSWDrm, Convert__Reg1_0__Reg1_1__Mem1285_2__Reg1_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128, MCK_FR16 }, },
{ 15761 /* vpmacssww */, X86::VPMACSSWWrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 15761 /* vpmacssww */, X86::VPMACSSWWrm, Convert__Reg1_0__Reg1_1__Mem1285_2__Reg1_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128, MCK_FR16 }, },
{ 15771 /* vpmacswd */, X86::VPMACSWDrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 15771 /* vpmacswd */, X86::VPMACSWDrm, Convert__Reg1_0__Reg1_1__Mem1285_2__Reg1_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128, MCK_FR16 }, },
{ 15780 /* vpmacsww */, X86::VPMACSWWrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 15780 /* vpmacsww */, X86::VPMACSWWrm, Convert__Reg1_0__Reg1_1__Mem1285_2__Reg1_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128, MCK_FR16 }, },
{ 15789 /* vpmadcsswd */, X86::VPMADCSSWDrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 15789 /* vpmadcsswd */, X86::VPMADCSSWDrm, Convert__Reg1_0__Reg1_1__Mem1285_2__Reg1_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128, MCK_FR16 }, },
{ 15800 /* vpmadcswd */, X86::VPMADCSWDrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 15800 /* vpmadcswd */, X86::VPMADCSWDrm, Convert__Reg1_0__Reg1_1__Mem1285_2__Reg1_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128, MCK_FR16 }, },
{ 15810 /* vpmadd52huq */, X86::VPMADD52HUQrr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 15810 /* vpmadd52huq */, X86::VPMADD52HUQrm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 15810 /* vpmadd52huq */, X86::VPMADD52HUQYrr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 15810 /* vpmadd52huq */, X86::VPMADD52HUQYrm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 15810 /* vpmadd52huq */, X86::VPMADD52HUQZ128r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 15810 /* vpmadd52huq */, X86::VPMADD52HUQZ128m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 15810 /* vpmadd52huq */, X86::VPMADD52HUQZ256r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 15810 /* vpmadd52huq */, X86::VPMADD52HUQZ256m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 15810 /* vpmadd52huq */, X86::VPMADD52HUQZr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 15810 /* vpmadd52huq */, X86::VPMADD52HUQZm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 15810 /* vpmadd52huq */, X86::VPMADD52HUQZ128mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 15810 /* vpmadd52huq */, X86::VPMADD52HUQZ256mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 15810 /* vpmadd52huq */, X86::VPMADD52HUQZmb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 15810 /* vpmadd52huq */, X86::VPMADD52HUQZ128rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 15810 /* vpmadd52huq */, X86::VPMADD52HUQZ128mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 15810 /* vpmadd52huq */, X86::VPMADD52HUQZ256rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 15810 /* vpmadd52huq */, X86::VPMADD52HUQZ256mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 15810 /* vpmadd52huq */, X86::VPMADD52HUQZrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 15810 /* vpmadd52huq */, X86::VPMADD52HUQZmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 15810 /* vpmadd52huq */, X86::VPMADD52HUQZ128rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 15810 /* vpmadd52huq */, X86::VPMADD52HUQZ128mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 15810 /* vpmadd52huq */, X86::VPMADD52HUQZ128mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 15810 /* vpmadd52huq */, X86::VPMADD52HUQZ256rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 15810 /* vpmadd52huq */, X86::VPMADD52HUQZ256mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 15810 /* vpmadd52huq */, X86::VPMADD52HUQZ256mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 15810 /* vpmadd52huq */, X86::VPMADD52HUQZrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 15810 /* vpmadd52huq */, X86::VPMADD52HUQZmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 15810 /* vpmadd52huq */, X86::VPMADD52HUQZmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 15810 /* vpmadd52huq */, X86::VPMADD52HUQZ128mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 15810 /* vpmadd52huq */, X86::VPMADD52HUQZ256mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 15810 /* vpmadd52huq */, X86::VPMADD52HUQZmbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 15822 /* vpmadd52luq */, X86::VPMADD52LUQrr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 15822 /* vpmadd52luq */, X86::VPMADD52LUQrm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 15822 /* vpmadd52luq */, X86::VPMADD52LUQYrr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 15822 /* vpmadd52luq */, X86::VPMADD52LUQYrm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 15822 /* vpmadd52luq */, X86::VPMADD52LUQZ128r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 15822 /* vpmadd52luq */, X86::VPMADD52LUQZ128m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 15822 /* vpmadd52luq */, X86::VPMADD52LUQZ256r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 15822 /* vpmadd52luq */, X86::VPMADD52LUQZ256m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 15822 /* vpmadd52luq */, X86::VPMADD52LUQZr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 15822 /* vpmadd52luq */, X86::VPMADD52LUQZm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 15822 /* vpmadd52luq */, X86::VPMADD52LUQZ128mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 15822 /* vpmadd52luq */, X86::VPMADD52LUQZ256mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 15822 /* vpmadd52luq */, X86::VPMADD52LUQZmb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 15822 /* vpmadd52luq */, X86::VPMADD52LUQZ128rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 15822 /* vpmadd52luq */, X86::VPMADD52LUQZ128mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 15822 /* vpmadd52luq */, X86::VPMADD52LUQZ256rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 15822 /* vpmadd52luq */, X86::VPMADD52LUQZ256mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 15822 /* vpmadd52luq */, X86::VPMADD52LUQZrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 15822 /* vpmadd52luq */, X86::VPMADD52LUQZmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 15822 /* vpmadd52luq */, X86::VPMADD52LUQZ128rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 15822 /* vpmadd52luq */, X86::VPMADD52LUQZ128mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 15822 /* vpmadd52luq */, X86::VPMADD52LUQZ128mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 15822 /* vpmadd52luq */, X86::VPMADD52LUQZ256rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 15822 /* vpmadd52luq */, X86::VPMADD52LUQZ256mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 15822 /* vpmadd52luq */, X86::VPMADD52LUQZ256mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 15822 /* vpmadd52luq */, X86::VPMADD52LUQZrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 15822 /* vpmadd52luq */, X86::VPMADD52LUQZmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 15822 /* vpmadd52luq */, X86::VPMADD52LUQZmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 15822 /* vpmadd52luq */, X86::VPMADD52LUQZ128mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 15822 /* vpmadd52luq */, X86::VPMADD52LUQZ256mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 15822 /* vpmadd52luq */, X86::VPMADD52LUQZmbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 15834 /* vpmaddubsw */, X86::VPMADDUBSWrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 15834 /* vpmaddubsw */, X86::VPMADDUBSWrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 15834 /* vpmaddubsw */, X86::VPMADDUBSWYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 15834 /* vpmaddubsw */, X86::VPMADDUBSWYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 15834 /* vpmaddubsw */, X86::VPMADDUBSWZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 15834 /* vpmaddubsw */, X86::VPMADDUBSWZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 15834 /* vpmaddubsw */, X86::VPMADDUBSWZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 15834 /* vpmaddubsw */, X86::VPMADDUBSWZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 15834 /* vpmaddubsw */, X86::VPMADDUBSWZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 15834 /* vpmaddubsw */, X86::VPMADDUBSWZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 15834 /* vpmaddubsw */, X86::VPMADDUBSWZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 15834 /* vpmaddubsw */, X86::VPMADDUBSWZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 15834 /* vpmaddubsw */, X86::VPMADDUBSWZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 15834 /* vpmaddubsw */, X86::VPMADDUBSWZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 15834 /* vpmaddubsw */, X86::VPMADDUBSWZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 15834 /* vpmaddubsw */, X86::VPMADDUBSWZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 15834 /* vpmaddubsw */, X86::VPMADDUBSWZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 15834 /* vpmaddubsw */, X86::VPMADDUBSWZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 15834 /* vpmaddubsw */, X86::VPMADDUBSWZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 15834 /* vpmaddubsw */, X86::VPMADDUBSWZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 15834 /* vpmaddubsw */, X86::VPMADDUBSWZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 15834 /* vpmaddubsw */, X86::VPMADDUBSWZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 15845 /* vpmaddwd */, X86::VPMADDWDrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 15845 /* vpmaddwd */, X86::VPMADDWDrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 15845 /* vpmaddwd */, X86::VPMADDWDYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 15845 /* vpmaddwd */, X86::VPMADDWDYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 15845 /* vpmaddwd */, X86::VPMADDWDZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 15845 /* vpmaddwd */, X86::VPMADDWDZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 15845 /* vpmaddwd */, X86::VPMADDWDZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 15845 /* vpmaddwd */, X86::VPMADDWDZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 15845 /* vpmaddwd */, X86::VPMADDWDZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 15845 /* vpmaddwd */, X86::VPMADDWDZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 15845 /* vpmaddwd */, X86::VPMADDWDZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 15845 /* vpmaddwd */, X86::VPMADDWDZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 15845 /* vpmaddwd */, X86::VPMADDWDZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 15845 /* vpmaddwd */, X86::VPMADDWDZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 15845 /* vpmaddwd */, X86::VPMADDWDZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 15845 /* vpmaddwd */, X86::VPMADDWDZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 15845 /* vpmaddwd */, X86::VPMADDWDZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 15845 /* vpmaddwd */, X86::VPMADDWDZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 15845 /* vpmaddwd */, X86::VPMADDWDZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 15845 /* vpmaddwd */, X86::VPMADDWDZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 15845 /* vpmaddwd */, X86::VPMADDWDZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 15845 /* vpmaddwd */, X86::VPMADDWDZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 15854 /* vpmaskmovd */, X86::VPMASKMOVDrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 15854 /* vpmaskmovd */, X86::VPMASKMOVDYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 15854 /* vpmaskmovd */, X86::VPMASKMOVDmr, Convert__Mem1285_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 15854 /* vpmaskmovd */, X86::VPMASKMOVDYmr, Convert__Mem2565_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 15865 /* vpmaskmovq */, X86::VPMASKMOVQrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 15865 /* vpmaskmovq */, X86::VPMASKMOVQYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 15865 /* vpmaskmovq */, X86::VPMASKMOVQmr, Convert__Mem1285_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_Mem128, MCK_FR16, MCK_FR16 }, },
{ 15865 /* vpmaskmovq */, X86::VPMASKMOVQYmr, Convert__Mem2565_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_Mem256, MCK_VR256, MCK_VR256 }, },
{ 15876 /* vpmaxsb */, X86::VPMAXSBrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 15876 /* vpmaxsb */, X86::VPMAXSBrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 15876 /* vpmaxsb */, X86::VPMAXSBYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 15876 /* vpmaxsb */, X86::VPMAXSBYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 15876 /* vpmaxsb */, X86::VPMAXSBZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 15876 /* vpmaxsb */, X86::VPMAXSBZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 15876 /* vpmaxsb */, X86::VPMAXSBZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 15876 /* vpmaxsb */, X86::VPMAXSBZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 15876 /* vpmaxsb */, X86::VPMAXSBZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 15876 /* vpmaxsb */, X86::VPMAXSBZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 15876 /* vpmaxsb */, X86::VPMAXSBZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 15876 /* vpmaxsb */, X86::VPMAXSBZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 15876 /* vpmaxsb */, X86::VPMAXSBZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 15876 /* vpmaxsb */, X86::VPMAXSBZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 15876 /* vpmaxsb */, X86::VPMAXSBZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 15876 /* vpmaxsb */, X86::VPMAXSBZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 15876 /* vpmaxsb */, X86::VPMAXSBZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 15876 /* vpmaxsb */, X86::VPMAXSBZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 15876 /* vpmaxsb */, X86::VPMAXSBZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 15876 /* vpmaxsb */, X86::VPMAXSBZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 15876 /* vpmaxsb */, X86::VPMAXSBZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 15876 /* vpmaxsb */, X86::VPMAXSBZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 15884 /* vpmaxsd */, X86::VPMAXSDrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 15884 /* vpmaxsd */, X86::VPMAXSDrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 15884 /* vpmaxsd */, X86::VPMAXSDYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 15884 /* vpmaxsd */, X86::VPMAXSDYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 15884 /* vpmaxsd */, X86::VPMAXSDZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 15884 /* vpmaxsd */, X86::VPMAXSDZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 15884 /* vpmaxsd */, X86::VPMAXSDZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 15884 /* vpmaxsd */, X86::VPMAXSDZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 15884 /* vpmaxsd */, X86::VPMAXSDZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 15884 /* vpmaxsd */, X86::VPMAXSDZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 15884 /* vpmaxsd */, X86::VPMAXSDZ128rmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 15884 /* vpmaxsd */, X86::VPMAXSDZ256rmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 15884 /* vpmaxsd */, X86::VPMAXSDZrmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 15884 /* vpmaxsd */, X86::VPMAXSDZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 15884 /* vpmaxsd */, X86::VPMAXSDZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 15884 /* vpmaxsd */, X86::VPMAXSDZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 15884 /* vpmaxsd */, X86::VPMAXSDZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 15884 /* vpmaxsd */, X86::VPMAXSDZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 15884 /* vpmaxsd */, X86::VPMAXSDZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 15884 /* vpmaxsd */, X86::VPMAXSDZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 15884 /* vpmaxsd */, X86::VPMAXSDZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 15884 /* vpmaxsd */, X86::VPMAXSDZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 15884 /* vpmaxsd */, X86::VPMAXSDZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 15884 /* vpmaxsd */, X86::VPMAXSDZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 15884 /* vpmaxsd */, X86::VPMAXSDZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 15884 /* vpmaxsd */, X86::VPMAXSDZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 15884 /* vpmaxsd */, X86::VPMAXSDZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 15884 /* vpmaxsd */, X86::VPMAXSDZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 15884 /* vpmaxsd */, X86::VPMAXSDZ128rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 15884 /* vpmaxsd */, X86::VPMAXSDZ256rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 15884 /* vpmaxsd */, X86::VPMAXSDZrmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 15892 /* vpmaxsq */, X86::VPMAXSQZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 15892 /* vpmaxsq */, X86::VPMAXSQZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 15892 /* vpmaxsq */, X86::VPMAXSQZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 15892 /* vpmaxsq */, X86::VPMAXSQZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 15892 /* vpmaxsq */, X86::VPMAXSQZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 15892 /* vpmaxsq */, X86::VPMAXSQZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 15892 /* vpmaxsq */, X86::VPMAXSQZ128rmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 15892 /* vpmaxsq */, X86::VPMAXSQZ256rmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 15892 /* vpmaxsq */, X86::VPMAXSQZrmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 15892 /* vpmaxsq */, X86::VPMAXSQZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 15892 /* vpmaxsq */, X86::VPMAXSQZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 15892 /* vpmaxsq */, X86::VPMAXSQZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 15892 /* vpmaxsq */, X86::VPMAXSQZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 15892 /* vpmaxsq */, X86::VPMAXSQZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 15892 /* vpmaxsq */, X86::VPMAXSQZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 15892 /* vpmaxsq */, X86::VPMAXSQZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 15892 /* vpmaxsq */, X86::VPMAXSQZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 15892 /* vpmaxsq */, X86::VPMAXSQZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 15892 /* vpmaxsq */, X86::VPMAXSQZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 15892 /* vpmaxsq */, X86::VPMAXSQZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 15892 /* vpmaxsq */, X86::VPMAXSQZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 15892 /* vpmaxsq */, X86::VPMAXSQZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 15892 /* vpmaxsq */, X86::VPMAXSQZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 15892 /* vpmaxsq */, X86::VPMAXSQZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 15892 /* vpmaxsq */, X86::VPMAXSQZ128rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 15892 /* vpmaxsq */, X86::VPMAXSQZ256rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 15892 /* vpmaxsq */, X86::VPMAXSQZrmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 15900 /* vpmaxsw */, X86::VPMAXSWrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 15900 /* vpmaxsw */, X86::VPMAXSWrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 15900 /* vpmaxsw */, X86::VPMAXSWYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 15900 /* vpmaxsw */, X86::VPMAXSWYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 15900 /* vpmaxsw */, X86::VPMAXSWZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 15900 /* vpmaxsw */, X86::VPMAXSWZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 15900 /* vpmaxsw */, X86::VPMAXSWZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 15900 /* vpmaxsw */, X86::VPMAXSWZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 15900 /* vpmaxsw */, X86::VPMAXSWZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 15900 /* vpmaxsw */, X86::VPMAXSWZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 15900 /* vpmaxsw */, X86::VPMAXSWZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 15900 /* vpmaxsw */, X86::VPMAXSWZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 15900 /* vpmaxsw */, X86::VPMAXSWZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 15900 /* vpmaxsw */, X86::VPMAXSWZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 15900 /* vpmaxsw */, X86::VPMAXSWZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 15900 /* vpmaxsw */, X86::VPMAXSWZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 15900 /* vpmaxsw */, X86::VPMAXSWZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 15900 /* vpmaxsw */, X86::VPMAXSWZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 15900 /* vpmaxsw */, X86::VPMAXSWZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 15900 /* vpmaxsw */, X86::VPMAXSWZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 15900 /* vpmaxsw */, X86::VPMAXSWZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 15900 /* vpmaxsw */, X86::VPMAXSWZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 15908 /* vpmaxub */, X86::VPMAXUBrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 15908 /* vpmaxub */, X86::VPMAXUBrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 15908 /* vpmaxub */, X86::VPMAXUBYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 15908 /* vpmaxub */, X86::VPMAXUBYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 15908 /* vpmaxub */, X86::VPMAXUBZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 15908 /* vpmaxub */, X86::VPMAXUBZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 15908 /* vpmaxub */, X86::VPMAXUBZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 15908 /* vpmaxub */, X86::VPMAXUBZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 15908 /* vpmaxub */, X86::VPMAXUBZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 15908 /* vpmaxub */, X86::VPMAXUBZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 15908 /* vpmaxub */, X86::VPMAXUBZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 15908 /* vpmaxub */, X86::VPMAXUBZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 15908 /* vpmaxub */, X86::VPMAXUBZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 15908 /* vpmaxub */, X86::VPMAXUBZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 15908 /* vpmaxub */, X86::VPMAXUBZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 15908 /* vpmaxub */, X86::VPMAXUBZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 15908 /* vpmaxub */, X86::VPMAXUBZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 15908 /* vpmaxub */, X86::VPMAXUBZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 15908 /* vpmaxub */, X86::VPMAXUBZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 15908 /* vpmaxub */, X86::VPMAXUBZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 15908 /* vpmaxub */, X86::VPMAXUBZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 15908 /* vpmaxub */, X86::VPMAXUBZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 15916 /* vpmaxud */, X86::VPMAXUDrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 15916 /* vpmaxud */, X86::VPMAXUDrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 15916 /* vpmaxud */, X86::VPMAXUDYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 15916 /* vpmaxud */, X86::VPMAXUDYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 15916 /* vpmaxud */, X86::VPMAXUDZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 15916 /* vpmaxud */, X86::VPMAXUDZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 15916 /* vpmaxud */, X86::VPMAXUDZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 15916 /* vpmaxud */, X86::VPMAXUDZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 15916 /* vpmaxud */, X86::VPMAXUDZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 15916 /* vpmaxud */, X86::VPMAXUDZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 15916 /* vpmaxud */, X86::VPMAXUDZ128rmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 15916 /* vpmaxud */, X86::VPMAXUDZ256rmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 15916 /* vpmaxud */, X86::VPMAXUDZrmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 15916 /* vpmaxud */, X86::VPMAXUDZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 15916 /* vpmaxud */, X86::VPMAXUDZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 15916 /* vpmaxud */, X86::VPMAXUDZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 15916 /* vpmaxud */, X86::VPMAXUDZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 15916 /* vpmaxud */, X86::VPMAXUDZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 15916 /* vpmaxud */, X86::VPMAXUDZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 15916 /* vpmaxud */, X86::VPMAXUDZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 15916 /* vpmaxud */, X86::VPMAXUDZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 15916 /* vpmaxud */, X86::VPMAXUDZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 15916 /* vpmaxud */, X86::VPMAXUDZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 15916 /* vpmaxud */, X86::VPMAXUDZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 15916 /* vpmaxud */, X86::VPMAXUDZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 15916 /* vpmaxud */, X86::VPMAXUDZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 15916 /* vpmaxud */, X86::VPMAXUDZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 15916 /* vpmaxud */, X86::VPMAXUDZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 15916 /* vpmaxud */, X86::VPMAXUDZ128rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 15916 /* vpmaxud */, X86::VPMAXUDZ256rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 15916 /* vpmaxud */, X86::VPMAXUDZrmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 15924 /* vpmaxuq */, X86::VPMAXUQZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 15924 /* vpmaxuq */, X86::VPMAXUQZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 15924 /* vpmaxuq */, X86::VPMAXUQZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 15924 /* vpmaxuq */, X86::VPMAXUQZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 15924 /* vpmaxuq */, X86::VPMAXUQZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 15924 /* vpmaxuq */, X86::VPMAXUQZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 15924 /* vpmaxuq */, X86::VPMAXUQZ128rmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 15924 /* vpmaxuq */, X86::VPMAXUQZ256rmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 15924 /* vpmaxuq */, X86::VPMAXUQZrmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 15924 /* vpmaxuq */, X86::VPMAXUQZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 15924 /* vpmaxuq */, X86::VPMAXUQZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 15924 /* vpmaxuq */, X86::VPMAXUQZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 15924 /* vpmaxuq */, X86::VPMAXUQZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 15924 /* vpmaxuq */, X86::VPMAXUQZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 15924 /* vpmaxuq */, X86::VPMAXUQZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 15924 /* vpmaxuq */, X86::VPMAXUQZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 15924 /* vpmaxuq */, X86::VPMAXUQZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 15924 /* vpmaxuq */, X86::VPMAXUQZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 15924 /* vpmaxuq */, X86::VPMAXUQZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 15924 /* vpmaxuq */, X86::VPMAXUQZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 15924 /* vpmaxuq */, X86::VPMAXUQZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 15924 /* vpmaxuq */, X86::VPMAXUQZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 15924 /* vpmaxuq */, X86::VPMAXUQZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 15924 /* vpmaxuq */, X86::VPMAXUQZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 15924 /* vpmaxuq */, X86::VPMAXUQZ128rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 15924 /* vpmaxuq */, X86::VPMAXUQZ256rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 15924 /* vpmaxuq */, X86::VPMAXUQZrmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 15932 /* vpmaxuw */, X86::VPMAXUWrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 15932 /* vpmaxuw */, X86::VPMAXUWrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 15932 /* vpmaxuw */, X86::VPMAXUWYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 15932 /* vpmaxuw */, X86::VPMAXUWYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 15932 /* vpmaxuw */, X86::VPMAXUWZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 15932 /* vpmaxuw */, X86::VPMAXUWZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 15932 /* vpmaxuw */, X86::VPMAXUWZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 15932 /* vpmaxuw */, X86::VPMAXUWZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 15932 /* vpmaxuw */, X86::VPMAXUWZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 15932 /* vpmaxuw */, X86::VPMAXUWZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 15932 /* vpmaxuw */, X86::VPMAXUWZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 15932 /* vpmaxuw */, X86::VPMAXUWZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 15932 /* vpmaxuw */, X86::VPMAXUWZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 15932 /* vpmaxuw */, X86::VPMAXUWZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 15932 /* vpmaxuw */, X86::VPMAXUWZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 15932 /* vpmaxuw */, X86::VPMAXUWZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 15932 /* vpmaxuw */, X86::VPMAXUWZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 15932 /* vpmaxuw */, X86::VPMAXUWZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 15932 /* vpmaxuw */, X86::VPMAXUWZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 15932 /* vpmaxuw */, X86::VPMAXUWZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 15932 /* vpmaxuw */, X86::VPMAXUWZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 15932 /* vpmaxuw */, X86::VPMAXUWZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 15940 /* vpminsb */, X86::VPMINSBrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 15940 /* vpminsb */, X86::VPMINSBrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 15940 /* vpminsb */, X86::VPMINSBYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 15940 /* vpminsb */, X86::VPMINSBYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 15940 /* vpminsb */, X86::VPMINSBZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 15940 /* vpminsb */, X86::VPMINSBZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 15940 /* vpminsb */, X86::VPMINSBZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 15940 /* vpminsb */, X86::VPMINSBZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 15940 /* vpminsb */, X86::VPMINSBZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 15940 /* vpminsb */, X86::VPMINSBZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 15940 /* vpminsb */, X86::VPMINSBZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 15940 /* vpminsb */, X86::VPMINSBZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 15940 /* vpminsb */, X86::VPMINSBZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 15940 /* vpminsb */, X86::VPMINSBZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 15940 /* vpminsb */, X86::VPMINSBZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 15940 /* vpminsb */, X86::VPMINSBZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 15940 /* vpminsb */, X86::VPMINSBZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 15940 /* vpminsb */, X86::VPMINSBZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 15940 /* vpminsb */, X86::VPMINSBZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 15940 /* vpminsb */, X86::VPMINSBZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 15940 /* vpminsb */, X86::VPMINSBZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 15940 /* vpminsb */, X86::VPMINSBZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 15948 /* vpminsd */, X86::VPMINSDrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 15948 /* vpminsd */, X86::VPMINSDrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 15948 /* vpminsd */, X86::VPMINSDYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 15948 /* vpminsd */, X86::VPMINSDYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 15948 /* vpminsd */, X86::VPMINSDZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 15948 /* vpminsd */, X86::VPMINSDZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 15948 /* vpminsd */, X86::VPMINSDZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 15948 /* vpminsd */, X86::VPMINSDZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 15948 /* vpminsd */, X86::VPMINSDZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 15948 /* vpminsd */, X86::VPMINSDZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 15948 /* vpminsd */, X86::VPMINSDZ128rmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 15948 /* vpminsd */, X86::VPMINSDZ256rmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 15948 /* vpminsd */, X86::VPMINSDZrmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 15948 /* vpminsd */, X86::VPMINSDZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 15948 /* vpminsd */, X86::VPMINSDZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 15948 /* vpminsd */, X86::VPMINSDZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 15948 /* vpminsd */, X86::VPMINSDZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 15948 /* vpminsd */, X86::VPMINSDZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 15948 /* vpminsd */, X86::VPMINSDZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 15948 /* vpminsd */, X86::VPMINSDZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 15948 /* vpminsd */, X86::VPMINSDZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 15948 /* vpminsd */, X86::VPMINSDZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 15948 /* vpminsd */, X86::VPMINSDZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 15948 /* vpminsd */, X86::VPMINSDZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 15948 /* vpminsd */, X86::VPMINSDZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 15948 /* vpminsd */, X86::VPMINSDZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 15948 /* vpminsd */, X86::VPMINSDZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 15948 /* vpminsd */, X86::VPMINSDZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 15948 /* vpminsd */, X86::VPMINSDZ128rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 15948 /* vpminsd */, X86::VPMINSDZ256rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 15948 /* vpminsd */, X86::VPMINSDZrmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 15956 /* vpminsq */, X86::VPMINSQZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 15956 /* vpminsq */, X86::VPMINSQZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 15956 /* vpminsq */, X86::VPMINSQZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 15956 /* vpminsq */, X86::VPMINSQZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 15956 /* vpminsq */, X86::VPMINSQZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 15956 /* vpminsq */, X86::VPMINSQZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 15956 /* vpminsq */, X86::VPMINSQZ128rmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 15956 /* vpminsq */, X86::VPMINSQZ256rmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 15956 /* vpminsq */, X86::VPMINSQZrmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 15956 /* vpminsq */, X86::VPMINSQZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 15956 /* vpminsq */, X86::VPMINSQZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 15956 /* vpminsq */, X86::VPMINSQZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 15956 /* vpminsq */, X86::VPMINSQZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 15956 /* vpminsq */, X86::VPMINSQZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 15956 /* vpminsq */, X86::VPMINSQZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 15956 /* vpminsq */, X86::VPMINSQZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 15956 /* vpminsq */, X86::VPMINSQZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 15956 /* vpminsq */, X86::VPMINSQZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 15956 /* vpminsq */, X86::VPMINSQZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 15956 /* vpminsq */, X86::VPMINSQZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 15956 /* vpminsq */, X86::VPMINSQZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 15956 /* vpminsq */, X86::VPMINSQZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 15956 /* vpminsq */, X86::VPMINSQZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 15956 /* vpminsq */, X86::VPMINSQZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 15956 /* vpminsq */, X86::VPMINSQZ128rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 15956 /* vpminsq */, X86::VPMINSQZ256rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 15956 /* vpminsq */, X86::VPMINSQZrmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 15964 /* vpminsw */, X86::VPMINSWrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 15964 /* vpminsw */, X86::VPMINSWrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 15964 /* vpminsw */, X86::VPMINSWYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 15964 /* vpminsw */, X86::VPMINSWYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 15964 /* vpminsw */, X86::VPMINSWZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 15964 /* vpminsw */, X86::VPMINSWZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 15964 /* vpminsw */, X86::VPMINSWZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 15964 /* vpminsw */, X86::VPMINSWZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 15964 /* vpminsw */, X86::VPMINSWZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 15964 /* vpminsw */, X86::VPMINSWZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 15964 /* vpminsw */, X86::VPMINSWZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 15964 /* vpminsw */, X86::VPMINSWZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 15964 /* vpminsw */, X86::VPMINSWZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 15964 /* vpminsw */, X86::VPMINSWZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 15964 /* vpminsw */, X86::VPMINSWZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 15964 /* vpminsw */, X86::VPMINSWZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 15964 /* vpminsw */, X86::VPMINSWZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 15964 /* vpminsw */, X86::VPMINSWZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 15964 /* vpminsw */, X86::VPMINSWZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 15964 /* vpminsw */, X86::VPMINSWZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 15964 /* vpminsw */, X86::VPMINSWZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 15964 /* vpminsw */, X86::VPMINSWZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 15972 /* vpminub */, X86::VPMINUBrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 15972 /* vpminub */, X86::VPMINUBrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 15972 /* vpminub */, X86::VPMINUBYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 15972 /* vpminub */, X86::VPMINUBYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 15972 /* vpminub */, X86::VPMINUBZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 15972 /* vpminub */, X86::VPMINUBZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 15972 /* vpminub */, X86::VPMINUBZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 15972 /* vpminub */, X86::VPMINUBZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 15972 /* vpminub */, X86::VPMINUBZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 15972 /* vpminub */, X86::VPMINUBZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 15972 /* vpminub */, X86::VPMINUBZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 15972 /* vpminub */, X86::VPMINUBZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 15972 /* vpminub */, X86::VPMINUBZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 15972 /* vpminub */, X86::VPMINUBZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 15972 /* vpminub */, X86::VPMINUBZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 15972 /* vpminub */, X86::VPMINUBZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 15972 /* vpminub */, X86::VPMINUBZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 15972 /* vpminub */, X86::VPMINUBZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 15972 /* vpminub */, X86::VPMINUBZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 15972 /* vpminub */, X86::VPMINUBZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 15972 /* vpminub */, X86::VPMINUBZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 15972 /* vpminub */, X86::VPMINUBZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 15980 /* vpminud */, X86::VPMINUDrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 15980 /* vpminud */, X86::VPMINUDrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 15980 /* vpminud */, X86::VPMINUDYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 15980 /* vpminud */, X86::VPMINUDYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 15980 /* vpminud */, X86::VPMINUDZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 15980 /* vpminud */, X86::VPMINUDZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 15980 /* vpminud */, X86::VPMINUDZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 15980 /* vpminud */, X86::VPMINUDZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 15980 /* vpminud */, X86::VPMINUDZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 15980 /* vpminud */, X86::VPMINUDZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 15980 /* vpminud */, X86::VPMINUDZ128rmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 15980 /* vpminud */, X86::VPMINUDZ256rmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 15980 /* vpminud */, X86::VPMINUDZrmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 15980 /* vpminud */, X86::VPMINUDZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 15980 /* vpminud */, X86::VPMINUDZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 15980 /* vpminud */, X86::VPMINUDZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 15980 /* vpminud */, X86::VPMINUDZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 15980 /* vpminud */, X86::VPMINUDZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 15980 /* vpminud */, X86::VPMINUDZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 15980 /* vpminud */, X86::VPMINUDZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 15980 /* vpminud */, X86::VPMINUDZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 15980 /* vpminud */, X86::VPMINUDZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 15980 /* vpminud */, X86::VPMINUDZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 15980 /* vpminud */, X86::VPMINUDZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 15980 /* vpminud */, X86::VPMINUDZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 15980 /* vpminud */, X86::VPMINUDZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 15980 /* vpminud */, X86::VPMINUDZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 15980 /* vpminud */, X86::VPMINUDZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 15980 /* vpminud */, X86::VPMINUDZ128rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 15980 /* vpminud */, X86::VPMINUDZ256rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 15980 /* vpminud */, X86::VPMINUDZrmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 15988 /* vpminuq */, X86::VPMINUQZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 15988 /* vpminuq */, X86::VPMINUQZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 15988 /* vpminuq */, X86::VPMINUQZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 15988 /* vpminuq */, X86::VPMINUQZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 15988 /* vpminuq */, X86::VPMINUQZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 15988 /* vpminuq */, X86::VPMINUQZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 15988 /* vpminuq */, X86::VPMINUQZ128rmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 15988 /* vpminuq */, X86::VPMINUQZ256rmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 15988 /* vpminuq */, X86::VPMINUQZrmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 15988 /* vpminuq */, X86::VPMINUQZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 15988 /* vpminuq */, X86::VPMINUQZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 15988 /* vpminuq */, X86::VPMINUQZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 15988 /* vpminuq */, X86::VPMINUQZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 15988 /* vpminuq */, X86::VPMINUQZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 15988 /* vpminuq */, X86::VPMINUQZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 15988 /* vpminuq */, X86::VPMINUQZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 15988 /* vpminuq */, X86::VPMINUQZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 15988 /* vpminuq */, X86::VPMINUQZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 15988 /* vpminuq */, X86::VPMINUQZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 15988 /* vpminuq */, X86::VPMINUQZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 15988 /* vpminuq */, X86::VPMINUQZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 15988 /* vpminuq */, X86::VPMINUQZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 15988 /* vpminuq */, X86::VPMINUQZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 15988 /* vpminuq */, X86::VPMINUQZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 15988 /* vpminuq */, X86::VPMINUQZ128rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 15988 /* vpminuq */, X86::VPMINUQZ256rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 15988 /* vpminuq */, X86::VPMINUQZrmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 15996 /* vpminuw */, X86::VPMINUWrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 15996 /* vpminuw */, X86::VPMINUWrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 15996 /* vpminuw */, X86::VPMINUWYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 15996 /* vpminuw */, X86::VPMINUWYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 15996 /* vpminuw */, X86::VPMINUWZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 15996 /* vpminuw */, X86::VPMINUWZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 15996 /* vpminuw */, X86::VPMINUWZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 15996 /* vpminuw */, X86::VPMINUWZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 15996 /* vpminuw */, X86::VPMINUWZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 15996 /* vpminuw */, X86::VPMINUWZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 15996 /* vpminuw */, X86::VPMINUWZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 15996 /* vpminuw */, X86::VPMINUWZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 15996 /* vpminuw */, X86::VPMINUWZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 15996 /* vpminuw */, X86::VPMINUWZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 15996 /* vpminuw */, X86::VPMINUWZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 15996 /* vpminuw */, X86::VPMINUWZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 15996 /* vpminuw */, X86::VPMINUWZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 15996 /* vpminuw */, X86::VPMINUWZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 15996 /* vpminuw */, X86::VPMINUWZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 15996 /* vpminuw */, X86::VPMINUWZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 15996 /* vpminuw */, X86::VPMINUWZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 15996 /* vpminuw */, X86::VPMINUWZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 16004 /* vpmovb2m */, X86::VPMOVB2MZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VK1, MCK_FR16X }, },
{ 16004 /* vpmovb2m */, X86::VPMOVB2MZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VK1, MCK_VR256X }, },
{ 16004 /* vpmovb2m */, X86::VPMOVB2MZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VK1, MCK_VR512 }, },
{ 16013 /* vpmovd2m */, X86::VPMOVD2MZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VK1, MCK_FR16X }, },
{ 16013 /* vpmovd2m */, X86::VPMOVD2MZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VK1, MCK_VR256X }, },
{ 16013 /* vpmovd2m */, X86::VPMOVD2MZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VK1, MCK_VR512 }, },
{ 16022 /* vpmovdb */, X86::VPMOVDBZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 16022 /* vpmovdb */, X86::VPMOVDBZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_VR256X }, },
{ 16022 /* vpmovdb */, X86::VPMOVDBZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_VR512 }, },
{ 16022 /* vpmovdb */, X86::VPMOVDBZmr, Convert__Mem1285_0__Reg1_1, AMFBS_None, { MCK_Mem128, MCK_VR512 }, },
{ 16022 /* vpmovdb */, X86::VPMOVDBZ128mr, Convert__Mem325_0__Reg1_1, AMFBS_None, { MCK_Mem32, MCK_FR16X }, },
{ 16022 /* vpmovdb */, X86::VPMOVDBZ256mr, Convert__Mem645_0__Reg1_1, AMFBS_None, { MCK_Mem64, MCK_VR256X }, },
{ 16022 /* vpmovdb */, X86::VPMOVDBZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 16022 /* vpmovdb */, X86::VPMOVDBZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 16022 /* vpmovdb */, X86::VPMOVDBZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 16022 /* vpmovdb */, X86::VPMOVDBZmrk, Convert__Mem1285_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem128, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 16022 /* vpmovdb */, X86::VPMOVDBZ128mrk, Convert__Mem325_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem32, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 16022 /* vpmovdb */, X86::VPMOVDBZ256mrk, Convert__Mem645_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem64, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 16022 /* vpmovdb */, X86::VPMOVDBZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 16022 /* vpmovdb */, X86::VPMOVDBZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 16022 /* vpmovdb */, X86::VPMOVDBZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 16030 /* vpmovdw */, X86::VPMOVDWZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 16030 /* vpmovdw */, X86::VPMOVDWZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_VR256X }, },
{ 16030 /* vpmovdw */, X86::VPMOVDWZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR512 }, },
{ 16030 /* vpmovdw */, X86::VPMOVDWZ256mr, Convert__Mem1285_0__Reg1_1, AMFBS_None, { MCK_Mem128, MCK_VR256X }, },
{ 16030 /* vpmovdw */, X86::VPMOVDWZmr, Convert__Mem2565_0__Reg1_1, AMFBS_None, { MCK_Mem256, MCK_VR512 }, },
{ 16030 /* vpmovdw */, X86::VPMOVDWZ128mr, Convert__Mem645_0__Reg1_1, AMFBS_None, { MCK_Mem64, MCK_FR16X }, },
{ 16030 /* vpmovdw */, X86::VPMOVDWZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 16030 /* vpmovdw */, X86::VPMOVDWZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 16030 /* vpmovdw */, X86::VPMOVDWZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 16030 /* vpmovdw */, X86::VPMOVDWZ256mrk, Convert__Mem1285_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem128, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 16030 /* vpmovdw */, X86::VPMOVDWZmrk, Convert__Mem2565_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem256, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 16030 /* vpmovdw */, X86::VPMOVDWZ128mrk, Convert__Mem645_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem64, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 16030 /* vpmovdw */, X86::VPMOVDWZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 16030 /* vpmovdw */, X86::VPMOVDWZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 16030 /* vpmovdw */, X86::VPMOVDWZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 16038 /* vpmovm2b */, X86::VPMOVM2BZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_VK1 }, },
{ 16038 /* vpmovm2b */, X86::VPMOVM2BZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VK1 }, },
{ 16038 /* vpmovm2b */, X86::VPMOVM2BZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VK1 }, },
{ 16047 /* vpmovm2d */, X86::VPMOVM2DZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_VK1 }, },
{ 16047 /* vpmovm2d */, X86::VPMOVM2DZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VK1 }, },
{ 16047 /* vpmovm2d */, X86::VPMOVM2DZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VK1 }, },
{ 16056 /* vpmovm2q */, X86::VPMOVM2QZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_VK1 }, },
{ 16056 /* vpmovm2q */, X86::VPMOVM2QZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VK1 }, },
{ 16056 /* vpmovm2q */, X86::VPMOVM2QZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VK1 }, },
{ 16065 /* vpmovm2w */, X86::VPMOVM2WZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_VK1 }, },
{ 16065 /* vpmovm2w */, X86::VPMOVM2WZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VK1 }, },
{ 16065 /* vpmovm2w */, X86::VPMOVM2WZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VK1 }, },
{ 16074 /* vpmovmskb */, X86::VPMOVMSKBrr, Convert__GR32orGR641_0__Reg1_1, AMFBS_None, { MCK_GR32orGR64, MCK_FR16 }, },
{ 16074 /* vpmovmskb */, X86::VPMOVMSKBYrr, Convert__GR32orGR641_0__Reg1_1, AMFBS_None, { MCK_GR32orGR64, MCK_VR256 }, },
{ 16084 /* vpmovq2m */, X86::VPMOVQ2MZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VK1, MCK_FR16X }, },
{ 16084 /* vpmovq2m */, X86::VPMOVQ2MZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VK1, MCK_VR256X }, },
{ 16084 /* vpmovq2m */, X86::VPMOVQ2MZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VK1, MCK_VR512 }, },
{ 16093 /* vpmovqb */, X86::VPMOVQBZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 16093 /* vpmovqb */, X86::VPMOVQBZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_VR256X }, },
{ 16093 /* vpmovqb */, X86::VPMOVQBZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_VR512 }, },
{ 16093 /* vpmovqb */, X86::VPMOVQBZ128mr, Convert__Mem165_0__Reg1_1, AMFBS_None, { MCK_Mem16, MCK_FR16X }, },
{ 16093 /* vpmovqb */, X86::VPMOVQBZ256mr, Convert__Mem325_0__Reg1_1, AMFBS_None, { MCK_Mem32, MCK_VR256X }, },
{ 16093 /* vpmovqb */, X86::VPMOVQBZmr, Convert__Mem645_0__Reg1_1, AMFBS_None, { MCK_Mem64, MCK_VR512 }, },
{ 16093 /* vpmovqb */, X86::VPMOVQBZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 16093 /* vpmovqb */, X86::VPMOVQBZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 16093 /* vpmovqb */, X86::VPMOVQBZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 16093 /* vpmovqb */, X86::VPMOVQBZ128mrk, Convert__Mem165_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem16, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 16093 /* vpmovqb */, X86::VPMOVQBZ256mrk, Convert__Mem325_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem32, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 16093 /* vpmovqb */, X86::VPMOVQBZmrk, Convert__Mem645_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem64, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 16093 /* vpmovqb */, X86::VPMOVQBZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 16093 /* vpmovqb */, X86::VPMOVQBZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 16093 /* vpmovqb */, X86::VPMOVQBZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 16101 /* vpmovqd */, X86::VPMOVQDZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 16101 /* vpmovqd */, X86::VPMOVQDZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_VR256X }, },
{ 16101 /* vpmovqd */, X86::VPMOVQDZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR512 }, },
{ 16101 /* vpmovqd */, X86::VPMOVQDZ256mr, Convert__Mem1285_0__Reg1_1, AMFBS_None, { MCK_Mem128, MCK_VR256X }, },
{ 16101 /* vpmovqd */, X86::VPMOVQDZmr, Convert__Mem2565_0__Reg1_1, AMFBS_None, { MCK_Mem256, MCK_VR512 }, },
{ 16101 /* vpmovqd */, X86::VPMOVQDZ128mr, Convert__Mem645_0__Reg1_1, AMFBS_None, { MCK_Mem64, MCK_FR16X }, },
{ 16101 /* vpmovqd */, X86::VPMOVQDZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 16101 /* vpmovqd */, X86::VPMOVQDZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 16101 /* vpmovqd */, X86::VPMOVQDZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 16101 /* vpmovqd */, X86::VPMOVQDZ256mrk, Convert__Mem1285_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem128, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 16101 /* vpmovqd */, X86::VPMOVQDZmrk, Convert__Mem2565_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem256, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 16101 /* vpmovqd */, X86::VPMOVQDZ128mrk, Convert__Mem645_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem64, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 16101 /* vpmovqd */, X86::VPMOVQDZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 16101 /* vpmovqd */, X86::VPMOVQDZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 16101 /* vpmovqd */, X86::VPMOVQDZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 16109 /* vpmovqw */, X86::VPMOVQWZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 16109 /* vpmovqw */, X86::VPMOVQWZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_VR256X }, },
{ 16109 /* vpmovqw */, X86::VPMOVQWZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_VR512 }, },
{ 16109 /* vpmovqw */, X86::VPMOVQWZmr, Convert__Mem1285_0__Reg1_1, AMFBS_None, { MCK_Mem128, MCK_VR512 }, },
{ 16109 /* vpmovqw */, X86::VPMOVQWZ128mr, Convert__Mem325_0__Reg1_1, AMFBS_None, { MCK_Mem32, MCK_FR16X }, },
{ 16109 /* vpmovqw */, X86::VPMOVQWZ256mr, Convert__Mem645_0__Reg1_1, AMFBS_None, { MCK_Mem64, MCK_VR256X }, },
{ 16109 /* vpmovqw */, X86::VPMOVQWZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 16109 /* vpmovqw */, X86::VPMOVQWZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 16109 /* vpmovqw */, X86::VPMOVQWZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 16109 /* vpmovqw */, X86::VPMOVQWZmrk, Convert__Mem1285_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem128, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 16109 /* vpmovqw */, X86::VPMOVQWZ128mrk, Convert__Mem325_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem32, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 16109 /* vpmovqw */, X86::VPMOVQWZ256mrk, Convert__Mem645_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem64, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 16109 /* vpmovqw */, X86::VPMOVQWZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 16109 /* vpmovqw */, X86::VPMOVQWZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 16109 /* vpmovqw */, X86::VPMOVQWZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 16117 /* vpmovsdb */, X86::VPMOVSDBZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 16117 /* vpmovsdb */, X86::VPMOVSDBZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_VR256X }, },
{ 16117 /* vpmovsdb */, X86::VPMOVSDBZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_VR512 }, },
{ 16117 /* vpmovsdb */, X86::VPMOVSDBZmr, Convert__Mem1285_0__Reg1_1, AMFBS_None, { MCK_Mem128, MCK_VR512 }, },
{ 16117 /* vpmovsdb */, X86::VPMOVSDBZ128mr, Convert__Mem325_0__Reg1_1, AMFBS_None, { MCK_Mem32, MCK_FR16X }, },
{ 16117 /* vpmovsdb */, X86::VPMOVSDBZ256mr, Convert__Mem645_0__Reg1_1, AMFBS_None, { MCK_Mem64, MCK_VR256X }, },
{ 16117 /* vpmovsdb */, X86::VPMOVSDBZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 16117 /* vpmovsdb */, X86::VPMOVSDBZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 16117 /* vpmovsdb */, X86::VPMOVSDBZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 16117 /* vpmovsdb */, X86::VPMOVSDBZmrk, Convert__Mem1285_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem128, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 16117 /* vpmovsdb */, X86::VPMOVSDBZ128mrk, Convert__Mem325_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem32, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 16117 /* vpmovsdb */, X86::VPMOVSDBZ256mrk, Convert__Mem645_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem64, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 16117 /* vpmovsdb */, X86::VPMOVSDBZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 16117 /* vpmovsdb */, X86::VPMOVSDBZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 16117 /* vpmovsdb */, X86::VPMOVSDBZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 16126 /* vpmovsdw */, X86::VPMOVSDWZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 16126 /* vpmovsdw */, X86::VPMOVSDWZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_VR256X }, },
{ 16126 /* vpmovsdw */, X86::VPMOVSDWZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR512 }, },
{ 16126 /* vpmovsdw */, X86::VPMOVSDWZ256mr, Convert__Mem1285_0__Reg1_1, AMFBS_None, { MCK_Mem128, MCK_VR256X }, },
{ 16126 /* vpmovsdw */, X86::VPMOVSDWZmr, Convert__Mem2565_0__Reg1_1, AMFBS_None, { MCK_Mem256, MCK_VR512 }, },
{ 16126 /* vpmovsdw */, X86::VPMOVSDWZ128mr, Convert__Mem645_0__Reg1_1, AMFBS_None, { MCK_Mem64, MCK_FR16X }, },
{ 16126 /* vpmovsdw */, X86::VPMOVSDWZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 16126 /* vpmovsdw */, X86::VPMOVSDWZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 16126 /* vpmovsdw */, X86::VPMOVSDWZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 16126 /* vpmovsdw */, X86::VPMOVSDWZ256mrk, Convert__Mem1285_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem128, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 16126 /* vpmovsdw */, X86::VPMOVSDWZmrk, Convert__Mem2565_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem256, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 16126 /* vpmovsdw */, X86::VPMOVSDWZ128mrk, Convert__Mem645_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem64, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 16126 /* vpmovsdw */, X86::VPMOVSDWZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 16126 /* vpmovsdw */, X86::VPMOVSDWZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 16126 /* vpmovsdw */, X86::VPMOVSDWZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 16135 /* vpmovsqb */, X86::VPMOVSQBZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 16135 /* vpmovsqb */, X86::VPMOVSQBZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_VR256X }, },
{ 16135 /* vpmovsqb */, X86::VPMOVSQBZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_VR512 }, },
{ 16135 /* vpmovsqb */, X86::VPMOVSQBZ128mr, Convert__Mem165_0__Reg1_1, AMFBS_None, { MCK_Mem16, MCK_FR16X }, },
{ 16135 /* vpmovsqb */, X86::VPMOVSQBZ256mr, Convert__Mem325_0__Reg1_1, AMFBS_None, { MCK_Mem32, MCK_VR256X }, },
{ 16135 /* vpmovsqb */, X86::VPMOVSQBZmr, Convert__Mem645_0__Reg1_1, AMFBS_None, { MCK_Mem64, MCK_VR512 }, },
{ 16135 /* vpmovsqb */, X86::VPMOVSQBZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 16135 /* vpmovsqb */, X86::VPMOVSQBZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 16135 /* vpmovsqb */, X86::VPMOVSQBZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 16135 /* vpmovsqb */, X86::VPMOVSQBZ128mrk, Convert__Mem165_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem16, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 16135 /* vpmovsqb */, X86::VPMOVSQBZ256mrk, Convert__Mem325_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem32, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 16135 /* vpmovsqb */, X86::VPMOVSQBZmrk, Convert__Mem645_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem64, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 16135 /* vpmovsqb */, X86::VPMOVSQBZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 16135 /* vpmovsqb */, X86::VPMOVSQBZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 16135 /* vpmovsqb */, X86::VPMOVSQBZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 16144 /* vpmovsqd */, X86::VPMOVSQDZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 16144 /* vpmovsqd */, X86::VPMOVSQDZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_VR256X }, },
{ 16144 /* vpmovsqd */, X86::VPMOVSQDZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR512 }, },
{ 16144 /* vpmovsqd */, X86::VPMOVSQDZ256mr, Convert__Mem1285_0__Reg1_1, AMFBS_None, { MCK_Mem128, MCK_VR256X }, },
{ 16144 /* vpmovsqd */, X86::VPMOVSQDZmr, Convert__Mem2565_0__Reg1_1, AMFBS_None, { MCK_Mem256, MCK_VR512 }, },
{ 16144 /* vpmovsqd */, X86::VPMOVSQDZ128mr, Convert__Mem645_0__Reg1_1, AMFBS_None, { MCK_Mem64, MCK_FR16X }, },
{ 16144 /* vpmovsqd */, X86::VPMOVSQDZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 16144 /* vpmovsqd */, X86::VPMOVSQDZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 16144 /* vpmovsqd */, X86::VPMOVSQDZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 16144 /* vpmovsqd */, X86::VPMOVSQDZ256mrk, Convert__Mem1285_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem128, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 16144 /* vpmovsqd */, X86::VPMOVSQDZmrk, Convert__Mem2565_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem256, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 16144 /* vpmovsqd */, X86::VPMOVSQDZ128mrk, Convert__Mem645_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem64, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 16144 /* vpmovsqd */, X86::VPMOVSQDZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 16144 /* vpmovsqd */, X86::VPMOVSQDZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 16144 /* vpmovsqd */, X86::VPMOVSQDZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 16153 /* vpmovsqw */, X86::VPMOVSQWZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 16153 /* vpmovsqw */, X86::VPMOVSQWZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_VR256X }, },
{ 16153 /* vpmovsqw */, X86::VPMOVSQWZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_VR512 }, },
{ 16153 /* vpmovsqw */, X86::VPMOVSQWZmr, Convert__Mem1285_0__Reg1_1, AMFBS_None, { MCK_Mem128, MCK_VR512 }, },
{ 16153 /* vpmovsqw */, X86::VPMOVSQWZ128mr, Convert__Mem325_0__Reg1_1, AMFBS_None, { MCK_Mem32, MCK_FR16X }, },
{ 16153 /* vpmovsqw */, X86::VPMOVSQWZ256mr, Convert__Mem645_0__Reg1_1, AMFBS_None, { MCK_Mem64, MCK_VR256X }, },
{ 16153 /* vpmovsqw */, X86::VPMOVSQWZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 16153 /* vpmovsqw */, X86::VPMOVSQWZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 16153 /* vpmovsqw */, X86::VPMOVSQWZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 16153 /* vpmovsqw */, X86::VPMOVSQWZmrk, Convert__Mem1285_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem128, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 16153 /* vpmovsqw */, X86::VPMOVSQWZ128mrk, Convert__Mem325_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem32, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 16153 /* vpmovsqw */, X86::VPMOVSQWZ256mrk, Convert__Mem645_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem64, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 16153 /* vpmovsqw */, X86::VPMOVSQWZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 16153 /* vpmovsqw */, X86::VPMOVSQWZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 16153 /* vpmovsqw */, X86::VPMOVSQWZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 16162 /* vpmovswb */, X86::VPMOVSWBZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 16162 /* vpmovswb */, X86::VPMOVSWBZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_VR256X }, },
{ 16162 /* vpmovswb */, X86::VPMOVSWBZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR512 }, },
{ 16162 /* vpmovswb */, X86::VPMOVSWBZ256mr, Convert__Mem1285_0__Reg1_1, AMFBS_None, { MCK_Mem128, MCK_VR256X }, },
{ 16162 /* vpmovswb */, X86::VPMOVSWBZmr, Convert__Mem2565_0__Reg1_1, AMFBS_None, { MCK_Mem256, MCK_VR512 }, },
{ 16162 /* vpmovswb */, X86::VPMOVSWBZ128mr, Convert__Mem645_0__Reg1_1, AMFBS_None, { MCK_Mem64, MCK_FR16X }, },
{ 16162 /* vpmovswb */, X86::VPMOVSWBZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 16162 /* vpmovswb */, X86::VPMOVSWBZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 16162 /* vpmovswb */, X86::VPMOVSWBZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 16162 /* vpmovswb */, X86::VPMOVSWBZ256mrk, Convert__Mem1285_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem128, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 16162 /* vpmovswb */, X86::VPMOVSWBZmrk, Convert__Mem2565_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem256, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 16162 /* vpmovswb */, X86::VPMOVSWBZ128mrk, Convert__Mem645_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem64, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 16162 /* vpmovswb */, X86::VPMOVSWBZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 16162 /* vpmovswb */, X86::VPMOVSWBZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 16162 /* vpmovswb */, X86::VPMOVSWBZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 16171 /* vpmovsxbd */, X86::VPMOVSXBDrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 16171 /* vpmovsxbd */, X86::VPMOVSXBDrm, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_FR16, MCK_Mem32 }, },
{ 16171 /* vpmovsxbd */, X86::VPMOVSXBDYrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256, MCK_FR16 }, },
{ 16171 /* vpmovsxbd */, X86::VPMOVSXBDYrm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_VR256, MCK_Mem64 }, },
{ 16171 /* vpmovsxbd */, X86::VPMOVSXBDZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 16171 /* vpmovsxbd */, X86::VPMOVSXBDZ128rm, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_FR16X, MCK_Mem32 }, },
{ 16171 /* vpmovsxbd */, X86::VPMOVSXBDZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_FR16X }, },
{ 16171 /* vpmovsxbd */, X86::VPMOVSXBDZ256rm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_VR256X, MCK_Mem64 }, },
{ 16171 /* vpmovsxbd */, X86::VPMOVSXBDZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_FR16X }, },
{ 16171 /* vpmovsxbd */, X86::VPMOVSXBDZrm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_VR512, MCK_Mem128 }, },
{ 16171 /* vpmovsxbd */, X86::VPMOVSXBDZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 16171 /* vpmovsxbd */, X86::VPMOVSXBDZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32 }, },
{ 16171 /* vpmovsxbd */, X86::VPMOVSXBDZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 16171 /* vpmovsxbd */, X86::VPMOVSXBDZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64 }, },
{ 16171 /* vpmovsxbd */, X86::VPMOVSXBDZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 16171 /* vpmovsxbd */, X86::VPMOVSXBDZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 16171 /* vpmovsxbd */, X86::VPMOVSXBDZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 16171 /* vpmovsxbd */, X86::VPMOVSXBDZ128rmkz, Convert__Reg1_0__Reg1_2__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32 }, },
{ 16171 /* vpmovsxbd */, X86::VPMOVSXBDZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 16171 /* vpmovsxbd */, X86::VPMOVSXBDZ256rmkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64 }, },
{ 16171 /* vpmovsxbd */, X86::VPMOVSXBDZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 16171 /* vpmovsxbd */, X86::VPMOVSXBDZrmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 16181 /* vpmovsxbq */, X86::VPMOVSXBQrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 16181 /* vpmovsxbq */, X86::VPMOVSXBQrm, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_FR16, MCK_Mem16 }, },
{ 16181 /* vpmovsxbq */, X86::VPMOVSXBQYrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256, MCK_FR16 }, },
{ 16181 /* vpmovsxbq */, X86::VPMOVSXBQYrm, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_VR256, MCK_Mem32 }, },
{ 16181 /* vpmovsxbq */, X86::VPMOVSXBQZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 16181 /* vpmovsxbq */, X86::VPMOVSXBQZ128rm, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_FR16X, MCK_Mem16 }, },
{ 16181 /* vpmovsxbq */, X86::VPMOVSXBQZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_FR16X }, },
{ 16181 /* vpmovsxbq */, X86::VPMOVSXBQZ256rm, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_VR256X, MCK_Mem32 }, },
{ 16181 /* vpmovsxbq */, X86::VPMOVSXBQZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_FR16X }, },
{ 16181 /* vpmovsxbq */, X86::VPMOVSXBQZrm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_VR512, MCK_Mem64 }, },
{ 16181 /* vpmovsxbq */, X86::VPMOVSXBQZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 16181 /* vpmovsxbq */, X86::VPMOVSXBQZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem165_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem16 }, },
{ 16181 /* vpmovsxbq */, X86::VPMOVSXBQZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 16181 /* vpmovsxbq */, X86::VPMOVSXBQZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32 }, },
{ 16181 /* vpmovsxbq */, X86::VPMOVSXBQZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 16181 /* vpmovsxbq */, X86::VPMOVSXBQZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64 }, },
{ 16181 /* vpmovsxbq */, X86::VPMOVSXBQZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 16181 /* vpmovsxbq */, X86::VPMOVSXBQZ128rmkz, Convert__Reg1_0__Reg1_2__Mem165_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem16 }, },
{ 16181 /* vpmovsxbq */, X86::VPMOVSXBQZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 16181 /* vpmovsxbq */, X86::VPMOVSXBQZ256rmkz, Convert__Reg1_0__Reg1_2__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32 }, },
{ 16181 /* vpmovsxbq */, X86::VPMOVSXBQZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 16181 /* vpmovsxbq */, X86::VPMOVSXBQZrmkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64 }, },
{ 16191 /* vpmovsxbw */, X86::VPMOVSXBWrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 16191 /* vpmovsxbw */, X86::VPMOVSXBWrm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_FR16, MCK_Mem64 }, },
{ 16191 /* vpmovsxbw */, X86::VPMOVSXBWYrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256, MCK_FR16 }, },
{ 16191 /* vpmovsxbw */, X86::VPMOVSXBWYrm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_VR256, MCK_Mem128 }, },
{ 16191 /* vpmovsxbw */, X86::VPMOVSXBWZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 16191 /* vpmovsxbw */, X86::VPMOVSXBWZ128rm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_FR16X, MCK_Mem64 }, },
{ 16191 /* vpmovsxbw */, X86::VPMOVSXBWZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_FR16X }, },
{ 16191 /* vpmovsxbw */, X86::VPMOVSXBWZ256rm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_VR256X, MCK_Mem128 }, },
{ 16191 /* vpmovsxbw */, X86::VPMOVSXBWZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR256X }, },
{ 16191 /* vpmovsxbw */, X86::VPMOVSXBWZrm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR512, MCK_Mem256 }, },
{ 16191 /* vpmovsxbw */, X86::VPMOVSXBWZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 16191 /* vpmovsxbw */, X86::VPMOVSXBWZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64 }, },
{ 16191 /* vpmovsxbw */, X86::VPMOVSXBWZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 16191 /* vpmovsxbw */, X86::VPMOVSXBWZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 16191 /* vpmovsxbw */, X86::VPMOVSXBWZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 16191 /* vpmovsxbw */, X86::VPMOVSXBWZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 16191 /* vpmovsxbw */, X86::VPMOVSXBWZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 16191 /* vpmovsxbw */, X86::VPMOVSXBWZ128rmkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64 }, },
{ 16191 /* vpmovsxbw */, X86::VPMOVSXBWZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 16191 /* vpmovsxbw */, X86::VPMOVSXBWZ256rmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 16191 /* vpmovsxbw */, X86::VPMOVSXBWZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 16191 /* vpmovsxbw */, X86::VPMOVSXBWZrmkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 16201 /* vpmovsxdq */, X86::VPMOVSXDQrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 16201 /* vpmovsxdq */, X86::VPMOVSXDQrm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_FR16, MCK_Mem64 }, },
{ 16201 /* vpmovsxdq */, X86::VPMOVSXDQYrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256, MCK_FR16 }, },
{ 16201 /* vpmovsxdq */, X86::VPMOVSXDQYrm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_VR256, MCK_Mem128 }, },
{ 16201 /* vpmovsxdq */, X86::VPMOVSXDQZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 16201 /* vpmovsxdq */, X86::VPMOVSXDQZ128rm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_FR16X, MCK_Mem64 }, },
{ 16201 /* vpmovsxdq */, X86::VPMOVSXDQZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_FR16X }, },
{ 16201 /* vpmovsxdq */, X86::VPMOVSXDQZ256rm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_VR256X, MCK_Mem128 }, },
{ 16201 /* vpmovsxdq */, X86::VPMOVSXDQZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR256X }, },
{ 16201 /* vpmovsxdq */, X86::VPMOVSXDQZrm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR512, MCK_Mem256 }, },
{ 16201 /* vpmovsxdq */, X86::VPMOVSXDQZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 16201 /* vpmovsxdq */, X86::VPMOVSXDQZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64 }, },
{ 16201 /* vpmovsxdq */, X86::VPMOVSXDQZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 16201 /* vpmovsxdq */, X86::VPMOVSXDQZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 16201 /* vpmovsxdq */, X86::VPMOVSXDQZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 16201 /* vpmovsxdq */, X86::VPMOVSXDQZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 16201 /* vpmovsxdq */, X86::VPMOVSXDQZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 16201 /* vpmovsxdq */, X86::VPMOVSXDQZ128rmkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64 }, },
{ 16201 /* vpmovsxdq */, X86::VPMOVSXDQZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 16201 /* vpmovsxdq */, X86::VPMOVSXDQZ256rmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 16201 /* vpmovsxdq */, X86::VPMOVSXDQZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 16201 /* vpmovsxdq */, X86::VPMOVSXDQZrmkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 16211 /* vpmovsxwd */, X86::VPMOVSXWDrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 16211 /* vpmovsxwd */, X86::VPMOVSXWDrm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_FR16, MCK_Mem64 }, },
{ 16211 /* vpmovsxwd */, X86::VPMOVSXWDYrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256, MCK_FR16 }, },
{ 16211 /* vpmovsxwd */, X86::VPMOVSXWDYrm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_VR256, MCK_Mem128 }, },
{ 16211 /* vpmovsxwd */, X86::VPMOVSXWDZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 16211 /* vpmovsxwd */, X86::VPMOVSXWDZ128rm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_FR16X, MCK_Mem64 }, },
{ 16211 /* vpmovsxwd */, X86::VPMOVSXWDZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_FR16X }, },
{ 16211 /* vpmovsxwd */, X86::VPMOVSXWDZ256rm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_VR256X, MCK_Mem128 }, },
{ 16211 /* vpmovsxwd */, X86::VPMOVSXWDZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR256X }, },
{ 16211 /* vpmovsxwd */, X86::VPMOVSXWDZrm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR512, MCK_Mem256 }, },
{ 16211 /* vpmovsxwd */, X86::VPMOVSXWDZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 16211 /* vpmovsxwd */, X86::VPMOVSXWDZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64 }, },
{ 16211 /* vpmovsxwd */, X86::VPMOVSXWDZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 16211 /* vpmovsxwd */, X86::VPMOVSXWDZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 16211 /* vpmovsxwd */, X86::VPMOVSXWDZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 16211 /* vpmovsxwd */, X86::VPMOVSXWDZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 16211 /* vpmovsxwd */, X86::VPMOVSXWDZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 16211 /* vpmovsxwd */, X86::VPMOVSXWDZ128rmkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64 }, },
{ 16211 /* vpmovsxwd */, X86::VPMOVSXWDZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 16211 /* vpmovsxwd */, X86::VPMOVSXWDZ256rmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 16211 /* vpmovsxwd */, X86::VPMOVSXWDZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 16211 /* vpmovsxwd */, X86::VPMOVSXWDZrmkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 16221 /* vpmovsxwq */, X86::VPMOVSXWQrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 16221 /* vpmovsxwq */, X86::VPMOVSXWQrm, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_FR16, MCK_Mem32 }, },
{ 16221 /* vpmovsxwq */, X86::VPMOVSXWQYrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256, MCK_FR16 }, },
{ 16221 /* vpmovsxwq */, X86::VPMOVSXWQYrm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_VR256, MCK_Mem64 }, },
{ 16221 /* vpmovsxwq */, X86::VPMOVSXWQZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 16221 /* vpmovsxwq */, X86::VPMOVSXWQZ128rm, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_FR16X, MCK_Mem32 }, },
{ 16221 /* vpmovsxwq */, X86::VPMOVSXWQZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_FR16X }, },
{ 16221 /* vpmovsxwq */, X86::VPMOVSXWQZ256rm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_VR256X, MCK_Mem64 }, },
{ 16221 /* vpmovsxwq */, X86::VPMOVSXWQZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_FR16X }, },
{ 16221 /* vpmovsxwq */, X86::VPMOVSXWQZrm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_VR512, MCK_Mem128 }, },
{ 16221 /* vpmovsxwq */, X86::VPMOVSXWQZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 16221 /* vpmovsxwq */, X86::VPMOVSXWQZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32 }, },
{ 16221 /* vpmovsxwq */, X86::VPMOVSXWQZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 16221 /* vpmovsxwq */, X86::VPMOVSXWQZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64 }, },
{ 16221 /* vpmovsxwq */, X86::VPMOVSXWQZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 16221 /* vpmovsxwq */, X86::VPMOVSXWQZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 16221 /* vpmovsxwq */, X86::VPMOVSXWQZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 16221 /* vpmovsxwq */, X86::VPMOVSXWQZ128rmkz, Convert__Reg1_0__Reg1_2__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32 }, },
{ 16221 /* vpmovsxwq */, X86::VPMOVSXWQZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 16221 /* vpmovsxwq */, X86::VPMOVSXWQZ256rmkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64 }, },
{ 16221 /* vpmovsxwq */, X86::VPMOVSXWQZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 16221 /* vpmovsxwq */, X86::VPMOVSXWQZrmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 16231 /* vpmovusdb */, X86::VPMOVUSDBZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 16231 /* vpmovusdb */, X86::VPMOVUSDBZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_VR256X }, },
{ 16231 /* vpmovusdb */, X86::VPMOVUSDBZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_VR512 }, },
{ 16231 /* vpmovusdb */, X86::VPMOVUSDBZmr, Convert__Mem1285_0__Reg1_1, AMFBS_None, { MCK_Mem128, MCK_VR512 }, },
{ 16231 /* vpmovusdb */, X86::VPMOVUSDBZ128mr, Convert__Mem325_0__Reg1_1, AMFBS_None, { MCK_Mem32, MCK_FR16X }, },
{ 16231 /* vpmovusdb */, X86::VPMOVUSDBZ256mr, Convert__Mem645_0__Reg1_1, AMFBS_None, { MCK_Mem64, MCK_VR256X }, },
{ 16231 /* vpmovusdb */, X86::VPMOVUSDBZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 16231 /* vpmovusdb */, X86::VPMOVUSDBZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 16231 /* vpmovusdb */, X86::VPMOVUSDBZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 16231 /* vpmovusdb */, X86::VPMOVUSDBZmrk, Convert__Mem1285_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem128, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 16231 /* vpmovusdb */, X86::VPMOVUSDBZ128mrk, Convert__Mem325_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem32, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 16231 /* vpmovusdb */, X86::VPMOVUSDBZ256mrk, Convert__Mem645_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem64, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 16231 /* vpmovusdb */, X86::VPMOVUSDBZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 16231 /* vpmovusdb */, X86::VPMOVUSDBZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 16231 /* vpmovusdb */, X86::VPMOVUSDBZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 16241 /* vpmovusdw */, X86::VPMOVUSDWZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 16241 /* vpmovusdw */, X86::VPMOVUSDWZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_VR256X }, },
{ 16241 /* vpmovusdw */, X86::VPMOVUSDWZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR512 }, },
{ 16241 /* vpmovusdw */, X86::VPMOVUSDWZ256mr, Convert__Mem1285_0__Reg1_1, AMFBS_None, { MCK_Mem128, MCK_VR256X }, },
{ 16241 /* vpmovusdw */, X86::VPMOVUSDWZmr, Convert__Mem2565_0__Reg1_1, AMFBS_None, { MCK_Mem256, MCK_VR512 }, },
{ 16241 /* vpmovusdw */, X86::VPMOVUSDWZ128mr, Convert__Mem645_0__Reg1_1, AMFBS_None, { MCK_Mem64, MCK_FR16X }, },
{ 16241 /* vpmovusdw */, X86::VPMOVUSDWZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 16241 /* vpmovusdw */, X86::VPMOVUSDWZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 16241 /* vpmovusdw */, X86::VPMOVUSDWZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 16241 /* vpmovusdw */, X86::VPMOVUSDWZ256mrk, Convert__Mem1285_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem128, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 16241 /* vpmovusdw */, X86::VPMOVUSDWZmrk, Convert__Mem2565_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem256, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 16241 /* vpmovusdw */, X86::VPMOVUSDWZ128mrk, Convert__Mem645_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem64, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 16241 /* vpmovusdw */, X86::VPMOVUSDWZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 16241 /* vpmovusdw */, X86::VPMOVUSDWZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 16241 /* vpmovusdw */, X86::VPMOVUSDWZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 16251 /* vpmovusqb */, X86::VPMOVUSQBZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 16251 /* vpmovusqb */, X86::VPMOVUSQBZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_VR256X }, },
{ 16251 /* vpmovusqb */, X86::VPMOVUSQBZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_VR512 }, },
{ 16251 /* vpmovusqb */, X86::VPMOVUSQBZ128mr, Convert__Mem165_0__Reg1_1, AMFBS_None, { MCK_Mem16, MCK_FR16X }, },
{ 16251 /* vpmovusqb */, X86::VPMOVUSQBZ256mr, Convert__Mem325_0__Reg1_1, AMFBS_None, { MCK_Mem32, MCK_VR256X }, },
{ 16251 /* vpmovusqb */, X86::VPMOVUSQBZmr, Convert__Mem645_0__Reg1_1, AMFBS_None, { MCK_Mem64, MCK_VR512 }, },
{ 16251 /* vpmovusqb */, X86::VPMOVUSQBZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 16251 /* vpmovusqb */, X86::VPMOVUSQBZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 16251 /* vpmovusqb */, X86::VPMOVUSQBZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 16251 /* vpmovusqb */, X86::VPMOVUSQBZ128mrk, Convert__Mem165_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem16, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 16251 /* vpmovusqb */, X86::VPMOVUSQBZ256mrk, Convert__Mem325_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem32, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 16251 /* vpmovusqb */, X86::VPMOVUSQBZmrk, Convert__Mem645_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem64, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 16251 /* vpmovusqb */, X86::VPMOVUSQBZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 16251 /* vpmovusqb */, X86::VPMOVUSQBZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 16251 /* vpmovusqb */, X86::VPMOVUSQBZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 16261 /* vpmovusqd */, X86::VPMOVUSQDZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 16261 /* vpmovusqd */, X86::VPMOVUSQDZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_VR256X }, },
{ 16261 /* vpmovusqd */, X86::VPMOVUSQDZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR512 }, },
{ 16261 /* vpmovusqd */, X86::VPMOVUSQDZ256mr, Convert__Mem1285_0__Reg1_1, AMFBS_None, { MCK_Mem128, MCK_VR256X }, },
{ 16261 /* vpmovusqd */, X86::VPMOVUSQDZmr, Convert__Mem2565_0__Reg1_1, AMFBS_None, { MCK_Mem256, MCK_VR512 }, },
{ 16261 /* vpmovusqd */, X86::VPMOVUSQDZ128mr, Convert__Mem645_0__Reg1_1, AMFBS_None, { MCK_Mem64, MCK_FR16X }, },
{ 16261 /* vpmovusqd */, X86::VPMOVUSQDZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 16261 /* vpmovusqd */, X86::VPMOVUSQDZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 16261 /* vpmovusqd */, X86::VPMOVUSQDZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 16261 /* vpmovusqd */, X86::VPMOVUSQDZ256mrk, Convert__Mem1285_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem128, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 16261 /* vpmovusqd */, X86::VPMOVUSQDZmrk, Convert__Mem2565_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem256, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 16261 /* vpmovusqd */, X86::VPMOVUSQDZ128mrk, Convert__Mem645_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem64, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 16261 /* vpmovusqd */, X86::VPMOVUSQDZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 16261 /* vpmovusqd */, X86::VPMOVUSQDZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 16261 /* vpmovusqd */, X86::VPMOVUSQDZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 16271 /* vpmovusqw */, X86::VPMOVUSQWZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 16271 /* vpmovusqw */, X86::VPMOVUSQWZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_VR256X }, },
{ 16271 /* vpmovusqw */, X86::VPMOVUSQWZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_VR512 }, },
{ 16271 /* vpmovusqw */, X86::VPMOVUSQWZmr, Convert__Mem1285_0__Reg1_1, AMFBS_None, { MCK_Mem128, MCK_VR512 }, },
{ 16271 /* vpmovusqw */, X86::VPMOVUSQWZ128mr, Convert__Mem325_0__Reg1_1, AMFBS_None, { MCK_Mem32, MCK_FR16X }, },
{ 16271 /* vpmovusqw */, X86::VPMOVUSQWZ256mr, Convert__Mem645_0__Reg1_1, AMFBS_None, { MCK_Mem64, MCK_VR256X }, },
{ 16271 /* vpmovusqw */, X86::VPMOVUSQWZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 16271 /* vpmovusqw */, X86::VPMOVUSQWZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 16271 /* vpmovusqw */, X86::VPMOVUSQWZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 16271 /* vpmovusqw */, X86::VPMOVUSQWZmrk, Convert__Mem1285_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem128, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 16271 /* vpmovusqw */, X86::VPMOVUSQWZ128mrk, Convert__Mem325_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem32, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 16271 /* vpmovusqw */, X86::VPMOVUSQWZ256mrk, Convert__Mem645_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem64, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 16271 /* vpmovusqw */, X86::VPMOVUSQWZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 16271 /* vpmovusqw */, X86::VPMOVUSQWZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 16271 /* vpmovusqw */, X86::VPMOVUSQWZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 16281 /* vpmovuswb */, X86::VPMOVUSWBZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 16281 /* vpmovuswb */, X86::VPMOVUSWBZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_VR256X }, },
{ 16281 /* vpmovuswb */, X86::VPMOVUSWBZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR512 }, },
{ 16281 /* vpmovuswb */, X86::VPMOVUSWBZ256mr, Convert__Mem1285_0__Reg1_1, AMFBS_None, { MCK_Mem128, MCK_VR256X }, },
{ 16281 /* vpmovuswb */, X86::VPMOVUSWBZmr, Convert__Mem2565_0__Reg1_1, AMFBS_None, { MCK_Mem256, MCK_VR512 }, },
{ 16281 /* vpmovuswb */, X86::VPMOVUSWBZ128mr, Convert__Mem645_0__Reg1_1, AMFBS_None, { MCK_Mem64, MCK_FR16X }, },
{ 16281 /* vpmovuswb */, X86::VPMOVUSWBZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 16281 /* vpmovuswb */, X86::VPMOVUSWBZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 16281 /* vpmovuswb */, X86::VPMOVUSWBZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 16281 /* vpmovuswb */, X86::VPMOVUSWBZ256mrk, Convert__Mem1285_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem128, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 16281 /* vpmovuswb */, X86::VPMOVUSWBZmrk, Convert__Mem2565_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem256, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 16281 /* vpmovuswb */, X86::VPMOVUSWBZ128mrk, Convert__Mem645_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem64, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 16281 /* vpmovuswb */, X86::VPMOVUSWBZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 16281 /* vpmovuswb */, X86::VPMOVUSWBZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 16281 /* vpmovuswb */, X86::VPMOVUSWBZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 16291 /* vpmovw2m */, X86::VPMOVW2MZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VK1, MCK_FR16X }, },
{ 16291 /* vpmovw2m */, X86::VPMOVW2MZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VK1, MCK_VR256X }, },
{ 16291 /* vpmovw2m */, X86::VPMOVW2MZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VK1, MCK_VR512 }, },
{ 16300 /* vpmovwb */, X86::VPMOVWBZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 16300 /* vpmovwb */, X86::VPMOVWBZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_VR256X }, },
{ 16300 /* vpmovwb */, X86::VPMOVWBZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR512 }, },
{ 16300 /* vpmovwb */, X86::VPMOVWBZ256mr, Convert__Mem1285_0__Reg1_1, AMFBS_None, { MCK_Mem128, MCK_VR256X }, },
{ 16300 /* vpmovwb */, X86::VPMOVWBZmr, Convert__Mem2565_0__Reg1_1, AMFBS_None, { MCK_Mem256, MCK_VR512 }, },
{ 16300 /* vpmovwb */, X86::VPMOVWBZ128mr, Convert__Mem645_0__Reg1_1, AMFBS_None, { MCK_Mem64, MCK_FR16X }, },
{ 16300 /* vpmovwb */, X86::VPMOVWBZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 16300 /* vpmovwb */, X86::VPMOVWBZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 16300 /* vpmovwb */, X86::VPMOVWBZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 16300 /* vpmovwb */, X86::VPMOVWBZ256mrk, Convert__Mem1285_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem128, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 16300 /* vpmovwb */, X86::VPMOVWBZmrk, Convert__Mem2565_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem256, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 16300 /* vpmovwb */, X86::VPMOVWBZ128mrk, Convert__Mem645_0__Reg1_2__Reg1_4, AMFBS_None, { MCK_Mem64, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 16300 /* vpmovwb */, X86::VPMOVWBZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 16300 /* vpmovwb */, X86::VPMOVWBZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 16300 /* vpmovwb */, X86::VPMOVWBZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 16308 /* vpmovzxbd */, X86::VPMOVZXBDrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 16308 /* vpmovzxbd */, X86::VPMOVZXBDrm, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_FR16, MCK_Mem32 }, },
{ 16308 /* vpmovzxbd */, X86::VPMOVZXBDYrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256, MCK_FR16 }, },
{ 16308 /* vpmovzxbd */, X86::VPMOVZXBDYrm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_VR256, MCK_Mem64 }, },
{ 16308 /* vpmovzxbd */, X86::VPMOVZXBDZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 16308 /* vpmovzxbd */, X86::VPMOVZXBDZ128rm, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_FR16X, MCK_Mem32 }, },
{ 16308 /* vpmovzxbd */, X86::VPMOVZXBDZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_FR16X }, },
{ 16308 /* vpmovzxbd */, X86::VPMOVZXBDZ256rm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_VR256X, MCK_Mem64 }, },
{ 16308 /* vpmovzxbd */, X86::VPMOVZXBDZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_FR16X }, },
{ 16308 /* vpmovzxbd */, X86::VPMOVZXBDZrm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_VR512, MCK_Mem128 }, },
{ 16308 /* vpmovzxbd */, X86::VPMOVZXBDZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 16308 /* vpmovzxbd */, X86::VPMOVZXBDZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32 }, },
{ 16308 /* vpmovzxbd */, X86::VPMOVZXBDZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 16308 /* vpmovzxbd */, X86::VPMOVZXBDZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64 }, },
{ 16308 /* vpmovzxbd */, X86::VPMOVZXBDZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 16308 /* vpmovzxbd */, X86::VPMOVZXBDZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 16308 /* vpmovzxbd */, X86::VPMOVZXBDZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 16308 /* vpmovzxbd */, X86::VPMOVZXBDZ128rmkz, Convert__Reg1_0__Reg1_2__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32 }, },
{ 16308 /* vpmovzxbd */, X86::VPMOVZXBDZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 16308 /* vpmovzxbd */, X86::VPMOVZXBDZ256rmkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64 }, },
{ 16308 /* vpmovzxbd */, X86::VPMOVZXBDZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 16308 /* vpmovzxbd */, X86::VPMOVZXBDZrmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 16318 /* vpmovzxbq */, X86::VPMOVZXBQrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 16318 /* vpmovzxbq */, X86::VPMOVZXBQrm, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_FR16, MCK_Mem16 }, },
{ 16318 /* vpmovzxbq */, X86::VPMOVZXBQYrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256, MCK_FR16 }, },
{ 16318 /* vpmovzxbq */, X86::VPMOVZXBQYrm, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_VR256, MCK_Mem32 }, },
{ 16318 /* vpmovzxbq */, X86::VPMOVZXBQZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 16318 /* vpmovzxbq */, X86::VPMOVZXBQZ128rm, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_FR16X, MCK_Mem16 }, },
{ 16318 /* vpmovzxbq */, X86::VPMOVZXBQZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_FR16X }, },
{ 16318 /* vpmovzxbq */, X86::VPMOVZXBQZ256rm, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_VR256X, MCK_Mem32 }, },
{ 16318 /* vpmovzxbq */, X86::VPMOVZXBQZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_FR16X }, },
{ 16318 /* vpmovzxbq */, X86::VPMOVZXBQZrm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_VR512, MCK_Mem64 }, },
{ 16318 /* vpmovzxbq */, X86::VPMOVZXBQZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 16318 /* vpmovzxbq */, X86::VPMOVZXBQZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem165_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem16 }, },
{ 16318 /* vpmovzxbq */, X86::VPMOVZXBQZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 16318 /* vpmovzxbq */, X86::VPMOVZXBQZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32 }, },
{ 16318 /* vpmovzxbq */, X86::VPMOVZXBQZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 16318 /* vpmovzxbq */, X86::VPMOVZXBQZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64 }, },
{ 16318 /* vpmovzxbq */, X86::VPMOVZXBQZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 16318 /* vpmovzxbq */, X86::VPMOVZXBQZ128rmkz, Convert__Reg1_0__Reg1_2__Mem165_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem16 }, },
{ 16318 /* vpmovzxbq */, X86::VPMOVZXBQZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 16318 /* vpmovzxbq */, X86::VPMOVZXBQZ256rmkz, Convert__Reg1_0__Reg1_2__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32 }, },
{ 16318 /* vpmovzxbq */, X86::VPMOVZXBQZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 16318 /* vpmovzxbq */, X86::VPMOVZXBQZrmkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64 }, },
{ 16328 /* vpmovzxbw */, X86::VPMOVZXBWrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 16328 /* vpmovzxbw */, X86::VPMOVZXBWrm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_FR16, MCK_Mem64 }, },
{ 16328 /* vpmovzxbw */, X86::VPMOVZXBWYrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256, MCK_FR16 }, },
{ 16328 /* vpmovzxbw */, X86::VPMOVZXBWYrm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_VR256, MCK_Mem128 }, },
{ 16328 /* vpmovzxbw */, X86::VPMOVZXBWZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 16328 /* vpmovzxbw */, X86::VPMOVZXBWZ128rm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_FR16X, MCK_Mem64 }, },
{ 16328 /* vpmovzxbw */, X86::VPMOVZXBWZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_FR16X }, },
{ 16328 /* vpmovzxbw */, X86::VPMOVZXBWZ256rm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_VR256X, MCK_Mem128 }, },
{ 16328 /* vpmovzxbw */, X86::VPMOVZXBWZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR256X }, },
{ 16328 /* vpmovzxbw */, X86::VPMOVZXBWZrm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR512, MCK_Mem256 }, },
{ 16328 /* vpmovzxbw */, X86::VPMOVZXBWZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 16328 /* vpmovzxbw */, X86::VPMOVZXBWZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64 }, },
{ 16328 /* vpmovzxbw */, X86::VPMOVZXBWZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 16328 /* vpmovzxbw */, X86::VPMOVZXBWZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 16328 /* vpmovzxbw */, X86::VPMOVZXBWZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 16328 /* vpmovzxbw */, X86::VPMOVZXBWZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 16328 /* vpmovzxbw */, X86::VPMOVZXBWZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 16328 /* vpmovzxbw */, X86::VPMOVZXBWZ128rmkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64 }, },
{ 16328 /* vpmovzxbw */, X86::VPMOVZXBWZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 16328 /* vpmovzxbw */, X86::VPMOVZXBWZ256rmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 16328 /* vpmovzxbw */, X86::VPMOVZXBWZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 16328 /* vpmovzxbw */, X86::VPMOVZXBWZrmkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 16338 /* vpmovzxdq */, X86::VPMOVZXDQrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 16338 /* vpmovzxdq */, X86::VPMOVZXDQrm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_FR16, MCK_Mem64 }, },
{ 16338 /* vpmovzxdq */, X86::VPMOVZXDQYrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256, MCK_FR16 }, },
{ 16338 /* vpmovzxdq */, X86::VPMOVZXDQYrm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_VR256, MCK_Mem128 }, },
{ 16338 /* vpmovzxdq */, X86::VPMOVZXDQZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 16338 /* vpmovzxdq */, X86::VPMOVZXDQZ128rm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_FR16X, MCK_Mem64 }, },
{ 16338 /* vpmovzxdq */, X86::VPMOVZXDQZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_FR16X }, },
{ 16338 /* vpmovzxdq */, X86::VPMOVZXDQZ256rm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_VR256X, MCK_Mem128 }, },
{ 16338 /* vpmovzxdq */, X86::VPMOVZXDQZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR256X }, },
{ 16338 /* vpmovzxdq */, X86::VPMOVZXDQZrm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR512, MCK_Mem256 }, },
{ 16338 /* vpmovzxdq */, X86::VPMOVZXDQZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 16338 /* vpmovzxdq */, X86::VPMOVZXDQZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64 }, },
{ 16338 /* vpmovzxdq */, X86::VPMOVZXDQZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 16338 /* vpmovzxdq */, X86::VPMOVZXDQZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 16338 /* vpmovzxdq */, X86::VPMOVZXDQZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 16338 /* vpmovzxdq */, X86::VPMOVZXDQZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 16338 /* vpmovzxdq */, X86::VPMOVZXDQZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 16338 /* vpmovzxdq */, X86::VPMOVZXDQZ128rmkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64 }, },
{ 16338 /* vpmovzxdq */, X86::VPMOVZXDQZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 16338 /* vpmovzxdq */, X86::VPMOVZXDQZ256rmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 16338 /* vpmovzxdq */, X86::VPMOVZXDQZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 16338 /* vpmovzxdq */, X86::VPMOVZXDQZrmkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 16348 /* vpmovzxwd */, X86::VPMOVZXWDrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 16348 /* vpmovzxwd */, X86::VPMOVZXWDrm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_FR16, MCK_Mem64 }, },
{ 16348 /* vpmovzxwd */, X86::VPMOVZXWDYrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256, MCK_FR16 }, },
{ 16348 /* vpmovzxwd */, X86::VPMOVZXWDYrm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_VR256, MCK_Mem128 }, },
{ 16348 /* vpmovzxwd */, X86::VPMOVZXWDZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 16348 /* vpmovzxwd */, X86::VPMOVZXWDZ128rm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_FR16X, MCK_Mem64 }, },
{ 16348 /* vpmovzxwd */, X86::VPMOVZXWDZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_FR16X }, },
{ 16348 /* vpmovzxwd */, X86::VPMOVZXWDZ256rm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_VR256X, MCK_Mem128 }, },
{ 16348 /* vpmovzxwd */, X86::VPMOVZXWDZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR256X }, },
{ 16348 /* vpmovzxwd */, X86::VPMOVZXWDZrm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR512, MCK_Mem256 }, },
{ 16348 /* vpmovzxwd */, X86::VPMOVZXWDZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 16348 /* vpmovzxwd */, X86::VPMOVZXWDZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64 }, },
{ 16348 /* vpmovzxwd */, X86::VPMOVZXWDZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 16348 /* vpmovzxwd */, X86::VPMOVZXWDZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 16348 /* vpmovzxwd */, X86::VPMOVZXWDZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 16348 /* vpmovzxwd */, X86::VPMOVZXWDZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 16348 /* vpmovzxwd */, X86::VPMOVZXWDZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 16348 /* vpmovzxwd */, X86::VPMOVZXWDZ128rmkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64 }, },
{ 16348 /* vpmovzxwd */, X86::VPMOVZXWDZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 16348 /* vpmovzxwd */, X86::VPMOVZXWDZ256rmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 16348 /* vpmovzxwd */, X86::VPMOVZXWDZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 16348 /* vpmovzxwd */, X86::VPMOVZXWDZrmkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 16358 /* vpmovzxwq */, X86::VPMOVZXWQrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 16358 /* vpmovzxwq */, X86::VPMOVZXWQrm, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_FR16, MCK_Mem32 }, },
{ 16358 /* vpmovzxwq */, X86::VPMOVZXWQYrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256, MCK_FR16 }, },
{ 16358 /* vpmovzxwq */, X86::VPMOVZXWQYrm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_VR256, MCK_Mem64 }, },
{ 16358 /* vpmovzxwq */, X86::VPMOVZXWQZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 16358 /* vpmovzxwq */, X86::VPMOVZXWQZ128rm, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_FR16X, MCK_Mem32 }, },
{ 16358 /* vpmovzxwq */, X86::VPMOVZXWQZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_FR16X }, },
{ 16358 /* vpmovzxwq */, X86::VPMOVZXWQZ256rm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_VR256X, MCK_Mem64 }, },
{ 16358 /* vpmovzxwq */, X86::VPMOVZXWQZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_FR16X }, },
{ 16358 /* vpmovzxwq */, X86::VPMOVZXWQZrm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_VR512, MCK_Mem128 }, },
{ 16358 /* vpmovzxwq */, X86::VPMOVZXWQZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 16358 /* vpmovzxwq */, X86::VPMOVZXWQZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32 }, },
{ 16358 /* vpmovzxwq */, X86::VPMOVZXWQZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 16358 /* vpmovzxwq */, X86::VPMOVZXWQZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64 }, },
{ 16358 /* vpmovzxwq */, X86::VPMOVZXWQZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 16358 /* vpmovzxwq */, X86::VPMOVZXWQZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 16358 /* vpmovzxwq */, X86::VPMOVZXWQZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 16358 /* vpmovzxwq */, X86::VPMOVZXWQZ128rmkz, Convert__Reg1_0__Reg1_2__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32 }, },
{ 16358 /* vpmovzxwq */, X86::VPMOVZXWQZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 16358 /* vpmovzxwq */, X86::VPMOVZXWQZ256rmkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64 }, },
{ 16358 /* vpmovzxwq */, X86::VPMOVZXWQZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 16358 /* vpmovzxwq */, X86::VPMOVZXWQZrmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 16368 /* vpmuldq */, X86::VPMULDQrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 16368 /* vpmuldq */, X86::VPMULDQrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 16368 /* vpmuldq */, X86::VPMULDQYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 16368 /* vpmuldq */, X86::VPMULDQYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 16368 /* vpmuldq */, X86::VPMULDQZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 16368 /* vpmuldq */, X86::VPMULDQZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 16368 /* vpmuldq */, X86::VPMULDQZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 16368 /* vpmuldq */, X86::VPMULDQZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 16368 /* vpmuldq */, X86::VPMULDQZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 16368 /* vpmuldq */, X86::VPMULDQZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 16368 /* vpmuldq */, X86::VPMULDQZ128rmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 16368 /* vpmuldq */, X86::VPMULDQZ256rmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 16368 /* vpmuldq */, X86::VPMULDQZrmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 16368 /* vpmuldq */, X86::VPMULDQZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 16368 /* vpmuldq */, X86::VPMULDQZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 16368 /* vpmuldq */, X86::VPMULDQZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 16368 /* vpmuldq */, X86::VPMULDQZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 16368 /* vpmuldq */, X86::VPMULDQZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 16368 /* vpmuldq */, X86::VPMULDQZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 16368 /* vpmuldq */, X86::VPMULDQZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 16368 /* vpmuldq */, X86::VPMULDQZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 16368 /* vpmuldq */, X86::VPMULDQZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 16368 /* vpmuldq */, X86::VPMULDQZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 16368 /* vpmuldq */, X86::VPMULDQZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 16368 /* vpmuldq */, X86::VPMULDQZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 16368 /* vpmuldq */, X86::VPMULDQZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 16368 /* vpmuldq */, X86::VPMULDQZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 16368 /* vpmuldq */, X86::VPMULDQZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 16368 /* vpmuldq */, X86::VPMULDQZ128rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 16368 /* vpmuldq */, X86::VPMULDQZ256rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 16368 /* vpmuldq */, X86::VPMULDQZrmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 16376 /* vpmulhrsw */, X86::VPMULHRSWrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 16376 /* vpmulhrsw */, X86::VPMULHRSWrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 16376 /* vpmulhrsw */, X86::VPMULHRSWYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 16376 /* vpmulhrsw */, X86::VPMULHRSWYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 16376 /* vpmulhrsw */, X86::VPMULHRSWZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 16376 /* vpmulhrsw */, X86::VPMULHRSWZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 16376 /* vpmulhrsw */, X86::VPMULHRSWZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 16376 /* vpmulhrsw */, X86::VPMULHRSWZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 16376 /* vpmulhrsw */, X86::VPMULHRSWZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 16376 /* vpmulhrsw */, X86::VPMULHRSWZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 16376 /* vpmulhrsw */, X86::VPMULHRSWZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 16376 /* vpmulhrsw */, X86::VPMULHRSWZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 16376 /* vpmulhrsw */, X86::VPMULHRSWZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 16376 /* vpmulhrsw */, X86::VPMULHRSWZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 16376 /* vpmulhrsw */, X86::VPMULHRSWZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 16376 /* vpmulhrsw */, X86::VPMULHRSWZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 16376 /* vpmulhrsw */, X86::VPMULHRSWZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 16376 /* vpmulhrsw */, X86::VPMULHRSWZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 16376 /* vpmulhrsw */, X86::VPMULHRSWZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 16376 /* vpmulhrsw */, X86::VPMULHRSWZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 16376 /* vpmulhrsw */, X86::VPMULHRSWZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 16376 /* vpmulhrsw */, X86::VPMULHRSWZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 16386 /* vpmulhuw */, X86::VPMULHUWrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 16386 /* vpmulhuw */, X86::VPMULHUWrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 16386 /* vpmulhuw */, X86::VPMULHUWYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 16386 /* vpmulhuw */, X86::VPMULHUWYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 16386 /* vpmulhuw */, X86::VPMULHUWZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 16386 /* vpmulhuw */, X86::VPMULHUWZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 16386 /* vpmulhuw */, X86::VPMULHUWZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 16386 /* vpmulhuw */, X86::VPMULHUWZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 16386 /* vpmulhuw */, X86::VPMULHUWZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 16386 /* vpmulhuw */, X86::VPMULHUWZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 16386 /* vpmulhuw */, X86::VPMULHUWZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 16386 /* vpmulhuw */, X86::VPMULHUWZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 16386 /* vpmulhuw */, X86::VPMULHUWZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 16386 /* vpmulhuw */, X86::VPMULHUWZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 16386 /* vpmulhuw */, X86::VPMULHUWZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 16386 /* vpmulhuw */, X86::VPMULHUWZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 16386 /* vpmulhuw */, X86::VPMULHUWZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 16386 /* vpmulhuw */, X86::VPMULHUWZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 16386 /* vpmulhuw */, X86::VPMULHUWZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 16386 /* vpmulhuw */, X86::VPMULHUWZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 16386 /* vpmulhuw */, X86::VPMULHUWZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 16386 /* vpmulhuw */, X86::VPMULHUWZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 16395 /* vpmulhw */, X86::VPMULHWrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 16395 /* vpmulhw */, X86::VPMULHWrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 16395 /* vpmulhw */, X86::VPMULHWYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 16395 /* vpmulhw */, X86::VPMULHWYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 16395 /* vpmulhw */, X86::VPMULHWZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 16395 /* vpmulhw */, X86::VPMULHWZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 16395 /* vpmulhw */, X86::VPMULHWZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 16395 /* vpmulhw */, X86::VPMULHWZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 16395 /* vpmulhw */, X86::VPMULHWZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 16395 /* vpmulhw */, X86::VPMULHWZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 16395 /* vpmulhw */, X86::VPMULHWZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 16395 /* vpmulhw */, X86::VPMULHWZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 16395 /* vpmulhw */, X86::VPMULHWZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 16395 /* vpmulhw */, X86::VPMULHWZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 16395 /* vpmulhw */, X86::VPMULHWZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 16395 /* vpmulhw */, X86::VPMULHWZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 16395 /* vpmulhw */, X86::VPMULHWZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 16395 /* vpmulhw */, X86::VPMULHWZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 16395 /* vpmulhw */, X86::VPMULHWZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 16395 /* vpmulhw */, X86::VPMULHWZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 16395 /* vpmulhw */, X86::VPMULHWZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 16395 /* vpmulhw */, X86::VPMULHWZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 16403 /* vpmulld */, X86::VPMULLDrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 16403 /* vpmulld */, X86::VPMULLDrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 16403 /* vpmulld */, X86::VPMULLDYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 16403 /* vpmulld */, X86::VPMULLDYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 16403 /* vpmulld */, X86::VPMULLDZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 16403 /* vpmulld */, X86::VPMULLDZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 16403 /* vpmulld */, X86::VPMULLDZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 16403 /* vpmulld */, X86::VPMULLDZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 16403 /* vpmulld */, X86::VPMULLDZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 16403 /* vpmulld */, X86::VPMULLDZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 16403 /* vpmulld */, X86::VPMULLDZ128rmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 16403 /* vpmulld */, X86::VPMULLDZ256rmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 16403 /* vpmulld */, X86::VPMULLDZrmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 16403 /* vpmulld */, X86::VPMULLDZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 16403 /* vpmulld */, X86::VPMULLDZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 16403 /* vpmulld */, X86::VPMULLDZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 16403 /* vpmulld */, X86::VPMULLDZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 16403 /* vpmulld */, X86::VPMULLDZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 16403 /* vpmulld */, X86::VPMULLDZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 16403 /* vpmulld */, X86::VPMULLDZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 16403 /* vpmulld */, X86::VPMULLDZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 16403 /* vpmulld */, X86::VPMULLDZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 16403 /* vpmulld */, X86::VPMULLDZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 16403 /* vpmulld */, X86::VPMULLDZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 16403 /* vpmulld */, X86::VPMULLDZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 16403 /* vpmulld */, X86::VPMULLDZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 16403 /* vpmulld */, X86::VPMULLDZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 16403 /* vpmulld */, X86::VPMULLDZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 16403 /* vpmulld */, X86::VPMULLDZ128rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 16403 /* vpmulld */, X86::VPMULLDZ256rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 16403 /* vpmulld */, X86::VPMULLDZrmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 16411 /* vpmullq */, X86::VPMULLQZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 16411 /* vpmullq */, X86::VPMULLQZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 16411 /* vpmullq */, X86::VPMULLQZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 16411 /* vpmullq */, X86::VPMULLQZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 16411 /* vpmullq */, X86::VPMULLQZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 16411 /* vpmullq */, X86::VPMULLQZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 16411 /* vpmullq */, X86::VPMULLQZ128rmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 16411 /* vpmullq */, X86::VPMULLQZ256rmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 16411 /* vpmullq */, X86::VPMULLQZrmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 16411 /* vpmullq */, X86::VPMULLQZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 16411 /* vpmullq */, X86::VPMULLQZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 16411 /* vpmullq */, X86::VPMULLQZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 16411 /* vpmullq */, X86::VPMULLQZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 16411 /* vpmullq */, X86::VPMULLQZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 16411 /* vpmullq */, X86::VPMULLQZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 16411 /* vpmullq */, X86::VPMULLQZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 16411 /* vpmullq */, X86::VPMULLQZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 16411 /* vpmullq */, X86::VPMULLQZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 16411 /* vpmullq */, X86::VPMULLQZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 16411 /* vpmullq */, X86::VPMULLQZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 16411 /* vpmullq */, X86::VPMULLQZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 16411 /* vpmullq */, X86::VPMULLQZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 16411 /* vpmullq */, X86::VPMULLQZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 16411 /* vpmullq */, X86::VPMULLQZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 16411 /* vpmullq */, X86::VPMULLQZ128rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 16411 /* vpmullq */, X86::VPMULLQZ256rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 16411 /* vpmullq */, X86::VPMULLQZrmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 16419 /* vpmullw */, X86::VPMULLWrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 16419 /* vpmullw */, X86::VPMULLWrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 16419 /* vpmullw */, X86::VPMULLWYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 16419 /* vpmullw */, X86::VPMULLWYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 16419 /* vpmullw */, X86::VPMULLWZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 16419 /* vpmullw */, X86::VPMULLWZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 16419 /* vpmullw */, X86::VPMULLWZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 16419 /* vpmullw */, X86::VPMULLWZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 16419 /* vpmullw */, X86::VPMULLWZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 16419 /* vpmullw */, X86::VPMULLWZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 16419 /* vpmullw */, X86::VPMULLWZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 16419 /* vpmullw */, X86::VPMULLWZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 16419 /* vpmullw */, X86::VPMULLWZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 16419 /* vpmullw */, X86::VPMULLWZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 16419 /* vpmullw */, X86::VPMULLWZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 16419 /* vpmullw */, X86::VPMULLWZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 16419 /* vpmullw */, X86::VPMULLWZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 16419 /* vpmullw */, X86::VPMULLWZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 16419 /* vpmullw */, X86::VPMULLWZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 16419 /* vpmullw */, X86::VPMULLWZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 16419 /* vpmullw */, X86::VPMULLWZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 16419 /* vpmullw */, X86::VPMULLWZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 16427 /* vpmultishiftqb */, X86::VPMULTISHIFTQBZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 16427 /* vpmultishiftqb */, X86::VPMULTISHIFTQBZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 16427 /* vpmultishiftqb */, X86::VPMULTISHIFTQBZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 16427 /* vpmultishiftqb */, X86::VPMULTISHIFTQBZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 16427 /* vpmultishiftqb */, X86::VPMULTISHIFTQBZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 16427 /* vpmultishiftqb */, X86::VPMULTISHIFTQBZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 16427 /* vpmultishiftqb */, X86::VPMULTISHIFTQBZ128rmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 16427 /* vpmultishiftqb */, X86::VPMULTISHIFTQBZ256rmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 16427 /* vpmultishiftqb */, X86::VPMULTISHIFTQBZrmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 16427 /* vpmultishiftqb */, X86::VPMULTISHIFTQBZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 16427 /* vpmultishiftqb */, X86::VPMULTISHIFTQBZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 16427 /* vpmultishiftqb */, X86::VPMULTISHIFTQBZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 16427 /* vpmultishiftqb */, X86::VPMULTISHIFTQBZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 16427 /* vpmultishiftqb */, X86::VPMULTISHIFTQBZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 16427 /* vpmultishiftqb */, X86::VPMULTISHIFTQBZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 16427 /* vpmultishiftqb */, X86::VPMULTISHIFTQBZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 16427 /* vpmultishiftqb */, X86::VPMULTISHIFTQBZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 16427 /* vpmultishiftqb */, X86::VPMULTISHIFTQBZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 16427 /* vpmultishiftqb */, X86::VPMULTISHIFTQBZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 16427 /* vpmultishiftqb */, X86::VPMULTISHIFTQBZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 16427 /* vpmultishiftqb */, X86::VPMULTISHIFTQBZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 16427 /* vpmultishiftqb */, X86::VPMULTISHIFTQBZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 16427 /* vpmultishiftqb */, X86::VPMULTISHIFTQBZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 16427 /* vpmultishiftqb */, X86::VPMULTISHIFTQBZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 16427 /* vpmultishiftqb */, X86::VPMULTISHIFTQBZ128rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 16427 /* vpmultishiftqb */, X86::VPMULTISHIFTQBZ256rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 16427 /* vpmultishiftqb */, X86::VPMULTISHIFTQBZrmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 16442 /* vpmuludq */, X86::VPMULUDQrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 16442 /* vpmuludq */, X86::VPMULUDQrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 16442 /* vpmuludq */, X86::VPMULUDQYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 16442 /* vpmuludq */, X86::VPMULUDQYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 16442 /* vpmuludq */, X86::VPMULUDQZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 16442 /* vpmuludq */, X86::VPMULUDQZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 16442 /* vpmuludq */, X86::VPMULUDQZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 16442 /* vpmuludq */, X86::VPMULUDQZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 16442 /* vpmuludq */, X86::VPMULUDQZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 16442 /* vpmuludq */, X86::VPMULUDQZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 16442 /* vpmuludq */, X86::VPMULUDQZ128rmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 16442 /* vpmuludq */, X86::VPMULUDQZ256rmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 16442 /* vpmuludq */, X86::VPMULUDQZrmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 16442 /* vpmuludq */, X86::VPMULUDQZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 16442 /* vpmuludq */, X86::VPMULUDQZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 16442 /* vpmuludq */, X86::VPMULUDQZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 16442 /* vpmuludq */, X86::VPMULUDQZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 16442 /* vpmuludq */, X86::VPMULUDQZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 16442 /* vpmuludq */, X86::VPMULUDQZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 16442 /* vpmuludq */, X86::VPMULUDQZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 16442 /* vpmuludq */, X86::VPMULUDQZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 16442 /* vpmuludq */, X86::VPMULUDQZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 16442 /* vpmuludq */, X86::VPMULUDQZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 16442 /* vpmuludq */, X86::VPMULUDQZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 16442 /* vpmuludq */, X86::VPMULUDQZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 16442 /* vpmuludq */, X86::VPMULUDQZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 16442 /* vpmuludq */, X86::VPMULUDQZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 16442 /* vpmuludq */, X86::VPMULUDQZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 16442 /* vpmuludq */, X86::VPMULUDQZ128rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 16442 /* vpmuludq */, X86::VPMULUDQZ256rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 16442 /* vpmuludq */, X86::VPMULUDQZrmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 16451 /* vpopcntb */, X86::VPOPCNTBZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 16451 /* vpopcntb */, X86::VPOPCNTBZ128rm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16X, MCK_Mem128 }, },
{ 16451 /* vpopcntb */, X86::VPOPCNTBZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 16451 /* vpopcntb */, X86::VPOPCNTBZ256rm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR256X, MCK_Mem256 }, },
{ 16451 /* vpopcntb */, X86::VPOPCNTBZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 16451 /* vpopcntb */, X86::VPOPCNTBZrm, Convert__Reg1_0__Mem5125_1, AMFBS_None, { MCK_VR512, MCK_Mem512 }, },
{ 16451 /* vpopcntb */, X86::VPOPCNTBZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 16451 /* vpopcntb */, X86::VPOPCNTBZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 16451 /* vpopcntb */, X86::VPOPCNTBZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 16451 /* vpopcntb */, X86::VPOPCNTBZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 16451 /* vpopcntb */, X86::VPOPCNTBZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 16451 /* vpopcntb */, X86::VPOPCNTBZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512 }, },
{ 16451 /* vpopcntb */, X86::VPOPCNTBZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 16451 /* vpopcntb */, X86::VPOPCNTBZ128rmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 16451 /* vpopcntb */, X86::VPOPCNTBZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 16451 /* vpopcntb */, X86::VPOPCNTBZ256rmkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 16451 /* vpopcntb */, X86::VPOPCNTBZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 16451 /* vpopcntb */, X86::VPOPCNTBZrmkz, Convert__Reg1_0__Reg1_2__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512 }, },
{ 16460 /* vpopcntd */, X86::VPOPCNTDZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 16460 /* vpopcntd */, X86::VPOPCNTDZ128rm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16X, MCK_Mem128 }, },
{ 16460 /* vpopcntd */, X86::VPOPCNTDZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 16460 /* vpopcntd */, X86::VPOPCNTDZ256rm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR256X, MCK_Mem256 }, },
{ 16460 /* vpopcntd */, X86::VPOPCNTDZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 16460 /* vpopcntd */, X86::VPOPCNTDZrm, Convert__Reg1_0__Mem5125_1, AMFBS_None, { MCK_VR512, MCK_Mem512 }, },
{ 16460 /* vpopcntd */, X86::VPOPCNTDZ128rmb, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 16460 /* vpopcntd */, X86::VPOPCNTDZ256rmb, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 16460 /* vpopcntd */, X86::VPOPCNTDZrmb, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 16460 /* vpopcntd */, X86::VPOPCNTDZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 16460 /* vpopcntd */, X86::VPOPCNTDZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 16460 /* vpopcntd */, X86::VPOPCNTDZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 16460 /* vpopcntd */, X86::VPOPCNTDZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 16460 /* vpopcntd */, X86::VPOPCNTDZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 16460 /* vpopcntd */, X86::VPOPCNTDZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512 }, },
{ 16460 /* vpopcntd */, X86::VPOPCNTDZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 16460 /* vpopcntd */, X86::VPOPCNTDZ128rmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 16460 /* vpopcntd */, X86::VPOPCNTDZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 16460 /* vpopcntd */, X86::VPOPCNTDZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 16460 /* vpopcntd */, X86::VPOPCNTDZ256rmkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 16460 /* vpopcntd */, X86::VPOPCNTDZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 16460 /* vpopcntd */, X86::VPOPCNTDZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 16460 /* vpopcntd */, X86::VPOPCNTDZrmkz, Convert__Reg1_0__Reg1_2__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512 }, },
{ 16460 /* vpopcntd */, X86::VPOPCNTDZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 16460 /* vpopcntd */, X86::VPOPCNTDZ128rmbkz, Convert__Reg1_0__Reg1_2__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 16460 /* vpopcntd */, X86::VPOPCNTDZ256rmbkz, Convert__Reg1_0__Reg1_2__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 16460 /* vpopcntd */, X86::VPOPCNTDZrmbkz, Convert__Reg1_0__Reg1_2__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 16469 /* vpopcntq */, X86::VPOPCNTQZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 16469 /* vpopcntq */, X86::VPOPCNTQZ128rm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16X, MCK_Mem128 }, },
{ 16469 /* vpopcntq */, X86::VPOPCNTQZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 16469 /* vpopcntq */, X86::VPOPCNTQZ256rm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR256X, MCK_Mem256 }, },
{ 16469 /* vpopcntq */, X86::VPOPCNTQZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 16469 /* vpopcntq */, X86::VPOPCNTQZrm, Convert__Reg1_0__Mem5125_1, AMFBS_None, { MCK_VR512, MCK_Mem512 }, },
{ 16469 /* vpopcntq */, X86::VPOPCNTQZ128rmb, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 16469 /* vpopcntq */, X86::VPOPCNTQZ256rmb, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 16469 /* vpopcntq */, X86::VPOPCNTQZrmb, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 16469 /* vpopcntq */, X86::VPOPCNTQZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 16469 /* vpopcntq */, X86::VPOPCNTQZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 16469 /* vpopcntq */, X86::VPOPCNTQZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 16469 /* vpopcntq */, X86::VPOPCNTQZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 16469 /* vpopcntq */, X86::VPOPCNTQZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 16469 /* vpopcntq */, X86::VPOPCNTQZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512 }, },
{ 16469 /* vpopcntq */, X86::VPOPCNTQZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 16469 /* vpopcntq */, X86::VPOPCNTQZ128rmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 16469 /* vpopcntq */, X86::VPOPCNTQZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 16469 /* vpopcntq */, X86::VPOPCNTQZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 16469 /* vpopcntq */, X86::VPOPCNTQZ256rmkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 16469 /* vpopcntq */, X86::VPOPCNTQZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 16469 /* vpopcntq */, X86::VPOPCNTQZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 16469 /* vpopcntq */, X86::VPOPCNTQZrmkz, Convert__Reg1_0__Reg1_2__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512 }, },
{ 16469 /* vpopcntq */, X86::VPOPCNTQZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 16469 /* vpopcntq */, X86::VPOPCNTQZ128rmbkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 16469 /* vpopcntq */, X86::VPOPCNTQZ256rmbkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 16469 /* vpopcntq */, X86::VPOPCNTQZrmbkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 16478 /* vpopcntw */, X86::VPOPCNTWZ128rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 16478 /* vpopcntw */, X86::VPOPCNTWZ128rm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16X, MCK_Mem128 }, },
{ 16478 /* vpopcntw */, X86::VPOPCNTWZ256rr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 16478 /* vpopcntw */, X86::VPOPCNTWZ256rm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR256X, MCK_Mem256 }, },
{ 16478 /* vpopcntw */, X86::VPOPCNTWZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 16478 /* vpopcntw */, X86::VPOPCNTWZrm, Convert__Reg1_0__Mem5125_1, AMFBS_None, { MCK_VR512, MCK_Mem512 }, },
{ 16478 /* vpopcntw */, X86::VPOPCNTWZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 16478 /* vpopcntw */, X86::VPOPCNTWZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 16478 /* vpopcntw */, X86::VPOPCNTWZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 16478 /* vpopcntw */, X86::VPOPCNTWZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 16478 /* vpopcntw */, X86::VPOPCNTWZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 16478 /* vpopcntw */, X86::VPOPCNTWZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512 }, },
{ 16478 /* vpopcntw */, X86::VPOPCNTWZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 16478 /* vpopcntw */, X86::VPOPCNTWZ128rmkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 16478 /* vpopcntw */, X86::VPOPCNTWZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 16478 /* vpopcntw */, X86::VPOPCNTWZ256rmkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 16478 /* vpopcntw */, X86::VPOPCNTWZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 16478 /* vpopcntw */, X86::VPOPCNTWZrmkz, Convert__Reg1_0__Reg1_2__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512 }, },
{ 16487 /* vpor */, X86::VPORrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 16487 /* vpor */, X86::VPORrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 16487 /* vpor */, X86::VPORYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 16487 /* vpor */, X86::VPORYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 16492 /* vpord */, X86::VPORDZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 16492 /* vpord */, X86::VPORDZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 16492 /* vpord */, X86::VPORDZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 16492 /* vpord */, X86::VPORDZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 16492 /* vpord */, X86::VPORDZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 16492 /* vpord */, X86::VPORDZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 16492 /* vpord */, X86::VPORDZ128rmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 16492 /* vpord */, X86::VPORDZ256rmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 16492 /* vpord */, X86::VPORDZrmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 16492 /* vpord */, X86::VPORDZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 16492 /* vpord */, X86::VPORDZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 16492 /* vpord */, X86::VPORDZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 16492 /* vpord */, X86::VPORDZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 16492 /* vpord */, X86::VPORDZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 16492 /* vpord */, X86::VPORDZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 16492 /* vpord */, X86::VPORDZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 16492 /* vpord */, X86::VPORDZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 16492 /* vpord */, X86::VPORDZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 16492 /* vpord */, X86::VPORDZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 16492 /* vpord */, X86::VPORDZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 16492 /* vpord */, X86::VPORDZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 16492 /* vpord */, X86::VPORDZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 16492 /* vpord */, X86::VPORDZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 16492 /* vpord */, X86::VPORDZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 16492 /* vpord */, X86::VPORDZ128rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 16492 /* vpord */, X86::VPORDZ256rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 16492 /* vpord */, X86::VPORDZrmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 16498 /* vporq */, X86::VPORQZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 16498 /* vporq */, X86::VPORQZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 16498 /* vporq */, X86::VPORQZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 16498 /* vporq */, X86::VPORQZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 16498 /* vporq */, X86::VPORQZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 16498 /* vporq */, X86::VPORQZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 16498 /* vporq */, X86::VPORQZ128rmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 16498 /* vporq */, X86::VPORQZ256rmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 16498 /* vporq */, X86::VPORQZrmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 16498 /* vporq */, X86::VPORQZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 16498 /* vporq */, X86::VPORQZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 16498 /* vporq */, X86::VPORQZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 16498 /* vporq */, X86::VPORQZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 16498 /* vporq */, X86::VPORQZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 16498 /* vporq */, X86::VPORQZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 16498 /* vporq */, X86::VPORQZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 16498 /* vporq */, X86::VPORQZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 16498 /* vporq */, X86::VPORQZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 16498 /* vporq */, X86::VPORQZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 16498 /* vporq */, X86::VPORQZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 16498 /* vporq */, X86::VPORQZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 16498 /* vporq */, X86::VPORQZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 16498 /* vporq */, X86::VPORQZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 16498 /* vporq */, X86::VPORQZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 16498 /* vporq */, X86::VPORQZ128rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 16498 /* vporq */, X86::VPORQZ256rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 16498 /* vporq */, X86::VPORQZrmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 16504 /* vpperm */, X86::VPPERMrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 16504 /* vpperm */, X86::VPPERMrrm, Convert__Reg1_0__Reg1_1__Reg1_2__Mem1285_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 16504 /* vpperm */, X86::VPPERMrmr, Convert__Reg1_0__Reg1_1__Mem1285_2__Reg1_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128, MCK_FR16 }, },
{ 16511 /* vprold */, X86::VPROLDZ128ri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 16511 /* vprold */, X86::VPROLDZ128mi, Convert__Reg1_0__Mem1285_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 16511 /* vprold */, X86::VPROLDZ256ri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 16511 /* vprold */, X86::VPROLDZ256mi, Convert__Reg1_0__Mem2565_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 16511 /* vprold */, X86::VPROLDZri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 16511 /* vprold */, X86::VPROLDZmi, Convert__Reg1_0__Mem5125_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 16511 /* vprold */, X86::VPROLDZ128mbi, Convert__Reg1_0__Mem325_1__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 16511 /* vprold */, X86::VPROLDZ256mbi, Convert__Reg1_0__Mem325_1__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 16511 /* vprold */, X86::VPROLDZmbi, Convert__Reg1_0__Mem325_1__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_Mem32, MCK__123_1to16_125_, MCK_ImmUnsignedi8 }, },
{ 16511 /* vprold */, X86::VPROLDZ128rik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 16511 /* vprold */, X86::VPROLDZ128mik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4__ImmUnsignedi81_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 16511 /* vprold */, X86::VPROLDZ256rik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 16511 /* vprold */, X86::VPROLDZ256mik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 16511 /* vprold */, X86::VPROLDZrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 16511 /* vprold */, X86::VPROLDZmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 16511 /* vprold */, X86::VPROLDZ128rikz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 16511 /* vprold */, X86::VPROLDZ128mikz, Convert__Reg1_0__Reg1_2__Mem1285_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 16511 /* vprold */, X86::VPROLDZ128mbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 16511 /* vprold */, X86::VPROLDZ256rikz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 16511 /* vprold */, X86::VPROLDZ256mikz, Convert__Reg1_0__Reg1_2__Mem2565_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 16511 /* vprold */, X86::VPROLDZ256mbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 16511 /* vprold */, X86::VPROLDZrikz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 16511 /* vprold */, X86::VPROLDZmikz, Convert__Reg1_0__Reg1_2__Mem5125_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 16511 /* vprold */, X86::VPROLDZmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to16_125_, MCK_ImmUnsignedi8 }, },
{ 16511 /* vprold */, X86::VPROLDZ128mbikz, Convert__Reg1_0__Reg1_2__Mem325_5__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 16511 /* vprold */, X86::VPROLDZ256mbikz, Convert__Reg1_0__Reg1_2__Mem325_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 16511 /* vprold */, X86::VPROLDZmbikz, Convert__Reg1_0__Reg1_2__Mem325_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to16_125_, MCK_ImmUnsignedi8 }, },
{ 16518 /* vprolq */, X86::VPROLQZ128ri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 16518 /* vprolq */, X86::VPROLQZ128mi, Convert__Reg1_0__Mem1285_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 16518 /* vprolq */, X86::VPROLQZ256ri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 16518 /* vprolq */, X86::VPROLQZ256mi, Convert__Reg1_0__Mem2565_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 16518 /* vprolq */, X86::VPROLQZri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 16518 /* vprolq */, X86::VPROLQZmi, Convert__Reg1_0__Mem5125_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 16518 /* vprolq */, X86::VPROLQZ128mbi, Convert__Reg1_0__Mem645_1__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_, MCK_ImmUnsignedi8 }, },
{ 16518 /* vprolq */, X86::VPROLQZ256mbi, Convert__Reg1_0__Mem645_1__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 16518 /* vprolq */, X86::VPROLQZmbi, Convert__Reg1_0__Mem645_1__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_Mem64, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 16518 /* vprolq */, X86::VPROLQZ128rik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 16518 /* vprolq */, X86::VPROLQZ128mik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4__ImmUnsignedi81_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 16518 /* vprolq */, X86::VPROLQZ256rik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 16518 /* vprolq */, X86::VPROLQZ256mik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 16518 /* vprolq */, X86::VPROLQZrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 16518 /* vprolq */, X86::VPROLQZmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 16518 /* vprolq */, X86::VPROLQZ128rikz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 16518 /* vprolq */, X86::VPROLQZ128mikz, Convert__Reg1_0__Reg1_2__Mem1285_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 16518 /* vprolq */, X86::VPROLQZ128mbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to2_125_, MCK_ImmUnsignedi8 }, },
{ 16518 /* vprolq */, X86::VPROLQZ256rikz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 16518 /* vprolq */, X86::VPROLQZ256mikz, Convert__Reg1_0__Reg1_2__Mem2565_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 16518 /* vprolq */, X86::VPROLQZ256mbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 16518 /* vprolq */, X86::VPROLQZrikz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 16518 /* vprolq */, X86::VPROLQZmikz, Convert__Reg1_0__Reg1_2__Mem5125_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 16518 /* vprolq */, X86::VPROLQZmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 16518 /* vprolq */, X86::VPROLQZ128mbikz, Convert__Reg1_0__Reg1_2__Mem645_5__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to2_125_, MCK_ImmUnsignedi8 }, },
{ 16518 /* vprolq */, X86::VPROLQZ256mbikz, Convert__Reg1_0__Reg1_2__Mem645_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 16518 /* vprolq */, X86::VPROLQZmbikz, Convert__Reg1_0__Reg1_2__Mem645_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 16525 /* vprolvd */, X86::VPROLVDZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 16525 /* vprolvd */, X86::VPROLVDZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 16525 /* vprolvd */, X86::VPROLVDZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 16525 /* vprolvd */, X86::VPROLVDZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 16525 /* vprolvd */, X86::VPROLVDZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 16525 /* vprolvd */, X86::VPROLVDZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 16525 /* vprolvd */, X86::VPROLVDZ128rmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 16525 /* vprolvd */, X86::VPROLVDZ256rmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 16525 /* vprolvd */, X86::VPROLVDZrmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 16525 /* vprolvd */, X86::VPROLVDZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 16525 /* vprolvd */, X86::VPROLVDZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 16525 /* vprolvd */, X86::VPROLVDZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 16525 /* vprolvd */, X86::VPROLVDZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 16525 /* vprolvd */, X86::VPROLVDZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 16525 /* vprolvd */, X86::VPROLVDZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 16525 /* vprolvd */, X86::VPROLVDZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 16525 /* vprolvd */, X86::VPROLVDZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 16525 /* vprolvd */, X86::VPROLVDZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 16525 /* vprolvd */, X86::VPROLVDZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 16525 /* vprolvd */, X86::VPROLVDZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 16525 /* vprolvd */, X86::VPROLVDZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 16525 /* vprolvd */, X86::VPROLVDZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 16525 /* vprolvd */, X86::VPROLVDZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 16525 /* vprolvd */, X86::VPROLVDZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 16525 /* vprolvd */, X86::VPROLVDZ128rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 16525 /* vprolvd */, X86::VPROLVDZ256rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 16525 /* vprolvd */, X86::VPROLVDZrmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 16533 /* vprolvq */, X86::VPROLVQZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 16533 /* vprolvq */, X86::VPROLVQZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 16533 /* vprolvq */, X86::VPROLVQZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 16533 /* vprolvq */, X86::VPROLVQZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 16533 /* vprolvq */, X86::VPROLVQZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 16533 /* vprolvq */, X86::VPROLVQZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 16533 /* vprolvq */, X86::VPROLVQZ128rmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 16533 /* vprolvq */, X86::VPROLVQZ256rmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 16533 /* vprolvq */, X86::VPROLVQZrmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 16533 /* vprolvq */, X86::VPROLVQZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 16533 /* vprolvq */, X86::VPROLVQZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 16533 /* vprolvq */, X86::VPROLVQZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 16533 /* vprolvq */, X86::VPROLVQZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 16533 /* vprolvq */, X86::VPROLVQZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 16533 /* vprolvq */, X86::VPROLVQZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 16533 /* vprolvq */, X86::VPROLVQZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 16533 /* vprolvq */, X86::VPROLVQZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 16533 /* vprolvq */, X86::VPROLVQZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 16533 /* vprolvq */, X86::VPROLVQZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 16533 /* vprolvq */, X86::VPROLVQZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 16533 /* vprolvq */, X86::VPROLVQZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 16533 /* vprolvq */, X86::VPROLVQZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 16533 /* vprolvq */, X86::VPROLVQZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 16533 /* vprolvq */, X86::VPROLVQZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 16533 /* vprolvq */, X86::VPROLVQZ128rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 16533 /* vprolvq */, X86::VPROLVQZ256rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 16533 /* vprolvq */, X86::VPROLVQZrmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 16541 /* vprord */, X86::VPRORDZ128ri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 16541 /* vprord */, X86::VPRORDZ128mi, Convert__Reg1_0__Mem1285_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 16541 /* vprord */, X86::VPRORDZ256ri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 16541 /* vprord */, X86::VPRORDZ256mi, Convert__Reg1_0__Mem2565_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 16541 /* vprord */, X86::VPRORDZri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 16541 /* vprord */, X86::VPRORDZmi, Convert__Reg1_0__Mem5125_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 16541 /* vprord */, X86::VPRORDZ128mbi, Convert__Reg1_0__Mem325_1__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 16541 /* vprord */, X86::VPRORDZ256mbi, Convert__Reg1_0__Mem325_1__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 16541 /* vprord */, X86::VPRORDZmbi, Convert__Reg1_0__Mem325_1__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_Mem32, MCK__123_1to16_125_, MCK_ImmUnsignedi8 }, },
{ 16541 /* vprord */, X86::VPRORDZ128rik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 16541 /* vprord */, X86::VPRORDZ128mik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4__ImmUnsignedi81_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 16541 /* vprord */, X86::VPRORDZ256rik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 16541 /* vprord */, X86::VPRORDZ256mik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 16541 /* vprord */, X86::VPRORDZrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 16541 /* vprord */, X86::VPRORDZmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 16541 /* vprord */, X86::VPRORDZ128rikz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 16541 /* vprord */, X86::VPRORDZ128mikz, Convert__Reg1_0__Reg1_2__Mem1285_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 16541 /* vprord */, X86::VPRORDZ128mbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 16541 /* vprord */, X86::VPRORDZ256rikz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 16541 /* vprord */, X86::VPRORDZ256mikz, Convert__Reg1_0__Reg1_2__Mem2565_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 16541 /* vprord */, X86::VPRORDZ256mbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 16541 /* vprord */, X86::VPRORDZrikz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 16541 /* vprord */, X86::VPRORDZmikz, Convert__Reg1_0__Reg1_2__Mem5125_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 16541 /* vprord */, X86::VPRORDZmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to16_125_, MCK_ImmUnsignedi8 }, },
{ 16541 /* vprord */, X86::VPRORDZ128mbikz, Convert__Reg1_0__Reg1_2__Mem325_5__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 16541 /* vprord */, X86::VPRORDZ256mbikz, Convert__Reg1_0__Reg1_2__Mem325_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 16541 /* vprord */, X86::VPRORDZmbikz, Convert__Reg1_0__Reg1_2__Mem325_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to16_125_, MCK_ImmUnsignedi8 }, },
{ 16548 /* vprorq */, X86::VPRORQZ128ri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 16548 /* vprorq */, X86::VPRORQZ128mi, Convert__Reg1_0__Mem1285_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 16548 /* vprorq */, X86::VPRORQZ256ri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 16548 /* vprorq */, X86::VPRORQZ256mi, Convert__Reg1_0__Mem2565_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 16548 /* vprorq */, X86::VPRORQZri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 16548 /* vprorq */, X86::VPRORQZmi, Convert__Reg1_0__Mem5125_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 16548 /* vprorq */, X86::VPRORQZ128mbi, Convert__Reg1_0__Mem645_1__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_, MCK_ImmUnsignedi8 }, },
{ 16548 /* vprorq */, X86::VPRORQZ256mbi, Convert__Reg1_0__Mem645_1__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 16548 /* vprorq */, X86::VPRORQZmbi, Convert__Reg1_0__Mem645_1__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_Mem64, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 16548 /* vprorq */, X86::VPRORQZ128rik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 16548 /* vprorq */, X86::VPRORQZ128mik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4__ImmUnsignedi81_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 16548 /* vprorq */, X86::VPRORQZ256rik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 16548 /* vprorq */, X86::VPRORQZ256mik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 16548 /* vprorq */, X86::VPRORQZrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 16548 /* vprorq */, X86::VPRORQZmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 16548 /* vprorq */, X86::VPRORQZ128rikz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 16548 /* vprorq */, X86::VPRORQZ128mikz, Convert__Reg1_0__Reg1_2__Mem1285_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 16548 /* vprorq */, X86::VPRORQZ128mbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to2_125_, MCK_ImmUnsignedi8 }, },
{ 16548 /* vprorq */, X86::VPRORQZ256rikz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 16548 /* vprorq */, X86::VPRORQZ256mikz, Convert__Reg1_0__Reg1_2__Mem2565_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 16548 /* vprorq */, X86::VPRORQZ256mbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 16548 /* vprorq */, X86::VPRORQZrikz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 16548 /* vprorq */, X86::VPRORQZmikz, Convert__Reg1_0__Reg1_2__Mem5125_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 16548 /* vprorq */, X86::VPRORQZmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 16548 /* vprorq */, X86::VPRORQZ128mbikz, Convert__Reg1_0__Reg1_2__Mem645_5__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to2_125_, MCK_ImmUnsignedi8 }, },
{ 16548 /* vprorq */, X86::VPRORQZ256mbikz, Convert__Reg1_0__Reg1_2__Mem645_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 16548 /* vprorq */, X86::VPRORQZmbikz, Convert__Reg1_0__Reg1_2__Mem645_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 16555 /* vprorvd */, X86::VPRORVDZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 16555 /* vprorvd */, X86::VPRORVDZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 16555 /* vprorvd */, X86::VPRORVDZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 16555 /* vprorvd */, X86::VPRORVDZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 16555 /* vprorvd */, X86::VPRORVDZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 16555 /* vprorvd */, X86::VPRORVDZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 16555 /* vprorvd */, X86::VPRORVDZ128rmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 16555 /* vprorvd */, X86::VPRORVDZ256rmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 16555 /* vprorvd */, X86::VPRORVDZrmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 16555 /* vprorvd */, X86::VPRORVDZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 16555 /* vprorvd */, X86::VPRORVDZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 16555 /* vprorvd */, X86::VPRORVDZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 16555 /* vprorvd */, X86::VPRORVDZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 16555 /* vprorvd */, X86::VPRORVDZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 16555 /* vprorvd */, X86::VPRORVDZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 16555 /* vprorvd */, X86::VPRORVDZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 16555 /* vprorvd */, X86::VPRORVDZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 16555 /* vprorvd */, X86::VPRORVDZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 16555 /* vprorvd */, X86::VPRORVDZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 16555 /* vprorvd */, X86::VPRORVDZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 16555 /* vprorvd */, X86::VPRORVDZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 16555 /* vprorvd */, X86::VPRORVDZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 16555 /* vprorvd */, X86::VPRORVDZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 16555 /* vprorvd */, X86::VPRORVDZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 16555 /* vprorvd */, X86::VPRORVDZ128rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 16555 /* vprorvd */, X86::VPRORVDZ256rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 16555 /* vprorvd */, X86::VPRORVDZrmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 16563 /* vprorvq */, X86::VPRORVQZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 16563 /* vprorvq */, X86::VPRORVQZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 16563 /* vprorvq */, X86::VPRORVQZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 16563 /* vprorvq */, X86::VPRORVQZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 16563 /* vprorvq */, X86::VPRORVQZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 16563 /* vprorvq */, X86::VPRORVQZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 16563 /* vprorvq */, X86::VPRORVQZ128rmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 16563 /* vprorvq */, X86::VPRORVQZ256rmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 16563 /* vprorvq */, X86::VPRORVQZrmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 16563 /* vprorvq */, X86::VPRORVQZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 16563 /* vprorvq */, X86::VPRORVQZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 16563 /* vprorvq */, X86::VPRORVQZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 16563 /* vprorvq */, X86::VPRORVQZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 16563 /* vprorvq */, X86::VPRORVQZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 16563 /* vprorvq */, X86::VPRORVQZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 16563 /* vprorvq */, X86::VPRORVQZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 16563 /* vprorvq */, X86::VPRORVQZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 16563 /* vprorvq */, X86::VPRORVQZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 16563 /* vprorvq */, X86::VPRORVQZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 16563 /* vprorvq */, X86::VPRORVQZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 16563 /* vprorvq */, X86::VPRORVQZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 16563 /* vprorvq */, X86::VPRORVQZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 16563 /* vprorvq */, X86::VPRORVQZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 16563 /* vprorvq */, X86::VPRORVQZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 16563 /* vprorvq */, X86::VPRORVQZ128rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 16563 /* vprorvq */, X86::VPRORVQZ256rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 16563 /* vprorvq */, X86::VPRORVQZrmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 16571 /* vprotb */, X86::VPROTBrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 16571 /* vprotb */, X86::VPROTBri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 16571 /* vprotb */, X86::VPROTBrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 16571 /* vprotb */, X86::VPROTBmr, Convert__Reg1_0__Mem1285_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_FR16 }, },
{ 16571 /* vprotb */, X86::VPROTBmi, Convert__Reg1_0__Mem1285_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 16578 /* vprotd */, X86::VPROTDrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 16578 /* vprotd */, X86::VPROTDri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 16578 /* vprotd */, X86::VPROTDrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 16578 /* vprotd */, X86::VPROTDmr, Convert__Reg1_0__Mem1285_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_FR16 }, },
{ 16578 /* vprotd */, X86::VPROTDmi, Convert__Reg1_0__Mem1285_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 16585 /* vprotq */, X86::VPROTQrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 16585 /* vprotq */, X86::VPROTQri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 16585 /* vprotq */, X86::VPROTQrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 16585 /* vprotq */, X86::VPROTQmr, Convert__Reg1_0__Mem1285_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_FR16 }, },
{ 16585 /* vprotq */, X86::VPROTQmi, Convert__Reg1_0__Mem1285_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 16592 /* vprotw */, X86::VPROTWrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 16592 /* vprotw */, X86::VPROTWri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 16592 /* vprotw */, X86::VPROTWrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 16592 /* vprotw */, X86::VPROTWmr, Convert__Reg1_0__Mem1285_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_FR16 }, },
{ 16592 /* vprotw */, X86::VPROTWmi, Convert__Reg1_0__Mem1285_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 16599 /* vpsadbw */, X86::VPSADBWrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 16599 /* vpsadbw */, X86::VPSADBWrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 16599 /* vpsadbw */, X86::VPSADBWYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 16599 /* vpsadbw */, X86::VPSADBWYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 16599 /* vpsadbw */, X86::VPSADBWZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 16599 /* vpsadbw */, X86::VPSADBWZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 16599 /* vpsadbw */, X86::VPSADBWZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 16599 /* vpsadbw */, X86::VPSADBWZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 16599 /* vpsadbw */, X86::VPSADBWZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 16599 /* vpsadbw */, X86::VPSADBWZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 16607 /* vpscatterdd */, X86::VPSCATTERDDZ128mr, Convert__Reg1_2__Mem128_RC128X5_0__Tie0_3_3__Reg1_4, AMFBS_None, { MCK_Mem128_RC128X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 16607 /* vpscatterdd */, X86::VPSCATTERDDZ256mr, Convert__Reg1_2__Mem256_RC256X5_0__Tie0_3_3__Reg1_4, AMFBS_None, { MCK_Mem256_RC256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 16607 /* vpscatterdd */, X86::VPSCATTERDDZmr, Convert__Reg1_2__Mem512_RC5125_0__Tie0_3_3__Reg1_4, AMFBS_None, { MCK_Mem512_RC512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 16619 /* vpscatterdq */, X86::VPSCATTERDQZ128mr, Convert__Reg1_2__Mem128_RC128X5_0__Tie0_3_3__Reg1_4, AMFBS_None, { MCK_Mem128_RC128X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 16619 /* vpscatterdq */, X86::VPSCATTERDQZ256mr, Convert__Reg1_2__Mem256_RC128X5_0__Tie0_3_3__Reg1_4, AMFBS_None, { MCK_Mem256_RC128X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 16619 /* vpscatterdq */, X86::VPSCATTERDQZmr, Convert__Reg1_2__Mem512_RC256X5_0__Tie0_3_3__Reg1_4, AMFBS_None, { MCK_Mem512_RC256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 16631 /* vpscatterqd */, X86::VPSCATTERQDZ256mr, Convert__Reg1_2__Mem128_RC256X5_0__Tie0_3_3__Reg1_4, AMFBS_None, { MCK_Mem128_RC256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 16631 /* vpscatterqd */, X86::VPSCATTERQDZmr, Convert__Reg1_2__Mem256_RC5125_0__Tie0_3_3__Reg1_4, AMFBS_None, { MCK_Mem256_RC512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 16631 /* vpscatterqd */, X86::VPSCATTERQDZ128mr, Convert__Reg1_2__Mem64_RC128X5_0__Tie0_3_3__Reg1_4, AMFBS_None, { MCK_Mem64_RC128X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 16643 /* vpscatterqq */, X86::VPSCATTERQQZ128mr, Convert__Reg1_2__Mem128_RC128X5_0__Tie0_3_3__Reg1_4, AMFBS_None, { MCK_Mem128_RC128X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 16643 /* vpscatterqq */, X86::VPSCATTERQQZ256mr, Convert__Reg1_2__Mem256_RC256X5_0__Tie0_3_3__Reg1_4, AMFBS_None, { MCK_Mem256_RC256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 16643 /* vpscatterqq */, X86::VPSCATTERQQZmr, Convert__Reg1_2__Mem512_RC5125_0__Tie0_3_3__Reg1_4, AMFBS_None, { MCK_Mem512_RC512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 16655 /* vpshab */, X86::VPSHABrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 16655 /* vpshab */, X86::VPSHABrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 16655 /* vpshab */, X86::VPSHABmr, Convert__Reg1_0__Mem1285_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_FR16 }, },
{ 16662 /* vpshad */, X86::VPSHADrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 16662 /* vpshad */, X86::VPSHADrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 16662 /* vpshad */, X86::VPSHADmr, Convert__Reg1_0__Mem1285_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_FR16 }, },
{ 16669 /* vpshaq */, X86::VPSHAQrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 16669 /* vpshaq */, X86::VPSHAQrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 16669 /* vpshaq */, X86::VPSHAQmr, Convert__Reg1_0__Mem1285_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_FR16 }, },
{ 16676 /* vpshaw */, X86::VPSHAWrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 16676 /* vpshaw */, X86::VPSHAWrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 16676 /* vpshaw */, X86::VPSHAWmr, Convert__Reg1_0__Mem1285_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_FR16 }, },
{ 16683 /* vpshlb */, X86::VPSHLBrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 16683 /* vpshlb */, X86::VPSHLBrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 16683 /* vpshlb */, X86::VPSHLBmr, Convert__Reg1_0__Mem1285_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_FR16 }, },
{ 16690 /* vpshld */, X86::VPSHLDrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 16690 /* vpshld */, X86::VPSHLDrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 16690 /* vpshld */, X86::VPSHLDmr, Convert__Reg1_0__Mem1285_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_FR16 }, },
{ 16697 /* vpshldd */, X86::VPSHLDDZ128rri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 16697 /* vpshldd */, X86::VPSHLDDZ128rmi, Convert__Reg1_0__Reg1_1__Mem1285_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 16697 /* vpshldd */, X86::VPSHLDDZ256rri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 16697 /* vpshldd */, X86::VPSHLDDZ256rmi, Convert__Reg1_0__Reg1_1__Mem2565_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 16697 /* vpshldd */, X86::VPSHLDDZrri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 16697 /* vpshldd */, X86::VPSHLDDZrmi, Convert__Reg1_0__Reg1_1__Mem5125_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 16697 /* vpshldd */, X86::VPSHLDDZ128rmbi, Convert__Reg1_0__Reg1_1__Mem325_2__ImmUnsignedi81_4, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 16697 /* vpshldd */, X86::VPSHLDDZ256rmbi, Convert__Reg1_0__Reg1_1__Mem325_2__ImmUnsignedi81_4, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 16697 /* vpshldd */, X86::VPSHLDDZrmbi, Convert__Reg1_0__Reg1_1__Mem325_2__ImmUnsignedi81_4, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_, MCK_ImmUnsignedi8 }, },
{ 16697 /* vpshldd */, X86::VPSHLDDZ128rrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 16697 /* vpshldd */, X86::VPSHLDDZ128rmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 16697 /* vpshldd */, X86::VPSHLDDZ256rrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 16697 /* vpshldd */, X86::VPSHLDDZ256rmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 16697 /* vpshldd */, X86::VPSHLDDZrrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 16697 /* vpshldd */, X86::VPSHLDDZrmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 16697 /* vpshldd */, X86::VPSHLDDZ128rrikz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 16697 /* vpshldd */, X86::VPSHLDDZ128rmikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 16697 /* vpshldd */, X86::VPSHLDDZ128rmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 16697 /* vpshldd */, X86::VPSHLDDZ256rrikz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 16697 /* vpshldd */, X86::VPSHLDDZ256rmikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 16697 /* vpshldd */, X86::VPSHLDDZ256rmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 16697 /* vpshldd */, X86::VPSHLDDZrrikz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 16697 /* vpshldd */, X86::VPSHLDDZrmikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 16697 /* vpshldd */, X86::VPSHLDDZrmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_, MCK_ImmUnsignedi8 }, },
{ 16697 /* vpshldd */, X86::VPSHLDDZ128rmbikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6__ImmUnsignedi81_8, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 16697 /* vpshldd */, X86::VPSHLDDZ256rmbikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6__ImmUnsignedi81_8, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 16697 /* vpshldd */, X86::VPSHLDDZrmbikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6__ImmUnsignedi81_8, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_, MCK_ImmUnsignedi8 }, },
{ 16705 /* vpshldq */, X86::VPSHLDQZ128rri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 16705 /* vpshldq */, X86::VPSHLDQZ128rmi, Convert__Reg1_0__Reg1_1__Mem1285_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 16705 /* vpshldq */, X86::VPSHLDQZ256rri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 16705 /* vpshldq */, X86::VPSHLDQZ256rmi, Convert__Reg1_0__Reg1_1__Mem2565_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 16705 /* vpshldq */, X86::VPSHLDQZrri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 16705 /* vpshldq */, X86::VPSHLDQZrmi, Convert__Reg1_0__Reg1_1__Mem5125_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 16705 /* vpshldq */, X86::VPSHLDQZ128rmbi, Convert__Reg1_0__Reg1_1__Mem645_2__ImmUnsignedi81_4, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_, MCK_ImmUnsignedi8 }, },
{ 16705 /* vpshldq */, X86::VPSHLDQZ256rmbi, Convert__Reg1_0__Reg1_1__Mem645_2__ImmUnsignedi81_4, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 16705 /* vpshldq */, X86::VPSHLDQZrmbi, Convert__Reg1_0__Reg1_1__Mem645_2__ImmUnsignedi81_4, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 16705 /* vpshldq */, X86::VPSHLDQZ128rrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 16705 /* vpshldq */, X86::VPSHLDQZ128rmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 16705 /* vpshldq */, X86::VPSHLDQZ256rrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 16705 /* vpshldq */, X86::VPSHLDQZ256rmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 16705 /* vpshldq */, X86::VPSHLDQZrrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 16705 /* vpshldq */, X86::VPSHLDQZrmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 16705 /* vpshldq */, X86::VPSHLDQZ128rrikz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 16705 /* vpshldq */, X86::VPSHLDQZ128rmikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 16705 /* vpshldq */, X86::VPSHLDQZ128rmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_, MCK_ImmUnsignedi8 }, },
{ 16705 /* vpshldq */, X86::VPSHLDQZ256rrikz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 16705 /* vpshldq */, X86::VPSHLDQZ256rmikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 16705 /* vpshldq */, X86::VPSHLDQZ256rmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 16705 /* vpshldq */, X86::VPSHLDQZrrikz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 16705 /* vpshldq */, X86::VPSHLDQZrmikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 16705 /* vpshldq */, X86::VPSHLDQZrmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 16705 /* vpshldq */, X86::VPSHLDQZ128rmbikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6__ImmUnsignedi81_8, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_, MCK_ImmUnsignedi8 }, },
{ 16705 /* vpshldq */, X86::VPSHLDQZ256rmbikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6__ImmUnsignedi81_8, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 16705 /* vpshldq */, X86::VPSHLDQZrmbikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6__ImmUnsignedi81_8, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 16713 /* vpshldvd */, X86::VPSHLDVDZ128r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 16713 /* vpshldvd */, X86::VPSHLDVDZ128m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 16713 /* vpshldvd */, X86::VPSHLDVDZ256r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 16713 /* vpshldvd */, X86::VPSHLDVDZ256m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 16713 /* vpshldvd */, X86::VPSHLDVDZr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 16713 /* vpshldvd */, X86::VPSHLDVDZm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 16713 /* vpshldvd */, X86::VPSHLDVDZ128mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 16713 /* vpshldvd */, X86::VPSHLDVDZ256mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 16713 /* vpshldvd */, X86::VPSHLDVDZmb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 16713 /* vpshldvd */, X86::VPSHLDVDZ128rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 16713 /* vpshldvd */, X86::VPSHLDVDZ128mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 16713 /* vpshldvd */, X86::VPSHLDVDZ256rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 16713 /* vpshldvd */, X86::VPSHLDVDZ256mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 16713 /* vpshldvd */, X86::VPSHLDVDZrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 16713 /* vpshldvd */, X86::VPSHLDVDZmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 16713 /* vpshldvd */, X86::VPSHLDVDZ128rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 16713 /* vpshldvd */, X86::VPSHLDVDZ128mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 16713 /* vpshldvd */, X86::VPSHLDVDZ128mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 16713 /* vpshldvd */, X86::VPSHLDVDZ256rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 16713 /* vpshldvd */, X86::VPSHLDVDZ256mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 16713 /* vpshldvd */, X86::VPSHLDVDZ256mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 16713 /* vpshldvd */, X86::VPSHLDVDZrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 16713 /* vpshldvd */, X86::VPSHLDVDZmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 16713 /* vpshldvd */, X86::VPSHLDVDZmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 16713 /* vpshldvd */, X86::VPSHLDVDZ128mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 16713 /* vpshldvd */, X86::VPSHLDVDZ256mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 16713 /* vpshldvd */, X86::VPSHLDVDZmbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 16722 /* vpshldvq */, X86::VPSHLDVQZ128r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 16722 /* vpshldvq */, X86::VPSHLDVQZ128m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 16722 /* vpshldvq */, X86::VPSHLDVQZ256r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 16722 /* vpshldvq */, X86::VPSHLDVQZ256m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 16722 /* vpshldvq */, X86::VPSHLDVQZr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 16722 /* vpshldvq */, X86::VPSHLDVQZm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 16722 /* vpshldvq */, X86::VPSHLDVQZ128mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 16722 /* vpshldvq */, X86::VPSHLDVQZ256mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 16722 /* vpshldvq */, X86::VPSHLDVQZmb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 16722 /* vpshldvq */, X86::VPSHLDVQZ128rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 16722 /* vpshldvq */, X86::VPSHLDVQZ128mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 16722 /* vpshldvq */, X86::VPSHLDVQZ256rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 16722 /* vpshldvq */, X86::VPSHLDVQZ256mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 16722 /* vpshldvq */, X86::VPSHLDVQZrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 16722 /* vpshldvq */, X86::VPSHLDVQZmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 16722 /* vpshldvq */, X86::VPSHLDVQZ128rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 16722 /* vpshldvq */, X86::VPSHLDVQZ128mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 16722 /* vpshldvq */, X86::VPSHLDVQZ128mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 16722 /* vpshldvq */, X86::VPSHLDVQZ256rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 16722 /* vpshldvq */, X86::VPSHLDVQZ256mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 16722 /* vpshldvq */, X86::VPSHLDVQZ256mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 16722 /* vpshldvq */, X86::VPSHLDVQZrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 16722 /* vpshldvq */, X86::VPSHLDVQZmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 16722 /* vpshldvq */, X86::VPSHLDVQZmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 16722 /* vpshldvq */, X86::VPSHLDVQZ128mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 16722 /* vpshldvq */, X86::VPSHLDVQZ256mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 16722 /* vpshldvq */, X86::VPSHLDVQZmbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 16731 /* vpshldvw */, X86::VPSHLDVWZ128r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 16731 /* vpshldvw */, X86::VPSHLDVWZ128m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 16731 /* vpshldvw */, X86::VPSHLDVWZ256r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 16731 /* vpshldvw */, X86::VPSHLDVWZ256m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 16731 /* vpshldvw */, X86::VPSHLDVWZr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 16731 /* vpshldvw */, X86::VPSHLDVWZm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 16731 /* vpshldvw */, X86::VPSHLDVWZ128rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 16731 /* vpshldvw */, X86::VPSHLDVWZ128mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 16731 /* vpshldvw */, X86::VPSHLDVWZ256rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 16731 /* vpshldvw */, X86::VPSHLDVWZ256mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 16731 /* vpshldvw */, X86::VPSHLDVWZrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 16731 /* vpshldvw */, X86::VPSHLDVWZmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 16731 /* vpshldvw */, X86::VPSHLDVWZ128rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 16731 /* vpshldvw */, X86::VPSHLDVWZ128mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 16731 /* vpshldvw */, X86::VPSHLDVWZ256rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 16731 /* vpshldvw */, X86::VPSHLDVWZ256mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 16731 /* vpshldvw */, X86::VPSHLDVWZrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 16731 /* vpshldvw */, X86::VPSHLDVWZmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 16740 /* vpshldw */, X86::VPSHLDWZ128rri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 16740 /* vpshldw */, X86::VPSHLDWZ128rmi, Convert__Reg1_0__Reg1_1__Mem1285_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 16740 /* vpshldw */, X86::VPSHLDWZ256rri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 16740 /* vpshldw */, X86::VPSHLDWZ256rmi, Convert__Reg1_0__Reg1_1__Mem2565_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 16740 /* vpshldw */, X86::VPSHLDWZrri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 16740 /* vpshldw */, X86::VPSHLDWZrmi, Convert__Reg1_0__Reg1_1__Mem5125_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 16740 /* vpshldw */, X86::VPSHLDWZ128rrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 16740 /* vpshldw */, X86::VPSHLDWZ128rmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 16740 /* vpshldw */, X86::VPSHLDWZ256rrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 16740 /* vpshldw */, X86::VPSHLDWZ256rmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 16740 /* vpshldw */, X86::VPSHLDWZrrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 16740 /* vpshldw */, X86::VPSHLDWZrmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 16740 /* vpshldw */, X86::VPSHLDWZ128rrikz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 16740 /* vpshldw */, X86::VPSHLDWZ128rmikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 16740 /* vpshldw */, X86::VPSHLDWZ256rrikz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 16740 /* vpshldw */, X86::VPSHLDWZ256rmikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 16740 /* vpshldw */, X86::VPSHLDWZrrikz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 16740 /* vpshldw */, X86::VPSHLDWZrmikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 16748 /* vpshlq */, X86::VPSHLQrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 16748 /* vpshlq */, X86::VPSHLQrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 16748 /* vpshlq */, X86::VPSHLQmr, Convert__Reg1_0__Mem1285_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_FR16 }, },
{ 16755 /* vpshlw */, X86::VPSHLWrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 16755 /* vpshlw */, X86::VPSHLWrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 16755 /* vpshlw */, X86::VPSHLWmr, Convert__Reg1_0__Mem1285_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_FR16 }, },
{ 16762 /* vpshrdd */, X86::VPSHRDDZ128rri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 16762 /* vpshrdd */, X86::VPSHRDDZ128rmi, Convert__Reg1_0__Reg1_1__Mem1285_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 16762 /* vpshrdd */, X86::VPSHRDDZ256rri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 16762 /* vpshrdd */, X86::VPSHRDDZ256rmi, Convert__Reg1_0__Reg1_1__Mem2565_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 16762 /* vpshrdd */, X86::VPSHRDDZrri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 16762 /* vpshrdd */, X86::VPSHRDDZrmi, Convert__Reg1_0__Reg1_1__Mem5125_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 16762 /* vpshrdd */, X86::VPSHRDDZ128rmbi, Convert__Reg1_0__Reg1_1__Mem325_2__ImmUnsignedi81_4, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 16762 /* vpshrdd */, X86::VPSHRDDZ256rmbi, Convert__Reg1_0__Reg1_1__Mem325_2__ImmUnsignedi81_4, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 16762 /* vpshrdd */, X86::VPSHRDDZrmbi, Convert__Reg1_0__Reg1_1__Mem325_2__ImmUnsignedi81_4, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_, MCK_ImmUnsignedi8 }, },
{ 16762 /* vpshrdd */, X86::VPSHRDDZ128rrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 16762 /* vpshrdd */, X86::VPSHRDDZ128rmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 16762 /* vpshrdd */, X86::VPSHRDDZ256rrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 16762 /* vpshrdd */, X86::VPSHRDDZ256rmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 16762 /* vpshrdd */, X86::VPSHRDDZrrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 16762 /* vpshrdd */, X86::VPSHRDDZrmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 16762 /* vpshrdd */, X86::VPSHRDDZ128rrikz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 16762 /* vpshrdd */, X86::VPSHRDDZ128rmikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 16762 /* vpshrdd */, X86::VPSHRDDZ128rmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 16762 /* vpshrdd */, X86::VPSHRDDZ256rrikz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 16762 /* vpshrdd */, X86::VPSHRDDZ256rmikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 16762 /* vpshrdd */, X86::VPSHRDDZ256rmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 16762 /* vpshrdd */, X86::VPSHRDDZrrikz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 16762 /* vpshrdd */, X86::VPSHRDDZrmikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 16762 /* vpshrdd */, X86::VPSHRDDZrmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_, MCK_ImmUnsignedi8 }, },
{ 16762 /* vpshrdd */, X86::VPSHRDDZ128rmbikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6__ImmUnsignedi81_8, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 16762 /* vpshrdd */, X86::VPSHRDDZ256rmbikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6__ImmUnsignedi81_8, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 16762 /* vpshrdd */, X86::VPSHRDDZrmbikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6__ImmUnsignedi81_8, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_, MCK_ImmUnsignedi8 }, },
{ 16770 /* vpshrdq */, X86::VPSHRDQZ128rri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 16770 /* vpshrdq */, X86::VPSHRDQZ128rmi, Convert__Reg1_0__Reg1_1__Mem1285_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 16770 /* vpshrdq */, X86::VPSHRDQZ256rri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 16770 /* vpshrdq */, X86::VPSHRDQZ256rmi, Convert__Reg1_0__Reg1_1__Mem2565_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 16770 /* vpshrdq */, X86::VPSHRDQZrri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 16770 /* vpshrdq */, X86::VPSHRDQZrmi, Convert__Reg1_0__Reg1_1__Mem5125_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 16770 /* vpshrdq */, X86::VPSHRDQZ128rmbi, Convert__Reg1_0__Reg1_1__Mem645_2__ImmUnsignedi81_4, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_, MCK_ImmUnsignedi8 }, },
{ 16770 /* vpshrdq */, X86::VPSHRDQZ256rmbi, Convert__Reg1_0__Reg1_1__Mem645_2__ImmUnsignedi81_4, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 16770 /* vpshrdq */, X86::VPSHRDQZrmbi, Convert__Reg1_0__Reg1_1__Mem645_2__ImmUnsignedi81_4, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 16770 /* vpshrdq */, X86::VPSHRDQZ128rrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 16770 /* vpshrdq */, X86::VPSHRDQZ128rmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 16770 /* vpshrdq */, X86::VPSHRDQZ256rrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 16770 /* vpshrdq */, X86::VPSHRDQZ256rmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 16770 /* vpshrdq */, X86::VPSHRDQZrrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 16770 /* vpshrdq */, X86::VPSHRDQZrmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 16770 /* vpshrdq */, X86::VPSHRDQZ128rrikz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 16770 /* vpshrdq */, X86::VPSHRDQZ128rmikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 16770 /* vpshrdq */, X86::VPSHRDQZ128rmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_, MCK_ImmUnsignedi8 }, },
{ 16770 /* vpshrdq */, X86::VPSHRDQZ256rrikz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 16770 /* vpshrdq */, X86::VPSHRDQZ256rmikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 16770 /* vpshrdq */, X86::VPSHRDQZ256rmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 16770 /* vpshrdq */, X86::VPSHRDQZrrikz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 16770 /* vpshrdq */, X86::VPSHRDQZrmikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 16770 /* vpshrdq */, X86::VPSHRDQZrmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 16770 /* vpshrdq */, X86::VPSHRDQZ128rmbikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6__ImmUnsignedi81_8, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_, MCK_ImmUnsignedi8 }, },
{ 16770 /* vpshrdq */, X86::VPSHRDQZ256rmbikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6__ImmUnsignedi81_8, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 16770 /* vpshrdq */, X86::VPSHRDQZrmbikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6__ImmUnsignedi81_8, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 16778 /* vpshrdvd */, X86::VPSHRDVDZ128r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 16778 /* vpshrdvd */, X86::VPSHRDVDZ128m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 16778 /* vpshrdvd */, X86::VPSHRDVDZ256r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 16778 /* vpshrdvd */, X86::VPSHRDVDZ256m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 16778 /* vpshrdvd */, X86::VPSHRDVDZr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 16778 /* vpshrdvd */, X86::VPSHRDVDZm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 16778 /* vpshrdvd */, X86::VPSHRDVDZ128mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 16778 /* vpshrdvd */, X86::VPSHRDVDZ256mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 16778 /* vpshrdvd */, X86::VPSHRDVDZmb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 16778 /* vpshrdvd */, X86::VPSHRDVDZ128rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 16778 /* vpshrdvd */, X86::VPSHRDVDZ128mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 16778 /* vpshrdvd */, X86::VPSHRDVDZ256rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 16778 /* vpshrdvd */, X86::VPSHRDVDZ256mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 16778 /* vpshrdvd */, X86::VPSHRDVDZrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 16778 /* vpshrdvd */, X86::VPSHRDVDZmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 16778 /* vpshrdvd */, X86::VPSHRDVDZ128rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 16778 /* vpshrdvd */, X86::VPSHRDVDZ128mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 16778 /* vpshrdvd */, X86::VPSHRDVDZ128mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 16778 /* vpshrdvd */, X86::VPSHRDVDZ256rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 16778 /* vpshrdvd */, X86::VPSHRDVDZ256mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 16778 /* vpshrdvd */, X86::VPSHRDVDZ256mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 16778 /* vpshrdvd */, X86::VPSHRDVDZrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 16778 /* vpshrdvd */, X86::VPSHRDVDZmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 16778 /* vpshrdvd */, X86::VPSHRDVDZmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 16778 /* vpshrdvd */, X86::VPSHRDVDZ128mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 16778 /* vpshrdvd */, X86::VPSHRDVDZ256mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 16778 /* vpshrdvd */, X86::VPSHRDVDZmbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 16787 /* vpshrdvq */, X86::VPSHRDVQZ128r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 16787 /* vpshrdvq */, X86::VPSHRDVQZ128m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 16787 /* vpshrdvq */, X86::VPSHRDVQZ256r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 16787 /* vpshrdvq */, X86::VPSHRDVQZ256m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 16787 /* vpshrdvq */, X86::VPSHRDVQZr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 16787 /* vpshrdvq */, X86::VPSHRDVQZm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 16787 /* vpshrdvq */, X86::VPSHRDVQZ128mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 16787 /* vpshrdvq */, X86::VPSHRDVQZ256mb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 16787 /* vpshrdvq */, X86::VPSHRDVQZmb, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 16787 /* vpshrdvq */, X86::VPSHRDVQZ128rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 16787 /* vpshrdvq */, X86::VPSHRDVQZ128mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 16787 /* vpshrdvq */, X86::VPSHRDVQZ256rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 16787 /* vpshrdvq */, X86::VPSHRDVQZ256mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 16787 /* vpshrdvq */, X86::VPSHRDVQZrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 16787 /* vpshrdvq */, X86::VPSHRDVQZmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 16787 /* vpshrdvq */, X86::VPSHRDVQZ128rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 16787 /* vpshrdvq */, X86::VPSHRDVQZ128mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 16787 /* vpshrdvq */, X86::VPSHRDVQZ128mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 16787 /* vpshrdvq */, X86::VPSHRDVQZ256rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 16787 /* vpshrdvq */, X86::VPSHRDVQZ256mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 16787 /* vpshrdvq */, X86::VPSHRDVQZ256mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 16787 /* vpshrdvq */, X86::VPSHRDVQZrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 16787 /* vpshrdvq */, X86::VPSHRDVQZmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 16787 /* vpshrdvq */, X86::VPSHRDVQZmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 16787 /* vpshrdvq */, X86::VPSHRDVQZ128mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 16787 /* vpshrdvq */, X86::VPSHRDVQZ256mbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 16787 /* vpshrdvq */, X86::VPSHRDVQZmbkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 16796 /* vpshrdvw */, X86::VPSHRDVWZ128r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 16796 /* vpshrdvw */, X86::VPSHRDVWZ128m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 16796 /* vpshrdvw */, X86::VPSHRDVWZ256r, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 16796 /* vpshrdvw */, X86::VPSHRDVWZ256m, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 16796 /* vpshrdvw */, X86::VPSHRDVWZr, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 16796 /* vpshrdvw */, X86::VPSHRDVWZm, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 16796 /* vpshrdvw */, X86::VPSHRDVWZ128rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 16796 /* vpshrdvw */, X86::VPSHRDVWZ128mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 16796 /* vpshrdvw */, X86::VPSHRDVWZ256rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 16796 /* vpshrdvw */, X86::VPSHRDVWZ256mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 16796 /* vpshrdvw */, X86::VPSHRDVWZrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 16796 /* vpshrdvw */, X86::VPSHRDVWZmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 16796 /* vpshrdvw */, X86::VPSHRDVWZ128rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 16796 /* vpshrdvw */, X86::VPSHRDVWZ128mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 16796 /* vpshrdvw */, X86::VPSHRDVWZ256rkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 16796 /* vpshrdvw */, X86::VPSHRDVWZ256mkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 16796 /* vpshrdvw */, X86::VPSHRDVWZrkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 16796 /* vpshrdvw */, X86::VPSHRDVWZmkz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 16805 /* vpshrdw */, X86::VPSHRDWZ128rri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 16805 /* vpshrdw */, X86::VPSHRDWZ128rmi, Convert__Reg1_0__Reg1_1__Mem1285_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 16805 /* vpshrdw */, X86::VPSHRDWZ256rri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 16805 /* vpshrdw */, X86::VPSHRDWZ256rmi, Convert__Reg1_0__Reg1_1__Mem2565_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 16805 /* vpshrdw */, X86::VPSHRDWZrri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 16805 /* vpshrdw */, X86::VPSHRDWZrmi, Convert__Reg1_0__Reg1_1__Mem5125_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 16805 /* vpshrdw */, X86::VPSHRDWZ128rrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 16805 /* vpshrdw */, X86::VPSHRDWZ128rmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 16805 /* vpshrdw */, X86::VPSHRDWZ256rrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 16805 /* vpshrdw */, X86::VPSHRDWZ256rmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 16805 /* vpshrdw */, X86::VPSHRDWZrrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 16805 /* vpshrdw */, X86::VPSHRDWZrmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 16805 /* vpshrdw */, X86::VPSHRDWZ128rrikz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 16805 /* vpshrdw */, X86::VPSHRDWZ128rmikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 16805 /* vpshrdw */, X86::VPSHRDWZ256rrikz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 16805 /* vpshrdw */, X86::VPSHRDWZ256rmikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 16805 /* vpshrdw */, X86::VPSHRDWZrrikz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 16805 /* vpshrdw */, X86::VPSHRDWZrmikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 16813 /* vpshufb */, X86::VPSHUFBrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 16813 /* vpshufb */, X86::VPSHUFBrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 16813 /* vpshufb */, X86::VPSHUFBYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 16813 /* vpshufb */, X86::VPSHUFBYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 16813 /* vpshufb */, X86::VPSHUFBZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 16813 /* vpshufb */, X86::VPSHUFBZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 16813 /* vpshufb */, X86::VPSHUFBZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 16813 /* vpshufb */, X86::VPSHUFBZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 16813 /* vpshufb */, X86::VPSHUFBZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 16813 /* vpshufb */, X86::VPSHUFBZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 16813 /* vpshufb */, X86::VPSHUFBZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 16813 /* vpshufb */, X86::VPSHUFBZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 16813 /* vpshufb */, X86::VPSHUFBZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 16813 /* vpshufb */, X86::VPSHUFBZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 16813 /* vpshufb */, X86::VPSHUFBZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 16813 /* vpshufb */, X86::VPSHUFBZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 16813 /* vpshufb */, X86::VPSHUFBZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 16813 /* vpshufb */, X86::VPSHUFBZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 16813 /* vpshufb */, X86::VPSHUFBZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 16813 /* vpshufb */, X86::VPSHUFBZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 16813 /* vpshufb */, X86::VPSHUFBZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 16813 /* vpshufb */, X86::VPSHUFBZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 16821 /* vpshufbitqmb */, X86::VPSHUFBITQMBZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VK1, MCK_FR16X, MCK_FR16X }, },
{ 16821 /* vpshufbitqmb */, X86::VPSHUFBITQMBZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_VK1, MCK_FR16X, MCK_Mem128 }, },
{ 16821 /* vpshufbitqmb */, X86::VPSHUFBITQMBZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VK1, MCK_VR256X, MCK_VR256X }, },
{ 16821 /* vpshufbitqmb */, X86::VPSHUFBITQMBZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VK1, MCK_VR256X, MCK_Mem256 }, },
{ 16821 /* vpshufbitqmb */, X86::VPSHUFBITQMBZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VK1, MCK_VR512, MCK_VR512 }, },
{ 16821 /* vpshufbitqmb */, X86::VPSHUFBITQMBZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VK1, MCK_VR512, MCK_Mem512 }, },
{ 16821 /* vpshufbitqmb */, X86::VPSHUFBITQMBZ128rrk, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 16821 /* vpshufbitqmb */, X86::VPSHUFBITQMBZ128rmk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 16821 /* vpshufbitqmb */, X86::VPSHUFBITQMBZ256rrk, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 16821 /* vpshufbitqmb */, X86::VPSHUFBITQMBZ256rmk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 16821 /* vpshufbitqmb */, X86::VPSHUFBITQMBZrrk, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 16821 /* vpshufbitqmb */, X86::VPSHUFBITQMBZrmk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 16834 /* vpshufd */, X86::VPSHUFDri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 16834 /* vpshufd */, X86::VPSHUFDmi, Convert__Reg1_0__Mem1285_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 16834 /* vpshufd */, X86::VPSHUFDYri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_ImmUnsignedi8 }, },
{ 16834 /* vpshufd */, X86::VPSHUFDYmi, Convert__Reg1_0__Mem2565_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR256, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 16834 /* vpshufd */, X86::VPSHUFDZ128ri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 16834 /* vpshufd */, X86::VPSHUFDZ128mi, Convert__Reg1_0__Mem1285_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 16834 /* vpshufd */, X86::VPSHUFDZ256ri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 16834 /* vpshufd */, X86::VPSHUFDZ256mi, Convert__Reg1_0__Mem2565_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 16834 /* vpshufd */, X86::VPSHUFDZri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 16834 /* vpshufd */, X86::VPSHUFDZmi, Convert__Reg1_0__Mem5125_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 16834 /* vpshufd */, X86::VPSHUFDZ128mbi, Convert__Reg1_0__Mem325_1__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 16834 /* vpshufd */, X86::VPSHUFDZ256mbi, Convert__Reg1_0__Mem325_1__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 16834 /* vpshufd */, X86::VPSHUFDZmbi, Convert__Reg1_0__Mem325_1__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_Mem32, MCK__123_1to16_125_, MCK_ImmUnsignedi8 }, },
{ 16834 /* vpshufd */, X86::VPSHUFDZ128rik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 16834 /* vpshufd */, X86::VPSHUFDZ128mik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4__ImmUnsignedi81_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 16834 /* vpshufd */, X86::VPSHUFDZ256rik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 16834 /* vpshufd */, X86::VPSHUFDZ256mik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 16834 /* vpshufd */, X86::VPSHUFDZrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 16834 /* vpshufd */, X86::VPSHUFDZmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 16834 /* vpshufd */, X86::VPSHUFDZ128rikz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 16834 /* vpshufd */, X86::VPSHUFDZ128mikz, Convert__Reg1_0__Reg1_2__Mem1285_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 16834 /* vpshufd */, X86::VPSHUFDZ128mbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 16834 /* vpshufd */, X86::VPSHUFDZ256rikz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 16834 /* vpshufd */, X86::VPSHUFDZ256mikz, Convert__Reg1_0__Reg1_2__Mem2565_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 16834 /* vpshufd */, X86::VPSHUFDZ256mbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 16834 /* vpshufd */, X86::VPSHUFDZrikz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 16834 /* vpshufd */, X86::VPSHUFDZmikz, Convert__Reg1_0__Reg1_2__Mem5125_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 16834 /* vpshufd */, X86::VPSHUFDZmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to16_125_, MCK_ImmUnsignedi8 }, },
{ 16834 /* vpshufd */, X86::VPSHUFDZ128mbikz, Convert__Reg1_0__Reg1_2__Mem325_5__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 16834 /* vpshufd */, X86::VPSHUFDZ256mbikz, Convert__Reg1_0__Reg1_2__Mem325_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 16834 /* vpshufd */, X86::VPSHUFDZmbikz, Convert__Reg1_0__Reg1_2__Mem325_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to16_125_, MCK_ImmUnsignedi8 }, },
{ 16842 /* vpshufhw */, X86::VPSHUFHWri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 16842 /* vpshufhw */, X86::VPSHUFHWmi, Convert__Reg1_0__Mem1285_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 16842 /* vpshufhw */, X86::VPSHUFHWYri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_ImmUnsignedi8 }, },
{ 16842 /* vpshufhw */, X86::VPSHUFHWYmi, Convert__Reg1_0__Mem2565_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR256, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 16842 /* vpshufhw */, X86::VPSHUFHWZ128ri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 16842 /* vpshufhw */, X86::VPSHUFHWZ128mi, Convert__Reg1_0__Mem1285_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 16842 /* vpshufhw */, X86::VPSHUFHWZ256ri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 16842 /* vpshufhw */, X86::VPSHUFHWZ256mi, Convert__Reg1_0__Mem2565_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 16842 /* vpshufhw */, X86::VPSHUFHWZri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 16842 /* vpshufhw */, X86::VPSHUFHWZmi, Convert__Reg1_0__Mem5125_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 16842 /* vpshufhw */, X86::VPSHUFHWZ128rik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 16842 /* vpshufhw */, X86::VPSHUFHWZ128mik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4__ImmUnsignedi81_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 16842 /* vpshufhw */, X86::VPSHUFHWZ256rik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 16842 /* vpshufhw */, X86::VPSHUFHWZ256mik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 16842 /* vpshufhw */, X86::VPSHUFHWZrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 16842 /* vpshufhw */, X86::VPSHUFHWZmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 16842 /* vpshufhw */, X86::VPSHUFHWZ128rikz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 16842 /* vpshufhw */, X86::VPSHUFHWZ128mikz, Convert__Reg1_0__Reg1_2__Mem1285_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 16842 /* vpshufhw */, X86::VPSHUFHWZ256rikz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 16842 /* vpshufhw */, X86::VPSHUFHWZ256mikz, Convert__Reg1_0__Reg1_2__Mem2565_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 16842 /* vpshufhw */, X86::VPSHUFHWZrikz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 16842 /* vpshufhw */, X86::VPSHUFHWZmikz, Convert__Reg1_0__Reg1_2__Mem5125_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 16851 /* vpshuflw */, X86::VPSHUFLWri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 16851 /* vpshuflw */, X86::VPSHUFLWmi, Convert__Reg1_0__Mem1285_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 16851 /* vpshuflw */, X86::VPSHUFLWYri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_ImmUnsignedi8 }, },
{ 16851 /* vpshuflw */, X86::VPSHUFLWYmi, Convert__Reg1_0__Mem2565_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR256, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 16851 /* vpshuflw */, X86::VPSHUFLWZ128ri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 16851 /* vpshuflw */, X86::VPSHUFLWZ128mi, Convert__Reg1_0__Mem1285_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 16851 /* vpshuflw */, X86::VPSHUFLWZ256ri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 16851 /* vpshuflw */, X86::VPSHUFLWZ256mi, Convert__Reg1_0__Mem2565_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 16851 /* vpshuflw */, X86::VPSHUFLWZri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 16851 /* vpshuflw */, X86::VPSHUFLWZmi, Convert__Reg1_0__Mem5125_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 16851 /* vpshuflw */, X86::VPSHUFLWZ128rik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 16851 /* vpshuflw */, X86::VPSHUFLWZ128mik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4__ImmUnsignedi81_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 16851 /* vpshuflw */, X86::VPSHUFLWZ256rik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 16851 /* vpshuflw */, X86::VPSHUFLWZ256mik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 16851 /* vpshuflw */, X86::VPSHUFLWZrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 16851 /* vpshuflw */, X86::VPSHUFLWZmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 16851 /* vpshuflw */, X86::VPSHUFLWZ128rikz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 16851 /* vpshuflw */, X86::VPSHUFLWZ128mikz, Convert__Reg1_0__Reg1_2__Mem1285_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 16851 /* vpshuflw */, X86::VPSHUFLWZ256rikz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 16851 /* vpshuflw */, X86::VPSHUFLWZ256mikz, Convert__Reg1_0__Reg1_2__Mem2565_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 16851 /* vpshuflw */, X86::VPSHUFLWZrikz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 16851 /* vpshuflw */, X86::VPSHUFLWZmikz, Convert__Reg1_0__Reg1_2__Mem5125_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 16860 /* vpsignb */, X86::VPSIGNBrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 16860 /* vpsignb */, X86::VPSIGNBrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 16860 /* vpsignb */, X86::VPSIGNBYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 16860 /* vpsignb */, X86::VPSIGNBYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 16868 /* vpsignd */, X86::VPSIGNDrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 16868 /* vpsignd */, X86::VPSIGNDrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 16868 /* vpsignd */, X86::VPSIGNDYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 16868 /* vpsignd */, X86::VPSIGNDYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 16876 /* vpsignw */, X86::VPSIGNWrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 16876 /* vpsignw */, X86::VPSIGNWrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 16876 /* vpsignw */, X86::VPSIGNWYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 16876 /* vpsignw */, X86::VPSIGNWYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 16884 /* vpslld */, X86::VPSLLDrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 16884 /* vpslld */, X86::VPSLLDri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 16884 /* vpslld */, X86::VPSLLDrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 16884 /* vpslld */, X86::VPSLLDYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_FR16 }, },
{ 16884 /* vpslld */, X86::VPSLLDYri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_ImmUnsignedi8 }, },
{ 16884 /* vpslld */, X86::VPSLLDYrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem128 }, },
{ 16884 /* vpslld */, X86::VPSLLDZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 16884 /* vpslld */, X86::VPSLLDZ128ri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 16884 /* vpslld */, X86::VPSLLDZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 16884 /* vpslld */, X86::VPSLLDZ128mi, Convert__Reg1_0__Mem1285_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 16884 /* vpslld */, X86::VPSLLDZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_FR16X }, },
{ 16884 /* vpslld */, X86::VPSLLDZ256ri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 16884 /* vpslld */, X86::VPSLLDZ256rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem128 }, },
{ 16884 /* vpslld */, X86::VPSLLDZ256mi, Convert__Reg1_0__Mem2565_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 16884 /* vpslld */, X86::VPSLLDZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_FR16X }, },
{ 16884 /* vpslld */, X86::VPSLLDZri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 16884 /* vpslld */, X86::VPSLLDZrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem128 }, },
{ 16884 /* vpslld */, X86::VPSLLDZmi, Convert__Reg1_0__Mem5125_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 16884 /* vpslld */, X86::VPSLLDZ128mbi, Convert__Reg1_0__Mem325_1__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 16884 /* vpslld */, X86::VPSLLDZ256mbi, Convert__Reg1_0__Mem325_1__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 16884 /* vpslld */, X86::VPSLLDZmbi, Convert__Reg1_0__Mem325_1__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_Mem32, MCK__123_1to16_125_, MCK_ImmUnsignedi8 }, },
{ 16884 /* vpslld */, X86::VPSLLDZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 16884 /* vpslld */, X86::VPSLLDZ128rik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 16884 /* vpslld */, X86::VPSLLDZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 16884 /* vpslld */, X86::VPSLLDZ128mik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4__ImmUnsignedi81_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 16884 /* vpslld */, X86::VPSLLDZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_FR16X }, },
{ 16884 /* vpslld */, X86::VPSLLDZ256rik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 16884 /* vpslld */, X86::VPSLLDZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem128 }, },
{ 16884 /* vpslld */, X86::VPSLLDZ256mik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 16884 /* vpslld */, X86::VPSLLDZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_FR16X }, },
{ 16884 /* vpslld */, X86::VPSLLDZrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 16884 /* vpslld */, X86::VPSLLDZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem128 }, },
{ 16884 /* vpslld */, X86::VPSLLDZmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 16884 /* vpslld */, X86::VPSLLDZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 16884 /* vpslld */, X86::VPSLLDZ128rikz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 16884 /* vpslld */, X86::VPSLLDZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 16884 /* vpslld */, X86::VPSLLDZ128mikz, Convert__Reg1_0__Reg1_2__Mem1285_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 16884 /* vpslld */, X86::VPSLLDZ128mbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 16884 /* vpslld */, X86::VPSLLDZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_FR16X }, },
{ 16884 /* vpslld */, X86::VPSLLDZ256rikz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 16884 /* vpslld */, X86::VPSLLDZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem128 }, },
{ 16884 /* vpslld */, X86::VPSLLDZ256mikz, Convert__Reg1_0__Reg1_2__Mem2565_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 16884 /* vpslld */, X86::VPSLLDZ256mbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 16884 /* vpslld */, X86::VPSLLDZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_FR16X }, },
{ 16884 /* vpslld */, X86::VPSLLDZrikz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 16884 /* vpslld */, X86::VPSLLDZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem128 }, },
{ 16884 /* vpslld */, X86::VPSLLDZmikz, Convert__Reg1_0__Reg1_2__Mem5125_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 16884 /* vpslld */, X86::VPSLLDZmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to16_125_, MCK_ImmUnsignedi8 }, },
{ 16884 /* vpslld */, X86::VPSLLDZ128mbikz, Convert__Reg1_0__Reg1_2__Mem325_5__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 16884 /* vpslld */, X86::VPSLLDZ256mbikz, Convert__Reg1_0__Reg1_2__Mem325_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 16884 /* vpslld */, X86::VPSLLDZmbikz, Convert__Reg1_0__Reg1_2__Mem325_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to16_125_, MCK_ImmUnsignedi8 }, },
{ 16891 /* vpslldq */, X86::VPSLLDQri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 16891 /* vpslldq */, X86::VPSLLDQYri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_ImmUnsignedi8 }, },
{ 16891 /* vpslldq */, X86::VPSLLDQZ128ri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 16891 /* vpslldq */, X86::VPSLLDQZ128mi, Convert__Reg1_0__Mem1285_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 16891 /* vpslldq */, X86::VPSLLDQZ256ri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 16891 /* vpslldq */, X86::VPSLLDQZ256mi, Convert__Reg1_0__Mem2565_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 16891 /* vpslldq */, X86::VPSLLDQZri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 16891 /* vpslldq */, X86::VPSLLDQZmi, Convert__Reg1_0__Mem5125_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 16899 /* vpsllq */, X86::VPSLLQrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 16899 /* vpsllq */, X86::VPSLLQri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 16899 /* vpsllq */, X86::VPSLLQrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 16899 /* vpsllq */, X86::VPSLLQYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_FR16 }, },
{ 16899 /* vpsllq */, X86::VPSLLQYri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_ImmUnsignedi8 }, },
{ 16899 /* vpsllq */, X86::VPSLLQYrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem128 }, },
{ 16899 /* vpsllq */, X86::VPSLLQZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 16899 /* vpsllq */, X86::VPSLLQZ128ri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 16899 /* vpsllq */, X86::VPSLLQZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 16899 /* vpsllq */, X86::VPSLLQZ128mi, Convert__Reg1_0__Mem1285_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 16899 /* vpsllq */, X86::VPSLLQZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_FR16X }, },
{ 16899 /* vpsllq */, X86::VPSLLQZ256ri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 16899 /* vpsllq */, X86::VPSLLQZ256rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem128 }, },
{ 16899 /* vpsllq */, X86::VPSLLQZ256mi, Convert__Reg1_0__Mem2565_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 16899 /* vpsllq */, X86::VPSLLQZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_FR16X }, },
{ 16899 /* vpsllq */, X86::VPSLLQZri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 16899 /* vpsllq */, X86::VPSLLQZrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem128 }, },
{ 16899 /* vpsllq */, X86::VPSLLQZmi, Convert__Reg1_0__Mem5125_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 16899 /* vpsllq */, X86::VPSLLQZ128mbi, Convert__Reg1_0__Mem645_1__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_, MCK_ImmUnsignedi8 }, },
{ 16899 /* vpsllq */, X86::VPSLLQZ256mbi, Convert__Reg1_0__Mem645_1__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 16899 /* vpsllq */, X86::VPSLLQZmbi, Convert__Reg1_0__Mem645_1__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_Mem64, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 16899 /* vpsllq */, X86::VPSLLQZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 16899 /* vpsllq */, X86::VPSLLQZ128rik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 16899 /* vpsllq */, X86::VPSLLQZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 16899 /* vpsllq */, X86::VPSLLQZ128mik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4__ImmUnsignedi81_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 16899 /* vpsllq */, X86::VPSLLQZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_FR16X }, },
{ 16899 /* vpsllq */, X86::VPSLLQZ256rik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 16899 /* vpsllq */, X86::VPSLLQZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem128 }, },
{ 16899 /* vpsllq */, X86::VPSLLQZ256mik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 16899 /* vpsllq */, X86::VPSLLQZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_FR16X }, },
{ 16899 /* vpsllq */, X86::VPSLLQZrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 16899 /* vpsllq */, X86::VPSLLQZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem128 }, },
{ 16899 /* vpsllq */, X86::VPSLLQZmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 16899 /* vpsllq */, X86::VPSLLQZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 16899 /* vpsllq */, X86::VPSLLQZ128rikz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 16899 /* vpsllq */, X86::VPSLLQZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 16899 /* vpsllq */, X86::VPSLLQZ128mikz, Convert__Reg1_0__Reg1_2__Mem1285_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 16899 /* vpsllq */, X86::VPSLLQZ128mbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to2_125_, MCK_ImmUnsignedi8 }, },
{ 16899 /* vpsllq */, X86::VPSLLQZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_FR16X }, },
{ 16899 /* vpsllq */, X86::VPSLLQZ256rikz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 16899 /* vpsllq */, X86::VPSLLQZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem128 }, },
{ 16899 /* vpsllq */, X86::VPSLLQZ256mikz, Convert__Reg1_0__Reg1_2__Mem2565_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 16899 /* vpsllq */, X86::VPSLLQZ256mbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 16899 /* vpsllq */, X86::VPSLLQZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_FR16X }, },
{ 16899 /* vpsllq */, X86::VPSLLQZrikz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 16899 /* vpsllq */, X86::VPSLLQZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem128 }, },
{ 16899 /* vpsllq */, X86::VPSLLQZmikz, Convert__Reg1_0__Reg1_2__Mem5125_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 16899 /* vpsllq */, X86::VPSLLQZmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 16899 /* vpsllq */, X86::VPSLLQZ128mbikz, Convert__Reg1_0__Reg1_2__Mem645_5__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to2_125_, MCK_ImmUnsignedi8 }, },
{ 16899 /* vpsllq */, X86::VPSLLQZ256mbikz, Convert__Reg1_0__Reg1_2__Mem645_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 16899 /* vpsllq */, X86::VPSLLQZmbikz, Convert__Reg1_0__Reg1_2__Mem645_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 16906 /* vpsllvd */, X86::VPSLLVDrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 16906 /* vpsllvd */, X86::VPSLLVDrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 16906 /* vpsllvd */, X86::VPSLLVDYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 16906 /* vpsllvd */, X86::VPSLLVDYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 16906 /* vpsllvd */, X86::VPSLLVDZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 16906 /* vpsllvd */, X86::VPSLLVDZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 16906 /* vpsllvd */, X86::VPSLLVDZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 16906 /* vpsllvd */, X86::VPSLLVDZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 16906 /* vpsllvd */, X86::VPSLLVDZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 16906 /* vpsllvd */, X86::VPSLLVDZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 16906 /* vpsllvd */, X86::VPSLLVDZ128rmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 16906 /* vpsllvd */, X86::VPSLLVDZ256rmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 16906 /* vpsllvd */, X86::VPSLLVDZrmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 16906 /* vpsllvd */, X86::VPSLLVDZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 16906 /* vpsllvd */, X86::VPSLLVDZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 16906 /* vpsllvd */, X86::VPSLLVDZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 16906 /* vpsllvd */, X86::VPSLLVDZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 16906 /* vpsllvd */, X86::VPSLLVDZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 16906 /* vpsllvd */, X86::VPSLLVDZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 16906 /* vpsllvd */, X86::VPSLLVDZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 16906 /* vpsllvd */, X86::VPSLLVDZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 16906 /* vpsllvd */, X86::VPSLLVDZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 16906 /* vpsllvd */, X86::VPSLLVDZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 16906 /* vpsllvd */, X86::VPSLLVDZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 16906 /* vpsllvd */, X86::VPSLLVDZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 16906 /* vpsllvd */, X86::VPSLLVDZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 16906 /* vpsllvd */, X86::VPSLLVDZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 16906 /* vpsllvd */, X86::VPSLLVDZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 16906 /* vpsllvd */, X86::VPSLLVDZ128rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 16906 /* vpsllvd */, X86::VPSLLVDZ256rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 16906 /* vpsllvd */, X86::VPSLLVDZrmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 16914 /* vpsllvq */, X86::VPSLLVQrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 16914 /* vpsllvq */, X86::VPSLLVQrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 16914 /* vpsllvq */, X86::VPSLLVQYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 16914 /* vpsllvq */, X86::VPSLLVQYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 16914 /* vpsllvq */, X86::VPSLLVQZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 16914 /* vpsllvq */, X86::VPSLLVQZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 16914 /* vpsllvq */, X86::VPSLLVQZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 16914 /* vpsllvq */, X86::VPSLLVQZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 16914 /* vpsllvq */, X86::VPSLLVQZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 16914 /* vpsllvq */, X86::VPSLLVQZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 16914 /* vpsllvq */, X86::VPSLLVQZ128rmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 16914 /* vpsllvq */, X86::VPSLLVQZ256rmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 16914 /* vpsllvq */, X86::VPSLLVQZrmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 16914 /* vpsllvq */, X86::VPSLLVQZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 16914 /* vpsllvq */, X86::VPSLLVQZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 16914 /* vpsllvq */, X86::VPSLLVQZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 16914 /* vpsllvq */, X86::VPSLLVQZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 16914 /* vpsllvq */, X86::VPSLLVQZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 16914 /* vpsllvq */, X86::VPSLLVQZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 16914 /* vpsllvq */, X86::VPSLLVQZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 16914 /* vpsllvq */, X86::VPSLLVQZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 16914 /* vpsllvq */, X86::VPSLLVQZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 16914 /* vpsllvq */, X86::VPSLLVQZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 16914 /* vpsllvq */, X86::VPSLLVQZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 16914 /* vpsllvq */, X86::VPSLLVQZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 16914 /* vpsllvq */, X86::VPSLLVQZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 16914 /* vpsllvq */, X86::VPSLLVQZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 16914 /* vpsllvq */, X86::VPSLLVQZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 16914 /* vpsllvq */, X86::VPSLLVQZ128rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 16914 /* vpsllvq */, X86::VPSLLVQZ256rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 16914 /* vpsllvq */, X86::VPSLLVQZrmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 16922 /* vpsllvw */, X86::VPSLLVWZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 16922 /* vpsllvw */, X86::VPSLLVWZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 16922 /* vpsllvw */, X86::VPSLLVWZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 16922 /* vpsllvw */, X86::VPSLLVWZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 16922 /* vpsllvw */, X86::VPSLLVWZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 16922 /* vpsllvw */, X86::VPSLLVWZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 16922 /* vpsllvw */, X86::VPSLLVWZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 16922 /* vpsllvw */, X86::VPSLLVWZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 16922 /* vpsllvw */, X86::VPSLLVWZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 16922 /* vpsllvw */, X86::VPSLLVWZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 16922 /* vpsllvw */, X86::VPSLLVWZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 16922 /* vpsllvw */, X86::VPSLLVWZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 16922 /* vpsllvw */, X86::VPSLLVWZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 16922 /* vpsllvw */, X86::VPSLLVWZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 16922 /* vpsllvw */, X86::VPSLLVWZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 16922 /* vpsllvw */, X86::VPSLLVWZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 16922 /* vpsllvw */, X86::VPSLLVWZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 16922 /* vpsllvw */, X86::VPSLLVWZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 16930 /* vpsllw */, X86::VPSLLWrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 16930 /* vpsllw */, X86::VPSLLWri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 16930 /* vpsllw */, X86::VPSLLWrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 16930 /* vpsllw */, X86::VPSLLWYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_FR16 }, },
{ 16930 /* vpsllw */, X86::VPSLLWYri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_ImmUnsignedi8 }, },
{ 16930 /* vpsllw */, X86::VPSLLWYrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem128 }, },
{ 16930 /* vpsllw */, X86::VPSLLWZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 16930 /* vpsllw */, X86::VPSLLWZ128ri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 16930 /* vpsllw */, X86::VPSLLWZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 16930 /* vpsllw */, X86::VPSLLWZ128mi, Convert__Reg1_0__Mem1285_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 16930 /* vpsllw */, X86::VPSLLWZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_FR16X }, },
{ 16930 /* vpsllw */, X86::VPSLLWZ256ri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 16930 /* vpsllw */, X86::VPSLLWZ256rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem128 }, },
{ 16930 /* vpsllw */, X86::VPSLLWZ256mi, Convert__Reg1_0__Mem2565_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 16930 /* vpsllw */, X86::VPSLLWZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_FR16X }, },
{ 16930 /* vpsllw */, X86::VPSLLWZri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 16930 /* vpsllw */, X86::VPSLLWZrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem128 }, },
{ 16930 /* vpsllw */, X86::VPSLLWZmi, Convert__Reg1_0__Mem5125_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 16930 /* vpsllw */, X86::VPSLLWZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 16930 /* vpsllw */, X86::VPSLLWZ128rik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 16930 /* vpsllw */, X86::VPSLLWZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 16930 /* vpsllw */, X86::VPSLLWZ128mik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4__ImmUnsignedi81_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 16930 /* vpsllw */, X86::VPSLLWZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_FR16X }, },
{ 16930 /* vpsllw */, X86::VPSLLWZ256rik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 16930 /* vpsllw */, X86::VPSLLWZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem128 }, },
{ 16930 /* vpsllw */, X86::VPSLLWZ256mik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 16930 /* vpsllw */, X86::VPSLLWZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_FR16X }, },
{ 16930 /* vpsllw */, X86::VPSLLWZrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 16930 /* vpsllw */, X86::VPSLLWZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem128 }, },
{ 16930 /* vpsllw */, X86::VPSLLWZmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 16930 /* vpsllw */, X86::VPSLLWZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 16930 /* vpsllw */, X86::VPSLLWZ128rikz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 16930 /* vpsllw */, X86::VPSLLWZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 16930 /* vpsllw */, X86::VPSLLWZ128mikz, Convert__Reg1_0__Reg1_2__Mem1285_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 16930 /* vpsllw */, X86::VPSLLWZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_FR16X }, },
{ 16930 /* vpsllw */, X86::VPSLLWZ256rikz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 16930 /* vpsllw */, X86::VPSLLWZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem128 }, },
{ 16930 /* vpsllw */, X86::VPSLLWZ256mikz, Convert__Reg1_0__Reg1_2__Mem2565_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 16930 /* vpsllw */, X86::VPSLLWZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_FR16X }, },
{ 16930 /* vpsllw */, X86::VPSLLWZrikz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 16930 /* vpsllw */, X86::VPSLLWZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem128 }, },
{ 16930 /* vpsllw */, X86::VPSLLWZmikz, Convert__Reg1_0__Reg1_2__Mem5125_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 16937 /* vpsrad */, X86::VPSRADrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 16937 /* vpsrad */, X86::VPSRADri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 16937 /* vpsrad */, X86::VPSRADrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 16937 /* vpsrad */, X86::VPSRADYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_FR16 }, },
{ 16937 /* vpsrad */, X86::VPSRADYri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_ImmUnsignedi8 }, },
{ 16937 /* vpsrad */, X86::VPSRADYrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem128 }, },
{ 16937 /* vpsrad */, X86::VPSRADZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 16937 /* vpsrad */, X86::VPSRADZ128ri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 16937 /* vpsrad */, X86::VPSRADZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 16937 /* vpsrad */, X86::VPSRADZ128mi, Convert__Reg1_0__Mem1285_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 16937 /* vpsrad */, X86::VPSRADZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_FR16X }, },
{ 16937 /* vpsrad */, X86::VPSRADZ256ri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 16937 /* vpsrad */, X86::VPSRADZ256rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem128 }, },
{ 16937 /* vpsrad */, X86::VPSRADZ256mi, Convert__Reg1_0__Mem2565_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 16937 /* vpsrad */, X86::VPSRADZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_FR16X }, },
{ 16937 /* vpsrad */, X86::VPSRADZri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 16937 /* vpsrad */, X86::VPSRADZrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem128 }, },
{ 16937 /* vpsrad */, X86::VPSRADZmi, Convert__Reg1_0__Mem5125_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 16937 /* vpsrad */, X86::VPSRADZ128mbi, Convert__Reg1_0__Mem325_1__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 16937 /* vpsrad */, X86::VPSRADZ256mbi, Convert__Reg1_0__Mem325_1__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 16937 /* vpsrad */, X86::VPSRADZmbi, Convert__Reg1_0__Mem325_1__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_Mem32, MCK__123_1to16_125_, MCK_ImmUnsignedi8 }, },
{ 16937 /* vpsrad */, X86::VPSRADZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 16937 /* vpsrad */, X86::VPSRADZ128rik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 16937 /* vpsrad */, X86::VPSRADZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 16937 /* vpsrad */, X86::VPSRADZ128mik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4__ImmUnsignedi81_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 16937 /* vpsrad */, X86::VPSRADZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_FR16X }, },
{ 16937 /* vpsrad */, X86::VPSRADZ256rik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 16937 /* vpsrad */, X86::VPSRADZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem128 }, },
{ 16937 /* vpsrad */, X86::VPSRADZ256mik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 16937 /* vpsrad */, X86::VPSRADZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_FR16X }, },
{ 16937 /* vpsrad */, X86::VPSRADZrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 16937 /* vpsrad */, X86::VPSRADZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem128 }, },
{ 16937 /* vpsrad */, X86::VPSRADZmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 16937 /* vpsrad */, X86::VPSRADZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 16937 /* vpsrad */, X86::VPSRADZ128rikz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 16937 /* vpsrad */, X86::VPSRADZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 16937 /* vpsrad */, X86::VPSRADZ128mikz, Convert__Reg1_0__Reg1_2__Mem1285_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 16937 /* vpsrad */, X86::VPSRADZ128mbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 16937 /* vpsrad */, X86::VPSRADZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_FR16X }, },
{ 16937 /* vpsrad */, X86::VPSRADZ256rikz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 16937 /* vpsrad */, X86::VPSRADZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem128 }, },
{ 16937 /* vpsrad */, X86::VPSRADZ256mikz, Convert__Reg1_0__Reg1_2__Mem2565_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 16937 /* vpsrad */, X86::VPSRADZ256mbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 16937 /* vpsrad */, X86::VPSRADZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_FR16X }, },
{ 16937 /* vpsrad */, X86::VPSRADZrikz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 16937 /* vpsrad */, X86::VPSRADZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem128 }, },
{ 16937 /* vpsrad */, X86::VPSRADZmikz, Convert__Reg1_0__Reg1_2__Mem5125_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 16937 /* vpsrad */, X86::VPSRADZmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to16_125_, MCK_ImmUnsignedi8 }, },
{ 16937 /* vpsrad */, X86::VPSRADZ128mbikz, Convert__Reg1_0__Reg1_2__Mem325_5__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 16937 /* vpsrad */, X86::VPSRADZ256mbikz, Convert__Reg1_0__Reg1_2__Mem325_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 16937 /* vpsrad */, X86::VPSRADZmbikz, Convert__Reg1_0__Reg1_2__Mem325_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to16_125_, MCK_ImmUnsignedi8 }, },
{ 16944 /* vpsraq */, X86::VPSRAQZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 16944 /* vpsraq */, X86::VPSRAQZ128ri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 16944 /* vpsraq */, X86::VPSRAQZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 16944 /* vpsraq */, X86::VPSRAQZ128mi, Convert__Reg1_0__Mem1285_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 16944 /* vpsraq */, X86::VPSRAQZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_FR16X }, },
{ 16944 /* vpsraq */, X86::VPSRAQZ256ri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 16944 /* vpsraq */, X86::VPSRAQZ256rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem128 }, },
{ 16944 /* vpsraq */, X86::VPSRAQZ256mi, Convert__Reg1_0__Mem2565_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 16944 /* vpsraq */, X86::VPSRAQZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_FR16X }, },
{ 16944 /* vpsraq */, X86::VPSRAQZri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 16944 /* vpsraq */, X86::VPSRAQZrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem128 }, },
{ 16944 /* vpsraq */, X86::VPSRAQZmi, Convert__Reg1_0__Mem5125_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 16944 /* vpsraq */, X86::VPSRAQZ128mbi, Convert__Reg1_0__Mem645_1__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_, MCK_ImmUnsignedi8 }, },
{ 16944 /* vpsraq */, X86::VPSRAQZ256mbi, Convert__Reg1_0__Mem645_1__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 16944 /* vpsraq */, X86::VPSRAQZmbi, Convert__Reg1_0__Mem645_1__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_Mem64, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 16944 /* vpsraq */, X86::VPSRAQZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 16944 /* vpsraq */, X86::VPSRAQZ128rik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 16944 /* vpsraq */, X86::VPSRAQZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 16944 /* vpsraq */, X86::VPSRAQZ128mik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4__ImmUnsignedi81_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 16944 /* vpsraq */, X86::VPSRAQZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_FR16X }, },
{ 16944 /* vpsraq */, X86::VPSRAQZ256rik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 16944 /* vpsraq */, X86::VPSRAQZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem128 }, },
{ 16944 /* vpsraq */, X86::VPSRAQZ256mik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 16944 /* vpsraq */, X86::VPSRAQZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_FR16X }, },
{ 16944 /* vpsraq */, X86::VPSRAQZrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 16944 /* vpsraq */, X86::VPSRAQZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem128 }, },
{ 16944 /* vpsraq */, X86::VPSRAQZmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 16944 /* vpsraq */, X86::VPSRAQZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 16944 /* vpsraq */, X86::VPSRAQZ128rikz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 16944 /* vpsraq */, X86::VPSRAQZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 16944 /* vpsraq */, X86::VPSRAQZ128mikz, Convert__Reg1_0__Reg1_2__Mem1285_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 16944 /* vpsraq */, X86::VPSRAQZ128mbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to2_125_, MCK_ImmUnsignedi8 }, },
{ 16944 /* vpsraq */, X86::VPSRAQZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_FR16X }, },
{ 16944 /* vpsraq */, X86::VPSRAQZ256rikz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 16944 /* vpsraq */, X86::VPSRAQZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem128 }, },
{ 16944 /* vpsraq */, X86::VPSRAQZ256mikz, Convert__Reg1_0__Reg1_2__Mem2565_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 16944 /* vpsraq */, X86::VPSRAQZ256mbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 16944 /* vpsraq */, X86::VPSRAQZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_FR16X }, },
{ 16944 /* vpsraq */, X86::VPSRAQZrikz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 16944 /* vpsraq */, X86::VPSRAQZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem128 }, },
{ 16944 /* vpsraq */, X86::VPSRAQZmikz, Convert__Reg1_0__Reg1_2__Mem5125_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 16944 /* vpsraq */, X86::VPSRAQZmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 16944 /* vpsraq */, X86::VPSRAQZ128mbikz, Convert__Reg1_0__Reg1_2__Mem645_5__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to2_125_, MCK_ImmUnsignedi8 }, },
{ 16944 /* vpsraq */, X86::VPSRAQZ256mbikz, Convert__Reg1_0__Reg1_2__Mem645_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 16944 /* vpsraq */, X86::VPSRAQZmbikz, Convert__Reg1_0__Reg1_2__Mem645_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 16951 /* vpsravd */, X86::VPSRAVDrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 16951 /* vpsravd */, X86::VPSRAVDrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 16951 /* vpsravd */, X86::VPSRAVDYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 16951 /* vpsravd */, X86::VPSRAVDYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 16951 /* vpsravd */, X86::VPSRAVDZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 16951 /* vpsravd */, X86::VPSRAVDZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 16951 /* vpsravd */, X86::VPSRAVDZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 16951 /* vpsravd */, X86::VPSRAVDZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 16951 /* vpsravd */, X86::VPSRAVDZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 16951 /* vpsravd */, X86::VPSRAVDZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 16951 /* vpsravd */, X86::VPSRAVDZ128rmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 16951 /* vpsravd */, X86::VPSRAVDZ256rmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 16951 /* vpsravd */, X86::VPSRAVDZrmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 16951 /* vpsravd */, X86::VPSRAVDZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 16951 /* vpsravd */, X86::VPSRAVDZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 16951 /* vpsravd */, X86::VPSRAVDZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 16951 /* vpsravd */, X86::VPSRAVDZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 16951 /* vpsravd */, X86::VPSRAVDZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 16951 /* vpsravd */, X86::VPSRAVDZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 16951 /* vpsravd */, X86::VPSRAVDZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 16951 /* vpsravd */, X86::VPSRAVDZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 16951 /* vpsravd */, X86::VPSRAVDZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 16951 /* vpsravd */, X86::VPSRAVDZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 16951 /* vpsravd */, X86::VPSRAVDZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 16951 /* vpsravd */, X86::VPSRAVDZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 16951 /* vpsravd */, X86::VPSRAVDZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 16951 /* vpsravd */, X86::VPSRAVDZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 16951 /* vpsravd */, X86::VPSRAVDZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 16951 /* vpsravd */, X86::VPSRAVDZ128rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 16951 /* vpsravd */, X86::VPSRAVDZ256rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 16951 /* vpsravd */, X86::VPSRAVDZrmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 16959 /* vpsravq */, X86::VPSRAVQZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 16959 /* vpsravq */, X86::VPSRAVQZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 16959 /* vpsravq */, X86::VPSRAVQZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 16959 /* vpsravq */, X86::VPSRAVQZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 16959 /* vpsravq */, X86::VPSRAVQZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 16959 /* vpsravq */, X86::VPSRAVQZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 16959 /* vpsravq */, X86::VPSRAVQZ128rmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 16959 /* vpsravq */, X86::VPSRAVQZ256rmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 16959 /* vpsravq */, X86::VPSRAVQZrmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 16959 /* vpsravq */, X86::VPSRAVQZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 16959 /* vpsravq */, X86::VPSRAVQZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 16959 /* vpsravq */, X86::VPSRAVQZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 16959 /* vpsravq */, X86::VPSRAVQZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 16959 /* vpsravq */, X86::VPSRAVQZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 16959 /* vpsravq */, X86::VPSRAVQZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 16959 /* vpsravq */, X86::VPSRAVQZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 16959 /* vpsravq */, X86::VPSRAVQZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 16959 /* vpsravq */, X86::VPSRAVQZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 16959 /* vpsravq */, X86::VPSRAVQZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 16959 /* vpsravq */, X86::VPSRAVQZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 16959 /* vpsravq */, X86::VPSRAVQZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 16959 /* vpsravq */, X86::VPSRAVQZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 16959 /* vpsravq */, X86::VPSRAVQZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 16959 /* vpsravq */, X86::VPSRAVQZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 16959 /* vpsravq */, X86::VPSRAVQZ128rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 16959 /* vpsravq */, X86::VPSRAVQZ256rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 16959 /* vpsravq */, X86::VPSRAVQZrmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 16967 /* vpsravw */, X86::VPSRAVWZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 16967 /* vpsravw */, X86::VPSRAVWZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 16967 /* vpsravw */, X86::VPSRAVWZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 16967 /* vpsravw */, X86::VPSRAVWZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 16967 /* vpsravw */, X86::VPSRAVWZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 16967 /* vpsravw */, X86::VPSRAVWZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 16967 /* vpsravw */, X86::VPSRAVWZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 16967 /* vpsravw */, X86::VPSRAVWZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 16967 /* vpsravw */, X86::VPSRAVWZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 16967 /* vpsravw */, X86::VPSRAVWZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 16967 /* vpsravw */, X86::VPSRAVWZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 16967 /* vpsravw */, X86::VPSRAVWZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 16967 /* vpsravw */, X86::VPSRAVWZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 16967 /* vpsravw */, X86::VPSRAVWZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 16967 /* vpsravw */, X86::VPSRAVWZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 16967 /* vpsravw */, X86::VPSRAVWZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 16967 /* vpsravw */, X86::VPSRAVWZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 16967 /* vpsravw */, X86::VPSRAVWZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 16975 /* vpsraw */, X86::VPSRAWrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 16975 /* vpsraw */, X86::VPSRAWri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 16975 /* vpsraw */, X86::VPSRAWrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 16975 /* vpsraw */, X86::VPSRAWYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_FR16 }, },
{ 16975 /* vpsraw */, X86::VPSRAWYri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_ImmUnsignedi8 }, },
{ 16975 /* vpsraw */, X86::VPSRAWYrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem128 }, },
{ 16975 /* vpsraw */, X86::VPSRAWZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 16975 /* vpsraw */, X86::VPSRAWZ128ri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 16975 /* vpsraw */, X86::VPSRAWZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 16975 /* vpsraw */, X86::VPSRAWZ128mi, Convert__Reg1_0__Mem1285_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 16975 /* vpsraw */, X86::VPSRAWZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_FR16X }, },
{ 16975 /* vpsraw */, X86::VPSRAWZ256ri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 16975 /* vpsraw */, X86::VPSRAWZ256rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem128 }, },
{ 16975 /* vpsraw */, X86::VPSRAWZ256mi, Convert__Reg1_0__Mem2565_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 16975 /* vpsraw */, X86::VPSRAWZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_FR16X }, },
{ 16975 /* vpsraw */, X86::VPSRAWZri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 16975 /* vpsraw */, X86::VPSRAWZrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem128 }, },
{ 16975 /* vpsraw */, X86::VPSRAWZmi, Convert__Reg1_0__Mem5125_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 16975 /* vpsraw */, X86::VPSRAWZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 16975 /* vpsraw */, X86::VPSRAWZ128rik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 16975 /* vpsraw */, X86::VPSRAWZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 16975 /* vpsraw */, X86::VPSRAWZ128mik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4__ImmUnsignedi81_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 16975 /* vpsraw */, X86::VPSRAWZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_FR16X }, },
{ 16975 /* vpsraw */, X86::VPSRAWZ256rik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 16975 /* vpsraw */, X86::VPSRAWZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem128 }, },
{ 16975 /* vpsraw */, X86::VPSRAWZ256mik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 16975 /* vpsraw */, X86::VPSRAWZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_FR16X }, },
{ 16975 /* vpsraw */, X86::VPSRAWZrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 16975 /* vpsraw */, X86::VPSRAWZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem128 }, },
{ 16975 /* vpsraw */, X86::VPSRAWZmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 16975 /* vpsraw */, X86::VPSRAWZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 16975 /* vpsraw */, X86::VPSRAWZ128rikz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 16975 /* vpsraw */, X86::VPSRAWZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 16975 /* vpsraw */, X86::VPSRAWZ128mikz, Convert__Reg1_0__Reg1_2__Mem1285_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 16975 /* vpsraw */, X86::VPSRAWZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_FR16X }, },
{ 16975 /* vpsraw */, X86::VPSRAWZ256rikz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 16975 /* vpsraw */, X86::VPSRAWZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem128 }, },
{ 16975 /* vpsraw */, X86::VPSRAWZ256mikz, Convert__Reg1_0__Reg1_2__Mem2565_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 16975 /* vpsraw */, X86::VPSRAWZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_FR16X }, },
{ 16975 /* vpsraw */, X86::VPSRAWZrikz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 16975 /* vpsraw */, X86::VPSRAWZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem128 }, },
{ 16975 /* vpsraw */, X86::VPSRAWZmikz, Convert__Reg1_0__Reg1_2__Mem5125_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 16982 /* vpsrld */, X86::VPSRLDrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 16982 /* vpsrld */, X86::VPSRLDri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 16982 /* vpsrld */, X86::VPSRLDrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 16982 /* vpsrld */, X86::VPSRLDYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_FR16 }, },
{ 16982 /* vpsrld */, X86::VPSRLDYri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_ImmUnsignedi8 }, },
{ 16982 /* vpsrld */, X86::VPSRLDYrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem128 }, },
{ 16982 /* vpsrld */, X86::VPSRLDZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 16982 /* vpsrld */, X86::VPSRLDZ128ri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 16982 /* vpsrld */, X86::VPSRLDZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 16982 /* vpsrld */, X86::VPSRLDZ128mi, Convert__Reg1_0__Mem1285_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 16982 /* vpsrld */, X86::VPSRLDZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_FR16X }, },
{ 16982 /* vpsrld */, X86::VPSRLDZ256ri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 16982 /* vpsrld */, X86::VPSRLDZ256rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem128 }, },
{ 16982 /* vpsrld */, X86::VPSRLDZ256mi, Convert__Reg1_0__Mem2565_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 16982 /* vpsrld */, X86::VPSRLDZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_FR16X }, },
{ 16982 /* vpsrld */, X86::VPSRLDZri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 16982 /* vpsrld */, X86::VPSRLDZrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem128 }, },
{ 16982 /* vpsrld */, X86::VPSRLDZmi, Convert__Reg1_0__Mem5125_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 16982 /* vpsrld */, X86::VPSRLDZ128mbi, Convert__Reg1_0__Mem325_1__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 16982 /* vpsrld */, X86::VPSRLDZ256mbi, Convert__Reg1_0__Mem325_1__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 16982 /* vpsrld */, X86::VPSRLDZmbi, Convert__Reg1_0__Mem325_1__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_Mem32, MCK__123_1to16_125_, MCK_ImmUnsignedi8 }, },
{ 16982 /* vpsrld */, X86::VPSRLDZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 16982 /* vpsrld */, X86::VPSRLDZ128rik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 16982 /* vpsrld */, X86::VPSRLDZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 16982 /* vpsrld */, X86::VPSRLDZ128mik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4__ImmUnsignedi81_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 16982 /* vpsrld */, X86::VPSRLDZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_FR16X }, },
{ 16982 /* vpsrld */, X86::VPSRLDZ256rik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 16982 /* vpsrld */, X86::VPSRLDZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem128 }, },
{ 16982 /* vpsrld */, X86::VPSRLDZ256mik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 16982 /* vpsrld */, X86::VPSRLDZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_FR16X }, },
{ 16982 /* vpsrld */, X86::VPSRLDZrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 16982 /* vpsrld */, X86::VPSRLDZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem128 }, },
{ 16982 /* vpsrld */, X86::VPSRLDZmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 16982 /* vpsrld */, X86::VPSRLDZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 16982 /* vpsrld */, X86::VPSRLDZ128rikz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 16982 /* vpsrld */, X86::VPSRLDZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 16982 /* vpsrld */, X86::VPSRLDZ128mikz, Convert__Reg1_0__Reg1_2__Mem1285_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 16982 /* vpsrld */, X86::VPSRLDZ128mbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 16982 /* vpsrld */, X86::VPSRLDZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_FR16X }, },
{ 16982 /* vpsrld */, X86::VPSRLDZ256rikz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 16982 /* vpsrld */, X86::VPSRLDZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem128 }, },
{ 16982 /* vpsrld */, X86::VPSRLDZ256mikz, Convert__Reg1_0__Reg1_2__Mem2565_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 16982 /* vpsrld */, X86::VPSRLDZ256mbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 16982 /* vpsrld */, X86::VPSRLDZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_FR16X }, },
{ 16982 /* vpsrld */, X86::VPSRLDZrikz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 16982 /* vpsrld */, X86::VPSRLDZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem128 }, },
{ 16982 /* vpsrld */, X86::VPSRLDZmikz, Convert__Reg1_0__Reg1_2__Mem5125_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 16982 /* vpsrld */, X86::VPSRLDZmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to16_125_, MCK_ImmUnsignedi8 }, },
{ 16982 /* vpsrld */, X86::VPSRLDZ128mbikz, Convert__Reg1_0__Reg1_2__Mem325_5__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 16982 /* vpsrld */, X86::VPSRLDZ256mbikz, Convert__Reg1_0__Reg1_2__Mem325_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 16982 /* vpsrld */, X86::VPSRLDZmbikz, Convert__Reg1_0__Reg1_2__Mem325_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to16_125_, MCK_ImmUnsignedi8 }, },
{ 16989 /* vpsrldq */, X86::VPSRLDQri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 16989 /* vpsrldq */, X86::VPSRLDQYri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_ImmUnsignedi8 }, },
{ 16989 /* vpsrldq */, X86::VPSRLDQZ128ri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 16989 /* vpsrldq */, X86::VPSRLDQZ128mi, Convert__Reg1_0__Mem1285_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 16989 /* vpsrldq */, X86::VPSRLDQZ256ri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 16989 /* vpsrldq */, X86::VPSRLDQZ256mi, Convert__Reg1_0__Mem2565_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 16989 /* vpsrldq */, X86::VPSRLDQZri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 16989 /* vpsrldq */, X86::VPSRLDQZmi, Convert__Reg1_0__Mem5125_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 16997 /* vpsrlq */, X86::VPSRLQrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 16997 /* vpsrlq */, X86::VPSRLQri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 16997 /* vpsrlq */, X86::VPSRLQrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 16997 /* vpsrlq */, X86::VPSRLQYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_FR16 }, },
{ 16997 /* vpsrlq */, X86::VPSRLQYri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_ImmUnsignedi8 }, },
{ 16997 /* vpsrlq */, X86::VPSRLQYrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem128 }, },
{ 16997 /* vpsrlq */, X86::VPSRLQZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 16997 /* vpsrlq */, X86::VPSRLQZ128ri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 16997 /* vpsrlq */, X86::VPSRLQZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 16997 /* vpsrlq */, X86::VPSRLQZ128mi, Convert__Reg1_0__Mem1285_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 16997 /* vpsrlq */, X86::VPSRLQZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_FR16X }, },
{ 16997 /* vpsrlq */, X86::VPSRLQZ256ri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 16997 /* vpsrlq */, X86::VPSRLQZ256rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem128 }, },
{ 16997 /* vpsrlq */, X86::VPSRLQZ256mi, Convert__Reg1_0__Mem2565_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 16997 /* vpsrlq */, X86::VPSRLQZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_FR16X }, },
{ 16997 /* vpsrlq */, X86::VPSRLQZri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 16997 /* vpsrlq */, X86::VPSRLQZrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem128 }, },
{ 16997 /* vpsrlq */, X86::VPSRLQZmi, Convert__Reg1_0__Mem5125_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 16997 /* vpsrlq */, X86::VPSRLQZ128mbi, Convert__Reg1_0__Mem645_1__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_, MCK_ImmUnsignedi8 }, },
{ 16997 /* vpsrlq */, X86::VPSRLQZ256mbi, Convert__Reg1_0__Mem645_1__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 16997 /* vpsrlq */, X86::VPSRLQZmbi, Convert__Reg1_0__Mem645_1__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_Mem64, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 16997 /* vpsrlq */, X86::VPSRLQZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 16997 /* vpsrlq */, X86::VPSRLQZ128rik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 16997 /* vpsrlq */, X86::VPSRLQZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 16997 /* vpsrlq */, X86::VPSRLQZ128mik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4__ImmUnsignedi81_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 16997 /* vpsrlq */, X86::VPSRLQZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_FR16X }, },
{ 16997 /* vpsrlq */, X86::VPSRLQZ256rik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 16997 /* vpsrlq */, X86::VPSRLQZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem128 }, },
{ 16997 /* vpsrlq */, X86::VPSRLQZ256mik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 16997 /* vpsrlq */, X86::VPSRLQZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_FR16X }, },
{ 16997 /* vpsrlq */, X86::VPSRLQZrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 16997 /* vpsrlq */, X86::VPSRLQZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem128 }, },
{ 16997 /* vpsrlq */, X86::VPSRLQZmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 16997 /* vpsrlq */, X86::VPSRLQZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 16997 /* vpsrlq */, X86::VPSRLQZ128rikz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 16997 /* vpsrlq */, X86::VPSRLQZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 16997 /* vpsrlq */, X86::VPSRLQZ128mikz, Convert__Reg1_0__Reg1_2__Mem1285_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 16997 /* vpsrlq */, X86::VPSRLQZ128mbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to2_125_, MCK_ImmUnsignedi8 }, },
{ 16997 /* vpsrlq */, X86::VPSRLQZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_FR16X }, },
{ 16997 /* vpsrlq */, X86::VPSRLQZ256rikz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 16997 /* vpsrlq */, X86::VPSRLQZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem128 }, },
{ 16997 /* vpsrlq */, X86::VPSRLQZ256mikz, Convert__Reg1_0__Reg1_2__Mem2565_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 16997 /* vpsrlq */, X86::VPSRLQZ256mbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 16997 /* vpsrlq */, X86::VPSRLQZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_FR16X }, },
{ 16997 /* vpsrlq */, X86::VPSRLQZrikz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 16997 /* vpsrlq */, X86::VPSRLQZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem128 }, },
{ 16997 /* vpsrlq */, X86::VPSRLQZmikz, Convert__Reg1_0__Reg1_2__Mem5125_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 16997 /* vpsrlq */, X86::VPSRLQZmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 16997 /* vpsrlq */, X86::VPSRLQZ128mbikz, Convert__Reg1_0__Reg1_2__Mem645_5__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to2_125_, MCK_ImmUnsignedi8 }, },
{ 16997 /* vpsrlq */, X86::VPSRLQZ256mbikz, Convert__Reg1_0__Reg1_2__Mem645_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 16997 /* vpsrlq */, X86::VPSRLQZmbikz, Convert__Reg1_0__Reg1_2__Mem645_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 17004 /* vpsrlvd */, X86::VPSRLVDrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 17004 /* vpsrlvd */, X86::VPSRLVDrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 17004 /* vpsrlvd */, X86::VPSRLVDYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 17004 /* vpsrlvd */, X86::VPSRLVDYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 17004 /* vpsrlvd */, X86::VPSRLVDZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17004 /* vpsrlvd */, X86::VPSRLVDZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 17004 /* vpsrlvd */, X86::VPSRLVDZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 17004 /* vpsrlvd */, X86::VPSRLVDZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 17004 /* vpsrlvd */, X86::VPSRLVDZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 17004 /* vpsrlvd */, X86::VPSRLVDZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 17004 /* vpsrlvd */, X86::VPSRLVDZ128rmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 17004 /* vpsrlvd */, X86::VPSRLVDZ256rmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 17004 /* vpsrlvd */, X86::VPSRLVDZrmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 17004 /* vpsrlvd */, X86::VPSRLVDZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 17004 /* vpsrlvd */, X86::VPSRLVDZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 17004 /* vpsrlvd */, X86::VPSRLVDZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 17004 /* vpsrlvd */, X86::VPSRLVDZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 17004 /* vpsrlvd */, X86::VPSRLVDZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 17004 /* vpsrlvd */, X86::VPSRLVDZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 17004 /* vpsrlvd */, X86::VPSRLVDZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 17004 /* vpsrlvd */, X86::VPSRLVDZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 17004 /* vpsrlvd */, X86::VPSRLVDZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 17004 /* vpsrlvd */, X86::VPSRLVDZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 17004 /* vpsrlvd */, X86::VPSRLVDZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 17004 /* vpsrlvd */, X86::VPSRLVDZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 17004 /* vpsrlvd */, X86::VPSRLVDZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 17004 /* vpsrlvd */, X86::VPSRLVDZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 17004 /* vpsrlvd */, X86::VPSRLVDZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 17004 /* vpsrlvd */, X86::VPSRLVDZ128rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 17004 /* vpsrlvd */, X86::VPSRLVDZ256rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 17004 /* vpsrlvd */, X86::VPSRLVDZrmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 17012 /* vpsrlvq */, X86::VPSRLVQrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 17012 /* vpsrlvq */, X86::VPSRLVQrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 17012 /* vpsrlvq */, X86::VPSRLVQYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 17012 /* vpsrlvq */, X86::VPSRLVQYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 17012 /* vpsrlvq */, X86::VPSRLVQZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17012 /* vpsrlvq */, X86::VPSRLVQZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 17012 /* vpsrlvq */, X86::VPSRLVQZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 17012 /* vpsrlvq */, X86::VPSRLVQZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 17012 /* vpsrlvq */, X86::VPSRLVQZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 17012 /* vpsrlvq */, X86::VPSRLVQZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 17012 /* vpsrlvq */, X86::VPSRLVQZ128rmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 17012 /* vpsrlvq */, X86::VPSRLVQZ256rmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 17012 /* vpsrlvq */, X86::VPSRLVQZrmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 17012 /* vpsrlvq */, X86::VPSRLVQZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 17012 /* vpsrlvq */, X86::VPSRLVQZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 17012 /* vpsrlvq */, X86::VPSRLVQZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 17012 /* vpsrlvq */, X86::VPSRLVQZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 17012 /* vpsrlvq */, X86::VPSRLVQZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 17012 /* vpsrlvq */, X86::VPSRLVQZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 17012 /* vpsrlvq */, X86::VPSRLVQZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 17012 /* vpsrlvq */, X86::VPSRLVQZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 17012 /* vpsrlvq */, X86::VPSRLVQZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 17012 /* vpsrlvq */, X86::VPSRLVQZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 17012 /* vpsrlvq */, X86::VPSRLVQZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 17012 /* vpsrlvq */, X86::VPSRLVQZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 17012 /* vpsrlvq */, X86::VPSRLVQZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 17012 /* vpsrlvq */, X86::VPSRLVQZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 17012 /* vpsrlvq */, X86::VPSRLVQZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 17012 /* vpsrlvq */, X86::VPSRLVQZ128rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 17012 /* vpsrlvq */, X86::VPSRLVQZ256rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 17012 /* vpsrlvq */, X86::VPSRLVQZrmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 17020 /* vpsrlvw */, X86::VPSRLVWZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17020 /* vpsrlvw */, X86::VPSRLVWZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 17020 /* vpsrlvw */, X86::VPSRLVWZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 17020 /* vpsrlvw */, X86::VPSRLVWZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 17020 /* vpsrlvw */, X86::VPSRLVWZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 17020 /* vpsrlvw */, X86::VPSRLVWZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 17020 /* vpsrlvw */, X86::VPSRLVWZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 17020 /* vpsrlvw */, X86::VPSRLVWZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 17020 /* vpsrlvw */, X86::VPSRLVWZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 17020 /* vpsrlvw */, X86::VPSRLVWZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 17020 /* vpsrlvw */, X86::VPSRLVWZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 17020 /* vpsrlvw */, X86::VPSRLVWZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 17020 /* vpsrlvw */, X86::VPSRLVWZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 17020 /* vpsrlvw */, X86::VPSRLVWZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 17020 /* vpsrlvw */, X86::VPSRLVWZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 17020 /* vpsrlvw */, X86::VPSRLVWZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 17020 /* vpsrlvw */, X86::VPSRLVWZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 17020 /* vpsrlvw */, X86::VPSRLVWZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 17028 /* vpsrlw */, X86::VPSRLWrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 17028 /* vpsrlw */, X86::VPSRLWri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 17028 /* vpsrlw */, X86::VPSRLWrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 17028 /* vpsrlw */, X86::VPSRLWYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_FR16 }, },
{ 17028 /* vpsrlw */, X86::VPSRLWYri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_ImmUnsignedi8 }, },
{ 17028 /* vpsrlw */, X86::VPSRLWYrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem128 }, },
{ 17028 /* vpsrlw */, X86::VPSRLWZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17028 /* vpsrlw */, X86::VPSRLWZ128ri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 17028 /* vpsrlw */, X86::VPSRLWZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 17028 /* vpsrlw */, X86::VPSRLWZ128mi, Convert__Reg1_0__Mem1285_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 17028 /* vpsrlw */, X86::VPSRLWZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_FR16X }, },
{ 17028 /* vpsrlw */, X86::VPSRLWZ256ri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 17028 /* vpsrlw */, X86::VPSRLWZ256rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem128 }, },
{ 17028 /* vpsrlw */, X86::VPSRLWZ256mi, Convert__Reg1_0__Mem2565_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 17028 /* vpsrlw */, X86::VPSRLWZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_FR16X }, },
{ 17028 /* vpsrlw */, X86::VPSRLWZri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 17028 /* vpsrlw */, X86::VPSRLWZrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem128 }, },
{ 17028 /* vpsrlw */, X86::VPSRLWZmi, Convert__Reg1_0__Mem5125_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 17028 /* vpsrlw */, X86::VPSRLWZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 17028 /* vpsrlw */, X86::VPSRLWZ128rik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 17028 /* vpsrlw */, X86::VPSRLWZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 17028 /* vpsrlw */, X86::VPSRLWZ128mik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4__ImmUnsignedi81_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 17028 /* vpsrlw */, X86::VPSRLWZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_FR16X }, },
{ 17028 /* vpsrlw */, X86::VPSRLWZ256rik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 17028 /* vpsrlw */, X86::VPSRLWZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem128 }, },
{ 17028 /* vpsrlw */, X86::VPSRLWZ256mik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 17028 /* vpsrlw */, X86::VPSRLWZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_FR16X }, },
{ 17028 /* vpsrlw */, X86::VPSRLWZrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 17028 /* vpsrlw */, X86::VPSRLWZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem128 }, },
{ 17028 /* vpsrlw */, X86::VPSRLWZmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 17028 /* vpsrlw */, X86::VPSRLWZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 17028 /* vpsrlw */, X86::VPSRLWZ128rikz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 17028 /* vpsrlw */, X86::VPSRLWZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 17028 /* vpsrlw */, X86::VPSRLWZ128mikz, Convert__Reg1_0__Reg1_2__Mem1285_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 17028 /* vpsrlw */, X86::VPSRLWZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_FR16X }, },
{ 17028 /* vpsrlw */, X86::VPSRLWZ256rikz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 17028 /* vpsrlw */, X86::VPSRLWZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem128 }, },
{ 17028 /* vpsrlw */, X86::VPSRLWZ256mikz, Convert__Reg1_0__Reg1_2__Mem2565_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 17028 /* vpsrlw */, X86::VPSRLWZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_FR16X }, },
{ 17028 /* vpsrlw */, X86::VPSRLWZrikz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 17028 /* vpsrlw */, X86::VPSRLWZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem128 }, },
{ 17028 /* vpsrlw */, X86::VPSRLWZmikz, Convert__Reg1_0__Reg1_2__Mem5125_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 17035 /* vpsubb */, X86::VPSUBBrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 17035 /* vpsubb */, X86::VPSUBBrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 17035 /* vpsubb */, X86::VPSUBBYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 17035 /* vpsubb */, X86::VPSUBBYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 17035 /* vpsubb */, X86::VPSUBBZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17035 /* vpsubb */, X86::VPSUBBZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 17035 /* vpsubb */, X86::VPSUBBZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 17035 /* vpsubb */, X86::VPSUBBZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 17035 /* vpsubb */, X86::VPSUBBZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 17035 /* vpsubb */, X86::VPSUBBZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 17035 /* vpsubb */, X86::VPSUBBZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 17035 /* vpsubb */, X86::VPSUBBZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 17035 /* vpsubb */, X86::VPSUBBZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 17035 /* vpsubb */, X86::VPSUBBZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 17035 /* vpsubb */, X86::VPSUBBZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 17035 /* vpsubb */, X86::VPSUBBZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 17035 /* vpsubb */, X86::VPSUBBZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 17035 /* vpsubb */, X86::VPSUBBZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 17035 /* vpsubb */, X86::VPSUBBZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 17035 /* vpsubb */, X86::VPSUBBZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 17035 /* vpsubb */, X86::VPSUBBZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 17035 /* vpsubb */, X86::VPSUBBZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 17042 /* vpsubd */, X86::VPSUBDrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 17042 /* vpsubd */, X86::VPSUBDrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 17042 /* vpsubd */, X86::VPSUBDYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 17042 /* vpsubd */, X86::VPSUBDYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 17042 /* vpsubd */, X86::VPSUBDZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17042 /* vpsubd */, X86::VPSUBDZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 17042 /* vpsubd */, X86::VPSUBDZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 17042 /* vpsubd */, X86::VPSUBDZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 17042 /* vpsubd */, X86::VPSUBDZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 17042 /* vpsubd */, X86::VPSUBDZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 17042 /* vpsubd */, X86::VPSUBDZ128rmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 17042 /* vpsubd */, X86::VPSUBDZ256rmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 17042 /* vpsubd */, X86::VPSUBDZrmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 17042 /* vpsubd */, X86::VPSUBDZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 17042 /* vpsubd */, X86::VPSUBDZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 17042 /* vpsubd */, X86::VPSUBDZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 17042 /* vpsubd */, X86::VPSUBDZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 17042 /* vpsubd */, X86::VPSUBDZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 17042 /* vpsubd */, X86::VPSUBDZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 17042 /* vpsubd */, X86::VPSUBDZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 17042 /* vpsubd */, X86::VPSUBDZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 17042 /* vpsubd */, X86::VPSUBDZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 17042 /* vpsubd */, X86::VPSUBDZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 17042 /* vpsubd */, X86::VPSUBDZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 17042 /* vpsubd */, X86::VPSUBDZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 17042 /* vpsubd */, X86::VPSUBDZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 17042 /* vpsubd */, X86::VPSUBDZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 17042 /* vpsubd */, X86::VPSUBDZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 17042 /* vpsubd */, X86::VPSUBDZ128rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 17042 /* vpsubd */, X86::VPSUBDZ256rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 17042 /* vpsubd */, X86::VPSUBDZrmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 17049 /* vpsubq */, X86::VPSUBQrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 17049 /* vpsubq */, X86::VPSUBQrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 17049 /* vpsubq */, X86::VPSUBQYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 17049 /* vpsubq */, X86::VPSUBQYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 17049 /* vpsubq */, X86::VPSUBQZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17049 /* vpsubq */, X86::VPSUBQZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 17049 /* vpsubq */, X86::VPSUBQZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 17049 /* vpsubq */, X86::VPSUBQZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 17049 /* vpsubq */, X86::VPSUBQZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 17049 /* vpsubq */, X86::VPSUBQZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 17049 /* vpsubq */, X86::VPSUBQZ128rmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 17049 /* vpsubq */, X86::VPSUBQZ256rmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 17049 /* vpsubq */, X86::VPSUBQZrmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 17049 /* vpsubq */, X86::VPSUBQZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 17049 /* vpsubq */, X86::VPSUBQZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 17049 /* vpsubq */, X86::VPSUBQZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 17049 /* vpsubq */, X86::VPSUBQZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 17049 /* vpsubq */, X86::VPSUBQZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 17049 /* vpsubq */, X86::VPSUBQZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 17049 /* vpsubq */, X86::VPSUBQZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 17049 /* vpsubq */, X86::VPSUBQZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 17049 /* vpsubq */, X86::VPSUBQZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 17049 /* vpsubq */, X86::VPSUBQZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 17049 /* vpsubq */, X86::VPSUBQZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 17049 /* vpsubq */, X86::VPSUBQZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 17049 /* vpsubq */, X86::VPSUBQZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 17049 /* vpsubq */, X86::VPSUBQZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 17049 /* vpsubq */, X86::VPSUBQZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 17049 /* vpsubq */, X86::VPSUBQZ128rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 17049 /* vpsubq */, X86::VPSUBQZ256rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 17049 /* vpsubq */, X86::VPSUBQZrmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 17056 /* vpsubsb */, X86::VPSUBSBrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 17056 /* vpsubsb */, X86::VPSUBSBrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 17056 /* vpsubsb */, X86::VPSUBSBYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 17056 /* vpsubsb */, X86::VPSUBSBYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 17056 /* vpsubsb */, X86::VPSUBSBZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17056 /* vpsubsb */, X86::VPSUBSBZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 17056 /* vpsubsb */, X86::VPSUBSBZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 17056 /* vpsubsb */, X86::VPSUBSBZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 17056 /* vpsubsb */, X86::VPSUBSBZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 17056 /* vpsubsb */, X86::VPSUBSBZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 17056 /* vpsubsb */, X86::VPSUBSBZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 17056 /* vpsubsb */, X86::VPSUBSBZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 17056 /* vpsubsb */, X86::VPSUBSBZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 17056 /* vpsubsb */, X86::VPSUBSBZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 17056 /* vpsubsb */, X86::VPSUBSBZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 17056 /* vpsubsb */, X86::VPSUBSBZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 17056 /* vpsubsb */, X86::VPSUBSBZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 17056 /* vpsubsb */, X86::VPSUBSBZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 17056 /* vpsubsb */, X86::VPSUBSBZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 17056 /* vpsubsb */, X86::VPSUBSBZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 17056 /* vpsubsb */, X86::VPSUBSBZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 17056 /* vpsubsb */, X86::VPSUBSBZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 17064 /* vpsubsw */, X86::VPSUBSWrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 17064 /* vpsubsw */, X86::VPSUBSWrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 17064 /* vpsubsw */, X86::VPSUBSWYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 17064 /* vpsubsw */, X86::VPSUBSWYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 17064 /* vpsubsw */, X86::VPSUBSWZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17064 /* vpsubsw */, X86::VPSUBSWZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 17064 /* vpsubsw */, X86::VPSUBSWZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 17064 /* vpsubsw */, X86::VPSUBSWZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 17064 /* vpsubsw */, X86::VPSUBSWZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 17064 /* vpsubsw */, X86::VPSUBSWZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 17064 /* vpsubsw */, X86::VPSUBSWZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 17064 /* vpsubsw */, X86::VPSUBSWZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 17064 /* vpsubsw */, X86::VPSUBSWZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 17064 /* vpsubsw */, X86::VPSUBSWZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 17064 /* vpsubsw */, X86::VPSUBSWZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 17064 /* vpsubsw */, X86::VPSUBSWZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 17064 /* vpsubsw */, X86::VPSUBSWZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 17064 /* vpsubsw */, X86::VPSUBSWZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 17064 /* vpsubsw */, X86::VPSUBSWZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 17064 /* vpsubsw */, X86::VPSUBSWZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 17064 /* vpsubsw */, X86::VPSUBSWZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 17064 /* vpsubsw */, X86::VPSUBSWZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 17072 /* vpsubusb */, X86::VPSUBUSBrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 17072 /* vpsubusb */, X86::VPSUBUSBrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 17072 /* vpsubusb */, X86::VPSUBUSBYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 17072 /* vpsubusb */, X86::VPSUBUSBYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 17072 /* vpsubusb */, X86::VPSUBUSBZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17072 /* vpsubusb */, X86::VPSUBUSBZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 17072 /* vpsubusb */, X86::VPSUBUSBZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 17072 /* vpsubusb */, X86::VPSUBUSBZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 17072 /* vpsubusb */, X86::VPSUBUSBZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 17072 /* vpsubusb */, X86::VPSUBUSBZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 17072 /* vpsubusb */, X86::VPSUBUSBZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 17072 /* vpsubusb */, X86::VPSUBUSBZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 17072 /* vpsubusb */, X86::VPSUBUSBZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 17072 /* vpsubusb */, X86::VPSUBUSBZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 17072 /* vpsubusb */, X86::VPSUBUSBZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 17072 /* vpsubusb */, X86::VPSUBUSBZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 17072 /* vpsubusb */, X86::VPSUBUSBZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 17072 /* vpsubusb */, X86::VPSUBUSBZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 17072 /* vpsubusb */, X86::VPSUBUSBZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 17072 /* vpsubusb */, X86::VPSUBUSBZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 17072 /* vpsubusb */, X86::VPSUBUSBZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 17072 /* vpsubusb */, X86::VPSUBUSBZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 17081 /* vpsubusw */, X86::VPSUBUSWrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 17081 /* vpsubusw */, X86::VPSUBUSWrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 17081 /* vpsubusw */, X86::VPSUBUSWYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 17081 /* vpsubusw */, X86::VPSUBUSWYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 17081 /* vpsubusw */, X86::VPSUBUSWZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17081 /* vpsubusw */, X86::VPSUBUSWZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 17081 /* vpsubusw */, X86::VPSUBUSWZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 17081 /* vpsubusw */, X86::VPSUBUSWZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 17081 /* vpsubusw */, X86::VPSUBUSWZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 17081 /* vpsubusw */, X86::VPSUBUSWZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 17081 /* vpsubusw */, X86::VPSUBUSWZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 17081 /* vpsubusw */, X86::VPSUBUSWZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 17081 /* vpsubusw */, X86::VPSUBUSWZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 17081 /* vpsubusw */, X86::VPSUBUSWZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 17081 /* vpsubusw */, X86::VPSUBUSWZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 17081 /* vpsubusw */, X86::VPSUBUSWZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 17081 /* vpsubusw */, X86::VPSUBUSWZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 17081 /* vpsubusw */, X86::VPSUBUSWZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 17081 /* vpsubusw */, X86::VPSUBUSWZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 17081 /* vpsubusw */, X86::VPSUBUSWZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 17081 /* vpsubusw */, X86::VPSUBUSWZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 17081 /* vpsubusw */, X86::VPSUBUSWZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 17090 /* vpsubw */, X86::VPSUBWrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 17090 /* vpsubw */, X86::VPSUBWrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 17090 /* vpsubw */, X86::VPSUBWYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 17090 /* vpsubw */, X86::VPSUBWYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 17090 /* vpsubw */, X86::VPSUBWZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17090 /* vpsubw */, X86::VPSUBWZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 17090 /* vpsubw */, X86::VPSUBWZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 17090 /* vpsubw */, X86::VPSUBWZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 17090 /* vpsubw */, X86::VPSUBWZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 17090 /* vpsubw */, X86::VPSUBWZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 17090 /* vpsubw */, X86::VPSUBWZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 17090 /* vpsubw */, X86::VPSUBWZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 17090 /* vpsubw */, X86::VPSUBWZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 17090 /* vpsubw */, X86::VPSUBWZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 17090 /* vpsubw */, X86::VPSUBWZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 17090 /* vpsubw */, X86::VPSUBWZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 17090 /* vpsubw */, X86::VPSUBWZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 17090 /* vpsubw */, X86::VPSUBWZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 17090 /* vpsubw */, X86::VPSUBWZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 17090 /* vpsubw */, X86::VPSUBWZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 17090 /* vpsubw */, X86::VPSUBWZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 17090 /* vpsubw */, X86::VPSUBWZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 17097 /* vpternlogd */, X86::VPTERNLOGDZ128rri, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 17097 /* vpternlogd */, X86::VPTERNLOGDZ128rmi, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 17097 /* vpternlogd */, X86::VPTERNLOGDZ256rri, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 17097 /* vpternlogd */, X86::VPTERNLOGDZ256rmi, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 17097 /* vpternlogd */, X86::VPTERNLOGDZrri, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 17097 /* vpternlogd */, X86::VPTERNLOGDZrmi, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem5125_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 17097 /* vpternlogd */, X86::VPTERNLOGDZ128rmbi, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2__ImmUnsignedi81_4, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 17097 /* vpternlogd */, X86::VPTERNLOGDZ256rmbi, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2__ImmUnsignedi81_4, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 17097 /* vpternlogd */, X86::VPTERNLOGDZrmbi, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem325_2__ImmUnsignedi81_4, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_, MCK_ImmUnsignedi8 }, },
{ 17097 /* vpternlogd */, X86::VPTERNLOGDZ128rrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 17097 /* vpternlogd */, X86::VPTERNLOGDZ128rmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 17097 /* vpternlogd */, X86::VPTERNLOGDZ256rrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 17097 /* vpternlogd */, X86::VPTERNLOGDZ256rmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 17097 /* vpternlogd */, X86::VPTERNLOGDZrrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 17097 /* vpternlogd */, X86::VPTERNLOGDZrmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 17097 /* vpternlogd */, X86::VPTERNLOGDZ128rrikz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 17097 /* vpternlogd */, X86::VPTERNLOGDZ128rmikz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem1285_6__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 17097 /* vpternlogd */, X86::VPTERNLOGDZ128rmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 17097 /* vpternlogd */, X86::VPTERNLOGDZ256rrikz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 17097 /* vpternlogd */, X86::VPTERNLOGDZ256rmikz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem2565_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 17097 /* vpternlogd */, X86::VPTERNLOGDZ256rmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 17097 /* vpternlogd */, X86::VPTERNLOGDZrrikz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 17097 /* vpternlogd */, X86::VPTERNLOGDZrmikz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem5125_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 17097 /* vpternlogd */, X86::VPTERNLOGDZrmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_, MCK_ImmUnsignedi8 }, },
{ 17097 /* vpternlogd */, X86::VPTERNLOGDZ128rmbikz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6__ImmUnsignedi81_8, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 17097 /* vpternlogd */, X86::VPTERNLOGDZ256rmbikz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6__ImmUnsignedi81_8, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 17097 /* vpternlogd */, X86::VPTERNLOGDZrmbikz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem325_6__ImmUnsignedi81_8, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_, MCK_ImmUnsignedi8 }, },
{ 17108 /* vpternlogq */, X86::VPTERNLOGQZ128rri, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 17108 /* vpternlogq */, X86::VPTERNLOGQZ128rmi, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem1285_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 17108 /* vpternlogq */, X86::VPTERNLOGQZ256rri, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 17108 /* vpternlogq */, X86::VPTERNLOGQZ256rmi, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem2565_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 17108 /* vpternlogq */, X86::VPTERNLOGQZrri, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 17108 /* vpternlogq */, X86::VPTERNLOGQZrmi, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem5125_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 17108 /* vpternlogq */, X86::VPTERNLOGQZ128rmbi, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2__ImmUnsignedi81_4, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_, MCK_ImmUnsignedi8 }, },
{ 17108 /* vpternlogq */, X86::VPTERNLOGQZ256rmbi, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2__ImmUnsignedi81_4, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 17108 /* vpternlogq */, X86::VPTERNLOGQZrmbi, Convert__Reg1_0__Tie0_1_1__Reg1_1__Mem645_2__ImmUnsignedi81_4, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 17108 /* vpternlogq */, X86::VPTERNLOGQZ128rrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 17108 /* vpternlogq */, X86::VPTERNLOGQZ128rmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 17108 /* vpternlogq */, X86::VPTERNLOGQZ256rrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 17108 /* vpternlogq */, X86::VPTERNLOGQZ256rmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 17108 /* vpternlogq */, X86::VPTERNLOGQZrrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 17108 /* vpternlogq */, X86::VPTERNLOGQZrmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 17108 /* vpternlogq */, X86::VPTERNLOGQZ128rrikz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 17108 /* vpternlogq */, X86::VPTERNLOGQZ128rmikz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem1285_6__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 17108 /* vpternlogq */, X86::VPTERNLOGQZ128rmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_, MCK_ImmUnsignedi8 }, },
{ 17108 /* vpternlogq */, X86::VPTERNLOGQZ256rrikz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 17108 /* vpternlogq */, X86::VPTERNLOGQZ256rmikz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem2565_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 17108 /* vpternlogq */, X86::VPTERNLOGQZ256rmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 17108 /* vpternlogq */, X86::VPTERNLOGQZrrikz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 17108 /* vpternlogq */, X86::VPTERNLOGQZrmikz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem5125_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 17108 /* vpternlogq */, X86::VPTERNLOGQZrmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 17108 /* vpternlogq */, X86::VPTERNLOGQZ128rmbikz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6__ImmUnsignedi81_8, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_, MCK_ImmUnsignedi8 }, },
{ 17108 /* vpternlogq */, X86::VPTERNLOGQZ256rmbikz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6__ImmUnsignedi81_8, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 17108 /* vpternlogq */, X86::VPTERNLOGQZrmbikz, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_5__Mem645_6__ImmUnsignedi81_8, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 17119 /* vptest */, X86::VPTESTrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 17119 /* vptest */, X86::VPTESTrm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 17119 /* vptest */, X86::VPTESTYrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256, MCK_VR256 }, },
{ 17119 /* vptest */, X86::VPTESTYrm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR256, MCK_Mem256 }, },
{ 17126 /* vptestmb */, X86::VPTESTMBZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VK1, MCK_FR16X, MCK_FR16X }, },
{ 17126 /* vptestmb */, X86::VPTESTMBZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_VK1, MCK_FR16X, MCK_Mem128 }, },
{ 17126 /* vptestmb */, X86::VPTESTMBZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VK1, MCK_VR256X, MCK_VR256X }, },
{ 17126 /* vptestmb */, X86::VPTESTMBZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VK1, MCK_VR256X, MCK_Mem256 }, },
{ 17126 /* vptestmb */, X86::VPTESTMBZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VK1, MCK_VR512, MCK_VR512 }, },
{ 17126 /* vptestmb */, X86::VPTESTMBZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VK1, MCK_VR512, MCK_Mem512 }, },
{ 17126 /* vptestmb */, X86::VPTESTMBZ128rrk, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 17126 /* vptestmb */, X86::VPTESTMBZ128rmk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 17126 /* vptestmb */, X86::VPTESTMBZ256rrk, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 17126 /* vptestmb */, X86::VPTESTMBZ256rmk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 17126 /* vptestmb */, X86::VPTESTMBZrrk, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 17126 /* vptestmb */, X86::VPTESTMBZrmk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 17135 /* vptestmd */, X86::VPTESTMDZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VK1, MCK_FR16X, MCK_FR16X }, },
{ 17135 /* vptestmd */, X86::VPTESTMDZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_VK1, MCK_FR16X, MCK_Mem128 }, },
{ 17135 /* vptestmd */, X86::VPTESTMDZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VK1, MCK_VR256X, MCK_VR256X }, },
{ 17135 /* vptestmd */, X86::VPTESTMDZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VK1, MCK_VR256X, MCK_Mem256 }, },
{ 17135 /* vptestmd */, X86::VPTESTMDZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VK1, MCK_VR512, MCK_VR512 }, },
{ 17135 /* vptestmd */, X86::VPTESTMDZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VK1, MCK_VR512, MCK_Mem512 }, },
{ 17135 /* vptestmd */, X86::VPTESTMDZ128rmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VK1, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 17135 /* vptestmd */, X86::VPTESTMDZ256rmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VK1, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 17135 /* vptestmd */, X86::VPTESTMDZrmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VK1, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 17135 /* vptestmd */, X86::VPTESTMDZ128rrk, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 17135 /* vptestmd */, X86::VPTESTMDZ128rmk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 17135 /* vptestmd */, X86::VPTESTMDZ256rrk, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 17135 /* vptestmd */, X86::VPTESTMDZ256rmk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 17135 /* vptestmd */, X86::VPTESTMDZrrk, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 17135 /* vptestmd */, X86::VPTESTMDZrmk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 17135 /* vptestmd */, X86::VPTESTMDZ128rmbk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 17135 /* vptestmd */, X86::VPTESTMDZ256rmbk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 17135 /* vptestmd */, X86::VPTESTMDZrmbk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 17144 /* vptestmq */, X86::VPTESTMQZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VK1, MCK_FR16X, MCK_FR16X }, },
{ 17144 /* vptestmq */, X86::VPTESTMQZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_VK1, MCK_FR16X, MCK_Mem128 }, },
{ 17144 /* vptestmq */, X86::VPTESTMQZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VK1, MCK_VR256X, MCK_VR256X }, },
{ 17144 /* vptestmq */, X86::VPTESTMQZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VK1, MCK_VR256X, MCK_Mem256 }, },
{ 17144 /* vptestmq */, X86::VPTESTMQZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VK1, MCK_VR512, MCK_VR512 }, },
{ 17144 /* vptestmq */, X86::VPTESTMQZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VK1, MCK_VR512, MCK_Mem512 }, },
{ 17144 /* vptestmq */, X86::VPTESTMQZ128rmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_VK1, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 17144 /* vptestmq */, X86::VPTESTMQZ256rmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_VK1, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 17144 /* vptestmq */, X86::VPTESTMQZrmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_VK1, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 17144 /* vptestmq */, X86::VPTESTMQZ128rrk, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 17144 /* vptestmq */, X86::VPTESTMQZ128rmk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 17144 /* vptestmq */, X86::VPTESTMQZ256rrk, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 17144 /* vptestmq */, X86::VPTESTMQZ256rmk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 17144 /* vptestmq */, X86::VPTESTMQZrrk, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 17144 /* vptestmq */, X86::VPTESTMQZrmk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 17144 /* vptestmq */, X86::VPTESTMQZ128rmbk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 17144 /* vptestmq */, X86::VPTESTMQZ256rmbk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 17144 /* vptestmq */, X86::VPTESTMQZrmbk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 17153 /* vptestmw */, X86::VPTESTMWZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VK1, MCK_FR16X, MCK_FR16X }, },
{ 17153 /* vptestmw */, X86::VPTESTMWZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_VK1, MCK_FR16X, MCK_Mem128 }, },
{ 17153 /* vptestmw */, X86::VPTESTMWZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VK1, MCK_VR256X, MCK_VR256X }, },
{ 17153 /* vptestmw */, X86::VPTESTMWZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VK1, MCK_VR256X, MCK_Mem256 }, },
{ 17153 /* vptestmw */, X86::VPTESTMWZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VK1, MCK_VR512, MCK_VR512 }, },
{ 17153 /* vptestmw */, X86::VPTESTMWZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VK1, MCK_VR512, MCK_Mem512 }, },
{ 17153 /* vptestmw */, X86::VPTESTMWZ128rrk, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 17153 /* vptestmw */, X86::VPTESTMWZ128rmk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 17153 /* vptestmw */, X86::VPTESTMWZ256rrk, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 17153 /* vptestmw */, X86::VPTESTMWZ256rmk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 17153 /* vptestmw */, X86::VPTESTMWZrrk, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 17153 /* vptestmw */, X86::VPTESTMWZrmk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 17162 /* vptestnmb */, X86::VPTESTNMBZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VK1, MCK_FR16X, MCK_FR16X }, },
{ 17162 /* vptestnmb */, X86::VPTESTNMBZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_VK1, MCK_FR16X, MCK_Mem128 }, },
{ 17162 /* vptestnmb */, X86::VPTESTNMBZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VK1, MCK_VR256X, MCK_VR256X }, },
{ 17162 /* vptestnmb */, X86::VPTESTNMBZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VK1, MCK_VR256X, MCK_Mem256 }, },
{ 17162 /* vptestnmb */, X86::VPTESTNMBZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VK1, MCK_VR512, MCK_VR512 }, },
{ 17162 /* vptestnmb */, X86::VPTESTNMBZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VK1, MCK_VR512, MCK_Mem512 }, },
{ 17162 /* vptestnmb */, X86::VPTESTNMBZ128rrk, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 17162 /* vptestnmb */, X86::VPTESTNMBZ128rmk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 17162 /* vptestnmb */, X86::VPTESTNMBZ256rrk, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 17162 /* vptestnmb */, X86::VPTESTNMBZ256rmk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 17162 /* vptestnmb */, X86::VPTESTNMBZrrk, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 17162 /* vptestnmb */, X86::VPTESTNMBZrmk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 17172 /* vptestnmd */, X86::VPTESTNMDZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VK1, MCK_FR16X, MCK_FR16X }, },
{ 17172 /* vptestnmd */, X86::VPTESTNMDZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_VK1, MCK_FR16X, MCK_Mem128 }, },
{ 17172 /* vptestnmd */, X86::VPTESTNMDZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VK1, MCK_VR256X, MCK_VR256X }, },
{ 17172 /* vptestnmd */, X86::VPTESTNMDZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VK1, MCK_VR256X, MCK_Mem256 }, },
{ 17172 /* vptestnmd */, X86::VPTESTNMDZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VK1, MCK_VR512, MCK_VR512 }, },
{ 17172 /* vptestnmd */, X86::VPTESTNMDZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VK1, MCK_VR512, MCK_Mem512 }, },
{ 17172 /* vptestnmd */, X86::VPTESTNMDZ128rmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VK1, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 17172 /* vptestnmd */, X86::VPTESTNMDZ256rmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VK1, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 17172 /* vptestnmd */, X86::VPTESTNMDZrmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VK1, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 17172 /* vptestnmd */, X86::VPTESTNMDZ128rrk, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 17172 /* vptestnmd */, X86::VPTESTNMDZ128rmk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 17172 /* vptestnmd */, X86::VPTESTNMDZ256rrk, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 17172 /* vptestnmd */, X86::VPTESTNMDZ256rmk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 17172 /* vptestnmd */, X86::VPTESTNMDZrrk, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 17172 /* vptestnmd */, X86::VPTESTNMDZrmk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 17172 /* vptestnmd */, X86::VPTESTNMDZ128rmbk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 17172 /* vptestnmd */, X86::VPTESTNMDZ256rmbk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 17172 /* vptestnmd */, X86::VPTESTNMDZrmbk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 17182 /* vptestnmq */, X86::VPTESTNMQZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VK1, MCK_FR16X, MCK_FR16X }, },
{ 17182 /* vptestnmq */, X86::VPTESTNMQZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_VK1, MCK_FR16X, MCK_Mem128 }, },
{ 17182 /* vptestnmq */, X86::VPTESTNMQZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VK1, MCK_VR256X, MCK_VR256X }, },
{ 17182 /* vptestnmq */, X86::VPTESTNMQZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VK1, MCK_VR256X, MCK_Mem256 }, },
{ 17182 /* vptestnmq */, X86::VPTESTNMQZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VK1, MCK_VR512, MCK_VR512 }, },
{ 17182 /* vptestnmq */, X86::VPTESTNMQZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VK1, MCK_VR512, MCK_Mem512 }, },
{ 17182 /* vptestnmq */, X86::VPTESTNMQZ128rmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_VK1, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 17182 /* vptestnmq */, X86::VPTESTNMQZ256rmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_VK1, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 17182 /* vptestnmq */, X86::VPTESTNMQZrmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_VK1, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 17182 /* vptestnmq */, X86::VPTESTNMQZ128rrk, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 17182 /* vptestnmq */, X86::VPTESTNMQZ128rmk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 17182 /* vptestnmq */, X86::VPTESTNMQZ256rrk, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 17182 /* vptestnmq */, X86::VPTESTNMQZ256rmk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 17182 /* vptestnmq */, X86::VPTESTNMQZrrk, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 17182 /* vptestnmq */, X86::VPTESTNMQZrmk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 17182 /* vptestnmq */, X86::VPTESTNMQZ128rmbk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 17182 /* vptestnmq */, X86::VPTESTNMQZ256rmbk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 17182 /* vptestnmq */, X86::VPTESTNMQZrmbk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 17192 /* vptestnmw */, X86::VPTESTNMWZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VK1, MCK_FR16X, MCK_FR16X }, },
{ 17192 /* vptestnmw */, X86::VPTESTNMWZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_VK1, MCK_FR16X, MCK_Mem128 }, },
{ 17192 /* vptestnmw */, X86::VPTESTNMWZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VK1, MCK_VR256X, MCK_VR256X }, },
{ 17192 /* vptestnmw */, X86::VPTESTNMWZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VK1, MCK_VR256X, MCK_Mem256 }, },
{ 17192 /* vptestnmw */, X86::VPTESTNMWZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VK1, MCK_VR512, MCK_VR512 }, },
{ 17192 /* vptestnmw */, X86::VPTESTNMWZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VK1, MCK_VR512, MCK_Mem512 }, },
{ 17192 /* vptestnmw */, X86::VPTESTNMWZ128rrk, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 17192 /* vptestnmw */, X86::VPTESTNMWZ128rmk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 17192 /* vptestnmw */, X86::VPTESTNMWZ256rrk, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 17192 /* vptestnmw */, X86::VPTESTNMWZ256rmk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 17192 /* vptestnmw */, X86::VPTESTNMWZrrk, Convert__Reg1_0__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 17192 /* vptestnmw */, X86::VPTESTNMWZrmk, Convert__Reg1_0__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VK1, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 17202 /* vpunpckhbw */, X86::VPUNPCKHBWrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 17202 /* vpunpckhbw */, X86::VPUNPCKHBWrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 17202 /* vpunpckhbw */, X86::VPUNPCKHBWYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 17202 /* vpunpckhbw */, X86::VPUNPCKHBWYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 17202 /* vpunpckhbw */, X86::VPUNPCKHBWZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17202 /* vpunpckhbw */, X86::VPUNPCKHBWZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 17202 /* vpunpckhbw */, X86::VPUNPCKHBWZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 17202 /* vpunpckhbw */, X86::VPUNPCKHBWZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 17202 /* vpunpckhbw */, X86::VPUNPCKHBWZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 17202 /* vpunpckhbw */, X86::VPUNPCKHBWZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 17202 /* vpunpckhbw */, X86::VPUNPCKHBWZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 17202 /* vpunpckhbw */, X86::VPUNPCKHBWZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 17202 /* vpunpckhbw */, X86::VPUNPCKHBWZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 17202 /* vpunpckhbw */, X86::VPUNPCKHBWZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 17202 /* vpunpckhbw */, X86::VPUNPCKHBWZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 17202 /* vpunpckhbw */, X86::VPUNPCKHBWZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 17202 /* vpunpckhbw */, X86::VPUNPCKHBWZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 17202 /* vpunpckhbw */, X86::VPUNPCKHBWZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 17202 /* vpunpckhbw */, X86::VPUNPCKHBWZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 17202 /* vpunpckhbw */, X86::VPUNPCKHBWZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 17202 /* vpunpckhbw */, X86::VPUNPCKHBWZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 17202 /* vpunpckhbw */, X86::VPUNPCKHBWZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 17213 /* vpunpckhdq */, X86::VPUNPCKHDQrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 17213 /* vpunpckhdq */, X86::VPUNPCKHDQrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 17213 /* vpunpckhdq */, X86::VPUNPCKHDQYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 17213 /* vpunpckhdq */, X86::VPUNPCKHDQYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 17213 /* vpunpckhdq */, X86::VPUNPCKHDQZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17213 /* vpunpckhdq */, X86::VPUNPCKHDQZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 17213 /* vpunpckhdq */, X86::VPUNPCKHDQZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 17213 /* vpunpckhdq */, X86::VPUNPCKHDQZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 17213 /* vpunpckhdq */, X86::VPUNPCKHDQZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 17213 /* vpunpckhdq */, X86::VPUNPCKHDQZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 17213 /* vpunpckhdq */, X86::VPUNPCKHDQZ128rmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 17213 /* vpunpckhdq */, X86::VPUNPCKHDQZ256rmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 17213 /* vpunpckhdq */, X86::VPUNPCKHDQZrmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 17213 /* vpunpckhdq */, X86::VPUNPCKHDQZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 17213 /* vpunpckhdq */, X86::VPUNPCKHDQZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 17213 /* vpunpckhdq */, X86::VPUNPCKHDQZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 17213 /* vpunpckhdq */, X86::VPUNPCKHDQZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 17213 /* vpunpckhdq */, X86::VPUNPCKHDQZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 17213 /* vpunpckhdq */, X86::VPUNPCKHDQZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 17213 /* vpunpckhdq */, X86::VPUNPCKHDQZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 17213 /* vpunpckhdq */, X86::VPUNPCKHDQZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 17213 /* vpunpckhdq */, X86::VPUNPCKHDQZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 17213 /* vpunpckhdq */, X86::VPUNPCKHDQZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 17213 /* vpunpckhdq */, X86::VPUNPCKHDQZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 17213 /* vpunpckhdq */, X86::VPUNPCKHDQZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 17213 /* vpunpckhdq */, X86::VPUNPCKHDQZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 17213 /* vpunpckhdq */, X86::VPUNPCKHDQZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 17213 /* vpunpckhdq */, X86::VPUNPCKHDQZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 17213 /* vpunpckhdq */, X86::VPUNPCKHDQZ128rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 17213 /* vpunpckhdq */, X86::VPUNPCKHDQZ256rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 17213 /* vpunpckhdq */, X86::VPUNPCKHDQZrmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 17224 /* vpunpckhqdq */, X86::VPUNPCKHQDQrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 17224 /* vpunpckhqdq */, X86::VPUNPCKHQDQrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 17224 /* vpunpckhqdq */, X86::VPUNPCKHQDQYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 17224 /* vpunpckhqdq */, X86::VPUNPCKHQDQYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 17224 /* vpunpckhqdq */, X86::VPUNPCKHQDQZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17224 /* vpunpckhqdq */, X86::VPUNPCKHQDQZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 17224 /* vpunpckhqdq */, X86::VPUNPCKHQDQZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 17224 /* vpunpckhqdq */, X86::VPUNPCKHQDQZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 17224 /* vpunpckhqdq */, X86::VPUNPCKHQDQZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 17224 /* vpunpckhqdq */, X86::VPUNPCKHQDQZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 17224 /* vpunpckhqdq */, X86::VPUNPCKHQDQZ128rmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 17224 /* vpunpckhqdq */, X86::VPUNPCKHQDQZ256rmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 17224 /* vpunpckhqdq */, X86::VPUNPCKHQDQZrmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 17224 /* vpunpckhqdq */, X86::VPUNPCKHQDQZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 17224 /* vpunpckhqdq */, X86::VPUNPCKHQDQZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 17224 /* vpunpckhqdq */, X86::VPUNPCKHQDQZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 17224 /* vpunpckhqdq */, X86::VPUNPCKHQDQZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 17224 /* vpunpckhqdq */, X86::VPUNPCKHQDQZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 17224 /* vpunpckhqdq */, X86::VPUNPCKHQDQZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 17224 /* vpunpckhqdq */, X86::VPUNPCKHQDQZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 17224 /* vpunpckhqdq */, X86::VPUNPCKHQDQZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 17224 /* vpunpckhqdq */, X86::VPUNPCKHQDQZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 17224 /* vpunpckhqdq */, X86::VPUNPCKHQDQZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 17224 /* vpunpckhqdq */, X86::VPUNPCKHQDQZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 17224 /* vpunpckhqdq */, X86::VPUNPCKHQDQZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 17224 /* vpunpckhqdq */, X86::VPUNPCKHQDQZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 17224 /* vpunpckhqdq */, X86::VPUNPCKHQDQZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 17224 /* vpunpckhqdq */, X86::VPUNPCKHQDQZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 17224 /* vpunpckhqdq */, X86::VPUNPCKHQDQZ128rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 17224 /* vpunpckhqdq */, X86::VPUNPCKHQDQZ256rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 17224 /* vpunpckhqdq */, X86::VPUNPCKHQDQZrmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 17236 /* vpunpckhwd */, X86::VPUNPCKHWDrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 17236 /* vpunpckhwd */, X86::VPUNPCKHWDrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 17236 /* vpunpckhwd */, X86::VPUNPCKHWDYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 17236 /* vpunpckhwd */, X86::VPUNPCKHWDYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 17236 /* vpunpckhwd */, X86::VPUNPCKHWDZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17236 /* vpunpckhwd */, X86::VPUNPCKHWDZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 17236 /* vpunpckhwd */, X86::VPUNPCKHWDZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 17236 /* vpunpckhwd */, X86::VPUNPCKHWDZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 17236 /* vpunpckhwd */, X86::VPUNPCKHWDZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 17236 /* vpunpckhwd */, X86::VPUNPCKHWDZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 17236 /* vpunpckhwd */, X86::VPUNPCKHWDZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 17236 /* vpunpckhwd */, X86::VPUNPCKHWDZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 17236 /* vpunpckhwd */, X86::VPUNPCKHWDZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 17236 /* vpunpckhwd */, X86::VPUNPCKHWDZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 17236 /* vpunpckhwd */, X86::VPUNPCKHWDZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 17236 /* vpunpckhwd */, X86::VPUNPCKHWDZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 17236 /* vpunpckhwd */, X86::VPUNPCKHWDZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 17236 /* vpunpckhwd */, X86::VPUNPCKHWDZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 17236 /* vpunpckhwd */, X86::VPUNPCKHWDZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 17236 /* vpunpckhwd */, X86::VPUNPCKHWDZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 17236 /* vpunpckhwd */, X86::VPUNPCKHWDZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 17236 /* vpunpckhwd */, X86::VPUNPCKHWDZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 17247 /* vpunpcklbw */, X86::VPUNPCKLBWrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 17247 /* vpunpcklbw */, X86::VPUNPCKLBWrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 17247 /* vpunpcklbw */, X86::VPUNPCKLBWYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 17247 /* vpunpcklbw */, X86::VPUNPCKLBWYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 17247 /* vpunpcklbw */, X86::VPUNPCKLBWZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17247 /* vpunpcklbw */, X86::VPUNPCKLBWZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 17247 /* vpunpcklbw */, X86::VPUNPCKLBWZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 17247 /* vpunpcklbw */, X86::VPUNPCKLBWZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 17247 /* vpunpcklbw */, X86::VPUNPCKLBWZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 17247 /* vpunpcklbw */, X86::VPUNPCKLBWZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 17247 /* vpunpcklbw */, X86::VPUNPCKLBWZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 17247 /* vpunpcklbw */, X86::VPUNPCKLBWZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 17247 /* vpunpcklbw */, X86::VPUNPCKLBWZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 17247 /* vpunpcklbw */, X86::VPUNPCKLBWZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 17247 /* vpunpcklbw */, X86::VPUNPCKLBWZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 17247 /* vpunpcklbw */, X86::VPUNPCKLBWZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 17247 /* vpunpcklbw */, X86::VPUNPCKLBWZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 17247 /* vpunpcklbw */, X86::VPUNPCKLBWZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 17247 /* vpunpcklbw */, X86::VPUNPCKLBWZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 17247 /* vpunpcklbw */, X86::VPUNPCKLBWZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 17247 /* vpunpcklbw */, X86::VPUNPCKLBWZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 17247 /* vpunpcklbw */, X86::VPUNPCKLBWZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 17258 /* vpunpckldq */, X86::VPUNPCKLDQrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 17258 /* vpunpckldq */, X86::VPUNPCKLDQrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 17258 /* vpunpckldq */, X86::VPUNPCKLDQYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 17258 /* vpunpckldq */, X86::VPUNPCKLDQYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 17258 /* vpunpckldq */, X86::VPUNPCKLDQZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17258 /* vpunpckldq */, X86::VPUNPCKLDQZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 17258 /* vpunpckldq */, X86::VPUNPCKLDQZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 17258 /* vpunpckldq */, X86::VPUNPCKLDQZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 17258 /* vpunpckldq */, X86::VPUNPCKLDQZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 17258 /* vpunpckldq */, X86::VPUNPCKLDQZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 17258 /* vpunpckldq */, X86::VPUNPCKLDQZ128rmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 17258 /* vpunpckldq */, X86::VPUNPCKLDQZ256rmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 17258 /* vpunpckldq */, X86::VPUNPCKLDQZrmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 17258 /* vpunpckldq */, X86::VPUNPCKLDQZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 17258 /* vpunpckldq */, X86::VPUNPCKLDQZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 17258 /* vpunpckldq */, X86::VPUNPCKLDQZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 17258 /* vpunpckldq */, X86::VPUNPCKLDQZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 17258 /* vpunpckldq */, X86::VPUNPCKLDQZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 17258 /* vpunpckldq */, X86::VPUNPCKLDQZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 17258 /* vpunpckldq */, X86::VPUNPCKLDQZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 17258 /* vpunpckldq */, X86::VPUNPCKLDQZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 17258 /* vpunpckldq */, X86::VPUNPCKLDQZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 17258 /* vpunpckldq */, X86::VPUNPCKLDQZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 17258 /* vpunpckldq */, X86::VPUNPCKLDQZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 17258 /* vpunpckldq */, X86::VPUNPCKLDQZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 17258 /* vpunpckldq */, X86::VPUNPCKLDQZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 17258 /* vpunpckldq */, X86::VPUNPCKLDQZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 17258 /* vpunpckldq */, X86::VPUNPCKLDQZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 17258 /* vpunpckldq */, X86::VPUNPCKLDQZ128rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 17258 /* vpunpckldq */, X86::VPUNPCKLDQZ256rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 17258 /* vpunpckldq */, X86::VPUNPCKLDQZrmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 17269 /* vpunpcklqdq */, X86::VPUNPCKLQDQrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 17269 /* vpunpcklqdq */, X86::VPUNPCKLQDQrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 17269 /* vpunpcklqdq */, X86::VPUNPCKLQDQYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 17269 /* vpunpcklqdq */, X86::VPUNPCKLQDQYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 17269 /* vpunpcklqdq */, X86::VPUNPCKLQDQZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17269 /* vpunpcklqdq */, X86::VPUNPCKLQDQZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 17269 /* vpunpcklqdq */, X86::VPUNPCKLQDQZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 17269 /* vpunpcklqdq */, X86::VPUNPCKLQDQZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 17269 /* vpunpcklqdq */, X86::VPUNPCKLQDQZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 17269 /* vpunpcklqdq */, X86::VPUNPCKLQDQZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 17269 /* vpunpcklqdq */, X86::VPUNPCKLQDQZ128rmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 17269 /* vpunpcklqdq */, X86::VPUNPCKLQDQZ256rmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 17269 /* vpunpcklqdq */, X86::VPUNPCKLQDQZrmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 17269 /* vpunpcklqdq */, X86::VPUNPCKLQDQZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 17269 /* vpunpcklqdq */, X86::VPUNPCKLQDQZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 17269 /* vpunpcklqdq */, X86::VPUNPCKLQDQZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 17269 /* vpunpcklqdq */, X86::VPUNPCKLQDQZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 17269 /* vpunpcklqdq */, X86::VPUNPCKLQDQZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 17269 /* vpunpcklqdq */, X86::VPUNPCKLQDQZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 17269 /* vpunpcklqdq */, X86::VPUNPCKLQDQZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 17269 /* vpunpcklqdq */, X86::VPUNPCKLQDQZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 17269 /* vpunpcklqdq */, X86::VPUNPCKLQDQZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 17269 /* vpunpcklqdq */, X86::VPUNPCKLQDQZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 17269 /* vpunpcklqdq */, X86::VPUNPCKLQDQZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 17269 /* vpunpcklqdq */, X86::VPUNPCKLQDQZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 17269 /* vpunpcklqdq */, X86::VPUNPCKLQDQZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 17269 /* vpunpcklqdq */, X86::VPUNPCKLQDQZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 17269 /* vpunpcklqdq */, X86::VPUNPCKLQDQZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 17269 /* vpunpcklqdq */, X86::VPUNPCKLQDQZ128rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 17269 /* vpunpcklqdq */, X86::VPUNPCKLQDQZ256rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 17269 /* vpunpcklqdq */, X86::VPUNPCKLQDQZrmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 17281 /* vpunpcklwd */, X86::VPUNPCKLWDrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 17281 /* vpunpcklwd */, X86::VPUNPCKLWDrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 17281 /* vpunpcklwd */, X86::VPUNPCKLWDYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 17281 /* vpunpcklwd */, X86::VPUNPCKLWDYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 17281 /* vpunpcklwd */, X86::VPUNPCKLWDZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17281 /* vpunpcklwd */, X86::VPUNPCKLWDZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 17281 /* vpunpcklwd */, X86::VPUNPCKLWDZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 17281 /* vpunpcklwd */, X86::VPUNPCKLWDZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 17281 /* vpunpcklwd */, X86::VPUNPCKLWDZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 17281 /* vpunpcklwd */, X86::VPUNPCKLWDZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 17281 /* vpunpcklwd */, X86::VPUNPCKLWDZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 17281 /* vpunpcklwd */, X86::VPUNPCKLWDZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 17281 /* vpunpcklwd */, X86::VPUNPCKLWDZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 17281 /* vpunpcklwd */, X86::VPUNPCKLWDZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 17281 /* vpunpcklwd */, X86::VPUNPCKLWDZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 17281 /* vpunpcklwd */, X86::VPUNPCKLWDZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 17281 /* vpunpcklwd */, X86::VPUNPCKLWDZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 17281 /* vpunpcklwd */, X86::VPUNPCKLWDZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 17281 /* vpunpcklwd */, X86::VPUNPCKLWDZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 17281 /* vpunpcklwd */, X86::VPUNPCKLWDZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 17281 /* vpunpcklwd */, X86::VPUNPCKLWDZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 17281 /* vpunpcklwd */, X86::VPUNPCKLWDZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 17292 /* vpxor */, X86::VPXORrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 17292 /* vpxor */, X86::VPXORrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 17292 /* vpxor */, X86::VPXORYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 17292 /* vpxor */, X86::VPXORYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 17298 /* vpxord */, X86::VPXORDZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17298 /* vpxord */, X86::VPXORDZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 17298 /* vpxord */, X86::VPXORDZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 17298 /* vpxord */, X86::VPXORDZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 17298 /* vpxord */, X86::VPXORDZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 17298 /* vpxord */, X86::VPXORDZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 17298 /* vpxord */, X86::VPXORDZ128rmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 17298 /* vpxord */, X86::VPXORDZ256rmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 17298 /* vpxord */, X86::VPXORDZrmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 17298 /* vpxord */, X86::VPXORDZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 17298 /* vpxord */, X86::VPXORDZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 17298 /* vpxord */, X86::VPXORDZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 17298 /* vpxord */, X86::VPXORDZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 17298 /* vpxord */, X86::VPXORDZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 17298 /* vpxord */, X86::VPXORDZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 17298 /* vpxord */, X86::VPXORDZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 17298 /* vpxord */, X86::VPXORDZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 17298 /* vpxord */, X86::VPXORDZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 17298 /* vpxord */, X86::VPXORDZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 17298 /* vpxord */, X86::VPXORDZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 17298 /* vpxord */, X86::VPXORDZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 17298 /* vpxord */, X86::VPXORDZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 17298 /* vpxord */, X86::VPXORDZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 17298 /* vpxord */, X86::VPXORDZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 17298 /* vpxord */, X86::VPXORDZ128rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 17298 /* vpxord */, X86::VPXORDZ256rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 17298 /* vpxord */, X86::VPXORDZrmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 17305 /* vpxorq */, X86::VPXORQZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17305 /* vpxorq */, X86::VPXORQZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 17305 /* vpxorq */, X86::VPXORQZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 17305 /* vpxorq */, X86::VPXORQZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 17305 /* vpxorq */, X86::VPXORQZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 17305 /* vpxorq */, X86::VPXORQZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 17305 /* vpxorq */, X86::VPXORQZ128rmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 17305 /* vpxorq */, X86::VPXORQZ256rmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 17305 /* vpxorq */, X86::VPXORQZrmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 17305 /* vpxorq */, X86::VPXORQZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 17305 /* vpxorq */, X86::VPXORQZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 17305 /* vpxorq */, X86::VPXORQZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 17305 /* vpxorq */, X86::VPXORQZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 17305 /* vpxorq */, X86::VPXORQZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 17305 /* vpxorq */, X86::VPXORQZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 17305 /* vpxorq */, X86::VPXORQZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 17305 /* vpxorq */, X86::VPXORQZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 17305 /* vpxorq */, X86::VPXORQZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 17305 /* vpxorq */, X86::VPXORQZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 17305 /* vpxorq */, X86::VPXORQZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 17305 /* vpxorq */, X86::VPXORQZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 17305 /* vpxorq */, X86::VPXORQZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 17305 /* vpxorq */, X86::VPXORQZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 17305 /* vpxorq */, X86::VPXORQZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 17305 /* vpxorq */, X86::VPXORQZ128rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 17305 /* vpxorq */, X86::VPXORQZ256rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 17305 /* vpxorq */, X86::VPXORQZrmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 17312 /* vrangepd */, X86::VRANGEPDZ128rri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 17312 /* vrangepd */, X86::VRANGEPDZ128rmi, Convert__Reg1_0__Reg1_1__Mem1285_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 17312 /* vrangepd */, X86::VRANGEPDZ256rri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 17312 /* vrangepd */, X86::VRANGEPDZ256rmi, Convert__Reg1_0__Reg1_1__Mem2565_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 17312 /* vrangepd */, X86::VRANGEPDZrri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 17312 /* vrangepd */, X86::VRANGEPDZrmi, Convert__Reg1_0__Reg1_1__Mem5125_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 17312 /* vrangepd */, X86::VRANGEPDZ128rmbi, Convert__Reg1_0__Reg1_1__Mem645_2__ImmUnsignedi81_4, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_, MCK_ImmUnsignedi8 }, },
{ 17312 /* vrangepd */, X86::VRANGEPDZ256rmbi, Convert__Reg1_0__Reg1_1__Mem645_2__ImmUnsignedi81_4, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 17312 /* vrangepd */, X86::VRANGEPDZrrib, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_4, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_sae_125_, MCK_ImmUnsignedi8 }, },
{ 17312 /* vrangepd */, X86::VRANGEPDZrmbi, Convert__Reg1_0__Reg1_1__Mem645_2__ImmUnsignedi81_4, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 17312 /* vrangepd */, X86::VRANGEPDZ128rrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 17312 /* vrangepd */, X86::VRANGEPDZ128rmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 17312 /* vrangepd */, X86::VRANGEPDZ256rrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 17312 /* vrangepd */, X86::VRANGEPDZ256rmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 17312 /* vrangepd */, X86::VRANGEPDZrrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 17312 /* vrangepd */, X86::VRANGEPDZrmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 17312 /* vrangepd */, X86::VRANGEPDZ128rrikz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 17312 /* vrangepd */, X86::VRANGEPDZ128rmikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 17312 /* vrangepd */, X86::VRANGEPDZ128rmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_, MCK_ImmUnsignedi8 }, },
{ 17312 /* vrangepd */, X86::VRANGEPDZ256rrikz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 17312 /* vrangepd */, X86::VRANGEPDZ256rmikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 17312 /* vrangepd */, X86::VRANGEPDZ256rmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 17312 /* vrangepd */, X86::VRANGEPDZrrikz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 17312 /* vrangepd */, X86::VRANGEPDZrmikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 17312 /* vrangepd */, X86::VRANGEPDZrribk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK__123_sae_125_, MCK_ImmUnsignedi8 }, },
{ 17312 /* vrangepd */, X86::VRANGEPDZrmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 17312 /* vrangepd */, X86::VRANGEPDZ128rmbikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6__ImmUnsignedi81_8, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_, MCK_ImmUnsignedi8 }, },
{ 17312 /* vrangepd */, X86::VRANGEPDZ256rmbikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6__ImmUnsignedi81_8, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 17312 /* vrangepd */, X86::VRANGEPDZrribkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_8, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK__123_sae_125_, MCK_ImmUnsignedi8 }, },
{ 17312 /* vrangepd */, X86::VRANGEPDZrmbikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6__ImmUnsignedi81_8, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 17321 /* vrangeps */, X86::VRANGEPSZ128rri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 17321 /* vrangeps */, X86::VRANGEPSZ128rmi, Convert__Reg1_0__Reg1_1__Mem1285_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 17321 /* vrangeps */, X86::VRANGEPSZ256rri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 17321 /* vrangeps */, X86::VRANGEPSZ256rmi, Convert__Reg1_0__Reg1_1__Mem2565_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 17321 /* vrangeps */, X86::VRANGEPSZrri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 17321 /* vrangeps */, X86::VRANGEPSZrmi, Convert__Reg1_0__Reg1_1__Mem5125_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 17321 /* vrangeps */, X86::VRANGEPSZ128rmbi, Convert__Reg1_0__Reg1_1__Mem325_2__ImmUnsignedi81_4, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 17321 /* vrangeps */, X86::VRANGEPSZ256rmbi, Convert__Reg1_0__Reg1_1__Mem325_2__ImmUnsignedi81_4, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 17321 /* vrangeps */, X86::VRANGEPSZrrib, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_4, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK__123_sae_125_, MCK_ImmUnsignedi8 }, },
{ 17321 /* vrangeps */, X86::VRANGEPSZrmbi, Convert__Reg1_0__Reg1_1__Mem325_2__ImmUnsignedi81_4, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_, MCK_ImmUnsignedi8 }, },
{ 17321 /* vrangeps */, X86::VRANGEPSZ128rrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 17321 /* vrangeps */, X86::VRANGEPSZ128rmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 17321 /* vrangeps */, X86::VRANGEPSZ256rrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 17321 /* vrangeps */, X86::VRANGEPSZ256rmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 17321 /* vrangeps */, X86::VRANGEPSZrrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 17321 /* vrangeps */, X86::VRANGEPSZrmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 17321 /* vrangeps */, X86::VRANGEPSZ128rrikz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 17321 /* vrangeps */, X86::VRANGEPSZ128rmikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 17321 /* vrangeps */, X86::VRANGEPSZ128rmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 17321 /* vrangeps */, X86::VRANGEPSZ256rrikz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 17321 /* vrangeps */, X86::VRANGEPSZ256rmikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 17321 /* vrangeps */, X86::VRANGEPSZ256rmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 17321 /* vrangeps */, X86::VRANGEPSZrrikz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 17321 /* vrangeps */, X86::VRANGEPSZrmikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 17321 /* vrangeps */, X86::VRANGEPSZrribk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK__123_sae_125_, MCK_ImmUnsignedi8 }, },
{ 17321 /* vrangeps */, X86::VRANGEPSZrmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_, MCK_ImmUnsignedi8 }, },
{ 17321 /* vrangeps */, X86::VRANGEPSZ128rmbikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6__ImmUnsignedi81_8, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 17321 /* vrangeps */, X86::VRANGEPSZ256rmbikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6__ImmUnsignedi81_8, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 17321 /* vrangeps */, X86::VRANGEPSZrribkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_8, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK__123_sae_125_, MCK_ImmUnsignedi8 }, },
{ 17321 /* vrangeps */, X86::VRANGEPSZrmbikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6__ImmUnsignedi81_8, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_, MCK_ImmUnsignedi8 }, },
{ 17330 /* vrangesd */, X86::VRANGESDZrri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 17330 /* vrangesd */, X86::VRANGESDZrmi, Convert__Reg1_0__Reg1_1__Mem645_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64, MCK_ImmUnsignedi8 }, },
{ 17330 /* vrangesd */, X86::VRANGESDZrrib, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_4, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_sae_125_, MCK_ImmUnsignedi8 }, },
{ 17330 /* vrangesd */, X86::VRANGESDZrrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 17330 /* vrangesd */, X86::VRANGESDZrmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64, MCK_ImmUnsignedi8 }, },
{ 17330 /* vrangesd */, X86::VRANGESDZrrikz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 17330 /* vrangesd */, X86::VRANGESDZrmikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64, MCK_ImmUnsignedi8 }, },
{ 17330 /* vrangesd */, X86::VRANGESDZrribk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK__123_sae_125_, MCK_ImmUnsignedi8 }, },
{ 17330 /* vrangesd */, X86::VRANGESDZrribkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_8, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK__123_sae_125_, MCK_ImmUnsignedi8 }, },
{ 17339 /* vrangess */, X86::VRANGESSZrri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 17339 /* vrangess */, X86::VRANGESSZrmi, Convert__Reg1_0__Reg1_1__Mem325_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32, MCK_ImmUnsignedi8 }, },
{ 17339 /* vrangess */, X86::VRANGESSZrrib, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_4, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_sae_125_, MCK_ImmUnsignedi8 }, },
{ 17339 /* vrangess */, X86::VRANGESSZrrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 17339 /* vrangess */, X86::VRANGESSZrmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32, MCK_ImmUnsignedi8 }, },
{ 17339 /* vrangess */, X86::VRANGESSZrrikz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 17339 /* vrangess */, X86::VRANGESSZrmikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32, MCK_ImmUnsignedi8 }, },
{ 17339 /* vrangess */, X86::VRANGESSZrribk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK__123_sae_125_, MCK_ImmUnsignedi8 }, },
{ 17339 /* vrangess */, X86::VRANGESSZrribkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_8, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK__123_sae_125_, MCK_ImmUnsignedi8 }, },
{ 17348 /* vrcp14pd */, X86::VRCP14PDZ128r, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 17348 /* vrcp14pd */, X86::VRCP14PDZ128m, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16X, MCK_Mem128 }, },
{ 17348 /* vrcp14pd */, X86::VRCP14PDZ256r, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 17348 /* vrcp14pd */, X86::VRCP14PDZ256m, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR256X, MCK_Mem256 }, },
{ 17348 /* vrcp14pd */, X86::VRCP14PDZr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 17348 /* vrcp14pd */, X86::VRCP14PDZm, Convert__Reg1_0__Mem5125_1, AMFBS_None, { MCK_VR512, MCK_Mem512 }, },
{ 17348 /* vrcp14pd */, X86::VRCP14PDZ128mb, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 17348 /* vrcp14pd */, X86::VRCP14PDZ256mb, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 17348 /* vrcp14pd */, X86::VRCP14PDZmb, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 17348 /* vrcp14pd */, X86::VRCP14PDZ128rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 17348 /* vrcp14pd */, X86::VRCP14PDZ128mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 17348 /* vrcp14pd */, X86::VRCP14PDZ256rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 17348 /* vrcp14pd */, X86::VRCP14PDZ256mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 17348 /* vrcp14pd */, X86::VRCP14PDZrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 17348 /* vrcp14pd */, X86::VRCP14PDZmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512 }, },
{ 17348 /* vrcp14pd */, X86::VRCP14PDZ128rkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 17348 /* vrcp14pd */, X86::VRCP14PDZ128mkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 17348 /* vrcp14pd */, X86::VRCP14PDZ128mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 17348 /* vrcp14pd */, X86::VRCP14PDZ256rkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 17348 /* vrcp14pd */, X86::VRCP14PDZ256mkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 17348 /* vrcp14pd */, X86::VRCP14PDZ256mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 17348 /* vrcp14pd */, X86::VRCP14PDZrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 17348 /* vrcp14pd */, X86::VRCP14PDZmkz, Convert__Reg1_0__Reg1_2__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512 }, },
{ 17348 /* vrcp14pd */, X86::VRCP14PDZmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 17348 /* vrcp14pd */, X86::VRCP14PDZ128mbkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 17348 /* vrcp14pd */, X86::VRCP14PDZ256mbkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 17348 /* vrcp14pd */, X86::VRCP14PDZmbkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 17357 /* vrcp14ps */, X86::VRCP14PSZ128r, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 17357 /* vrcp14ps */, X86::VRCP14PSZ128m, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16X, MCK_Mem128 }, },
{ 17357 /* vrcp14ps */, X86::VRCP14PSZ256r, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 17357 /* vrcp14ps */, X86::VRCP14PSZ256m, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR256X, MCK_Mem256 }, },
{ 17357 /* vrcp14ps */, X86::VRCP14PSZr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 17357 /* vrcp14ps */, X86::VRCP14PSZm, Convert__Reg1_0__Mem5125_1, AMFBS_None, { MCK_VR512, MCK_Mem512 }, },
{ 17357 /* vrcp14ps */, X86::VRCP14PSZ128mb, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 17357 /* vrcp14ps */, X86::VRCP14PSZ256mb, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 17357 /* vrcp14ps */, X86::VRCP14PSZmb, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 17357 /* vrcp14ps */, X86::VRCP14PSZ128rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 17357 /* vrcp14ps */, X86::VRCP14PSZ128mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 17357 /* vrcp14ps */, X86::VRCP14PSZ256rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 17357 /* vrcp14ps */, X86::VRCP14PSZ256mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 17357 /* vrcp14ps */, X86::VRCP14PSZrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 17357 /* vrcp14ps */, X86::VRCP14PSZmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512 }, },
{ 17357 /* vrcp14ps */, X86::VRCP14PSZ128rkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 17357 /* vrcp14ps */, X86::VRCP14PSZ128mkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 17357 /* vrcp14ps */, X86::VRCP14PSZ128mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 17357 /* vrcp14ps */, X86::VRCP14PSZ256rkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 17357 /* vrcp14ps */, X86::VRCP14PSZ256mkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 17357 /* vrcp14ps */, X86::VRCP14PSZ256mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 17357 /* vrcp14ps */, X86::VRCP14PSZrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 17357 /* vrcp14ps */, X86::VRCP14PSZmkz, Convert__Reg1_0__Reg1_2__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512 }, },
{ 17357 /* vrcp14ps */, X86::VRCP14PSZmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 17357 /* vrcp14ps */, X86::VRCP14PSZ128mbkz, Convert__Reg1_0__Reg1_2__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 17357 /* vrcp14ps */, X86::VRCP14PSZ256mbkz, Convert__Reg1_0__Reg1_2__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 17357 /* vrcp14ps */, X86::VRCP14PSZmbkz, Convert__Reg1_0__Reg1_2__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 17366 /* vrcp14sd */, X86::VRCP14SDZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17366 /* vrcp14sd */, X86::VRCP14SDZrm, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64 }, },
{ 17366 /* vrcp14sd */, X86::VRCP14SDZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 17366 /* vrcp14sd */, X86::VRCP14SDZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64 }, },
{ 17366 /* vrcp14sd */, X86::VRCP14SDZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 17366 /* vrcp14sd */, X86::VRCP14SDZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64 }, },
{ 17375 /* vrcp14ss */, X86::VRCP14SSZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17375 /* vrcp14ss */, X86::VRCP14SSZrm, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32 }, },
{ 17375 /* vrcp14ss */, X86::VRCP14SSZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 17375 /* vrcp14ss */, X86::VRCP14SSZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32 }, },
{ 17375 /* vrcp14ss */, X86::VRCP14SSZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 17375 /* vrcp14ss */, X86::VRCP14SSZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32 }, },
{ 17384 /* vrcp28pd */, X86::VRCP28PDZr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 17384 /* vrcp28pd */, X86::VRCP28PDZm, Convert__Reg1_0__Mem5125_1, AMFBS_None, { MCK_VR512, MCK_Mem512 }, },
{ 17384 /* vrcp28pd */, X86::VRCP28PDZrb, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_sae_125_ }, },
{ 17384 /* vrcp28pd */, X86::VRCP28PDZmb, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 17384 /* vrcp28pd */, X86::VRCP28PDZrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 17384 /* vrcp28pd */, X86::VRCP28PDZmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512 }, },
{ 17384 /* vrcp28pd */, X86::VRCP28PDZrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 17384 /* vrcp28pd */, X86::VRCP28PDZmkz, Convert__Reg1_0__Reg1_2__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512 }, },
{ 17384 /* vrcp28pd */, X86::VRCP28PDZrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK__123_sae_125_ }, },
{ 17384 /* vrcp28pd */, X86::VRCP28PDZmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 17384 /* vrcp28pd */, X86::VRCP28PDZrbkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK__123_sae_125_ }, },
{ 17384 /* vrcp28pd */, X86::VRCP28PDZmbkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 17393 /* vrcp28ps */, X86::VRCP28PSZr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 17393 /* vrcp28ps */, X86::VRCP28PSZm, Convert__Reg1_0__Mem5125_1, AMFBS_None, { MCK_VR512, MCK_Mem512 }, },
{ 17393 /* vrcp28ps */, X86::VRCP28PSZrb, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_sae_125_ }, },
{ 17393 /* vrcp28ps */, X86::VRCP28PSZmb, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 17393 /* vrcp28ps */, X86::VRCP28PSZrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 17393 /* vrcp28ps */, X86::VRCP28PSZmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512 }, },
{ 17393 /* vrcp28ps */, X86::VRCP28PSZrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 17393 /* vrcp28ps */, X86::VRCP28PSZmkz, Convert__Reg1_0__Reg1_2__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512 }, },
{ 17393 /* vrcp28ps */, X86::VRCP28PSZrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK__123_sae_125_ }, },
{ 17393 /* vrcp28ps */, X86::VRCP28PSZmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 17393 /* vrcp28ps */, X86::VRCP28PSZrbkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK__123_sae_125_ }, },
{ 17393 /* vrcp28ps */, X86::VRCP28PSZmbkz, Convert__Reg1_0__Reg1_2__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 17402 /* vrcp28sd */, X86::VRCP28SDZr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17402 /* vrcp28sd */, X86::VRCP28SDZm, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64 }, },
{ 17402 /* vrcp28sd */, X86::VRCP28SDZrb, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_sae_125_ }, },
{ 17402 /* vrcp28sd */, X86::VRCP28SDZrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 17402 /* vrcp28sd */, X86::VRCP28SDZmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64 }, },
{ 17402 /* vrcp28sd */, X86::VRCP28SDZrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 17402 /* vrcp28sd */, X86::VRCP28SDZmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64 }, },
{ 17402 /* vrcp28sd */, X86::VRCP28SDZrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK__123_sae_125_ }, },
{ 17402 /* vrcp28sd */, X86::VRCP28SDZrbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK__123_sae_125_ }, },
{ 17411 /* vrcp28ss */, X86::VRCP28SSZr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17411 /* vrcp28ss */, X86::VRCP28SSZm, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32 }, },
{ 17411 /* vrcp28ss */, X86::VRCP28SSZrb, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_sae_125_ }, },
{ 17411 /* vrcp28ss */, X86::VRCP28SSZrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 17411 /* vrcp28ss */, X86::VRCP28SSZmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32 }, },
{ 17411 /* vrcp28ss */, X86::VRCP28SSZrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 17411 /* vrcp28ss */, X86::VRCP28SSZmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32 }, },
{ 17411 /* vrcp28ss */, X86::VRCP28SSZrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK__123_sae_125_ }, },
{ 17411 /* vrcp28ss */, X86::VRCP28SSZrbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK__123_sae_125_ }, },
{ 17420 /* vrcpph */, X86::VRCPPHZ128r, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 17420 /* vrcpph */, X86::VRCPPHZ128m, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16X, MCK_Mem128 }, },
{ 17420 /* vrcpph */, X86::VRCPPHZ256r, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 17420 /* vrcpph */, X86::VRCPPHZ256m, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR256X, MCK_Mem256 }, },
{ 17420 /* vrcpph */, X86::VRCPPHZr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 17420 /* vrcpph */, X86::VRCPPHZm, Convert__Reg1_0__Mem5125_1, AMFBS_None, { MCK_VR512, MCK_Mem512 }, },
{ 17420 /* vrcpph */, X86::VRCPPHZ128mb, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_FR16X, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 17420 /* vrcpph */, X86::VRCPPHZ256mb, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_VR256X, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 17420 /* vrcpph */, X86::VRCPPHZmb, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_VR512, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 17420 /* vrcpph */, X86::VRCPPHZ128rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 17420 /* vrcpph */, X86::VRCPPHZ128mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 17420 /* vrcpph */, X86::VRCPPHZ256rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 17420 /* vrcpph */, X86::VRCPPHZ256mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 17420 /* vrcpph */, X86::VRCPPHZrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 17420 /* vrcpph */, X86::VRCPPHZmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512 }, },
{ 17420 /* vrcpph */, X86::VRCPPHZ128rkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 17420 /* vrcpph */, X86::VRCPPHZ128mkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 17420 /* vrcpph */, X86::VRCPPHZ128mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem165_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 17420 /* vrcpph */, X86::VRCPPHZ256rkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 17420 /* vrcpph */, X86::VRCPPHZ256mkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 17420 /* vrcpph */, X86::VRCPPHZ256mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem165_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 17420 /* vrcpph */, X86::VRCPPHZrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 17420 /* vrcpph */, X86::VRCPPHZmkz, Convert__Reg1_0__Reg1_2__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512 }, },
{ 17420 /* vrcpph */, X86::VRCPPHZmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem165_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 17420 /* vrcpph */, X86::VRCPPHZ128mbkz, Convert__Reg1_0__Reg1_2__Mem165_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 17420 /* vrcpph */, X86::VRCPPHZ256mbkz, Convert__Reg1_0__Reg1_2__Mem165_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 17420 /* vrcpph */, X86::VRCPPHZmbkz, Convert__Reg1_0__Reg1_2__Mem165_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 17427 /* vrcpps */, X86::VRCPPSr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 17427 /* vrcpps */, X86::VRCPPSm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 17427 /* vrcpps */, X86::VRCPPSYr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256, MCK_VR256 }, },
{ 17427 /* vrcpps */, X86::VRCPPSYm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR256, MCK_Mem256 }, },
{ 17434 /* vrcpsh */, X86::VRCPSHZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17434 /* vrcpsh */, X86::VRCPSHZrm, Convert__Reg1_0__Reg1_1__Mem165_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem16 }, },
{ 17434 /* vrcpsh */, X86::VRCPSHZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 17434 /* vrcpsh */, X86::VRCPSHZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem16 }, },
{ 17434 /* vrcpsh */, X86::VRCPSHZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 17434 /* vrcpsh */, X86::VRCPSHZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem16 }, },
{ 17441 /* vrcpss */, X86::VRCPSSr_Int, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 17441 /* vrcpss */, X86::VRCPSSm_Int, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem32 }, },
{ 17448 /* vreducepd */, X86::VREDUCEPDZ128rri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 17448 /* vreducepd */, X86::VREDUCEPDZ128rmi, Convert__Reg1_0__Mem1285_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 17448 /* vreducepd */, X86::VREDUCEPDZ256rri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 17448 /* vreducepd */, X86::VREDUCEPDZ256rmi, Convert__Reg1_0__Mem2565_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 17448 /* vreducepd */, X86::VREDUCEPDZrri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 17448 /* vreducepd */, X86::VREDUCEPDZrmi, Convert__Reg1_0__Mem5125_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 17448 /* vreducepd */, X86::VREDUCEPDZ128rmbi, Convert__Reg1_0__Mem645_1__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_, MCK_ImmUnsignedi8 }, },
{ 17448 /* vreducepd */, X86::VREDUCEPDZ256rmbi, Convert__Reg1_0__Mem645_1__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 17448 /* vreducepd */, X86::VREDUCEPDZrrib, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_sae_125_, MCK_ImmUnsignedi8 }, },
{ 17448 /* vreducepd */, X86::VREDUCEPDZrmbi, Convert__Reg1_0__Mem645_1__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_Mem64, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 17448 /* vreducepd */, X86::VREDUCEPDZ128rrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 17448 /* vreducepd */, X86::VREDUCEPDZ128rmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4__ImmUnsignedi81_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 17448 /* vreducepd */, X86::VREDUCEPDZ256rrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 17448 /* vreducepd */, X86::VREDUCEPDZ256rmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 17448 /* vreducepd */, X86::VREDUCEPDZrrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 17448 /* vreducepd */, X86::VREDUCEPDZrmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 17448 /* vreducepd */, X86::VREDUCEPDZ128rrikz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 17448 /* vreducepd */, X86::VREDUCEPDZ128rmikz, Convert__Reg1_0__Reg1_2__Mem1285_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 17448 /* vreducepd */, X86::VREDUCEPDZ128rmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to2_125_, MCK_ImmUnsignedi8 }, },
{ 17448 /* vreducepd */, X86::VREDUCEPDZ256rrikz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 17448 /* vreducepd */, X86::VREDUCEPDZ256rmikz, Convert__Reg1_0__Reg1_2__Mem2565_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 17448 /* vreducepd */, X86::VREDUCEPDZ256rmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 17448 /* vreducepd */, X86::VREDUCEPDZrrikz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 17448 /* vreducepd */, X86::VREDUCEPDZrmikz, Convert__Reg1_0__Reg1_2__Mem5125_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 17448 /* vreducepd */, X86::VREDUCEPDZrribk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK__123_sae_125_, MCK_ImmUnsignedi8 }, },
{ 17448 /* vreducepd */, X86::VREDUCEPDZrmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 17448 /* vreducepd */, X86::VREDUCEPDZ128rmbikz, Convert__Reg1_0__Reg1_2__Mem645_5__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to2_125_, MCK_ImmUnsignedi8 }, },
{ 17448 /* vreducepd */, X86::VREDUCEPDZ256rmbikz, Convert__Reg1_0__Reg1_2__Mem645_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 17448 /* vreducepd */, X86::VREDUCEPDZrribkz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK__123_sae_125_, MCK_ImmUnsignedi8 }, },
{ 17448 /* vreducepd */, X86::VREDUCEPDZrmbikz, Convert__Reg1_0__Reg1_2__Mem645_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 17458 /* vreduceph */, X86::VREDUCEPHZ128rri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 17458 /* vreduceph */, X86::VREDUCEPHZ128rmi, Convert__Reg1_0__Mem1285_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 17458 /* vreduceph */, X86::VREDUCEPHZ256rri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 17458 /* vreduceph */, X86::VREDUCEPHZ256rmi, Convert__Reg1_0__Mem2565_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 17458 /* vreduceph */, X86::VREDUCEPHZrri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 17458 /* vreduceph */, X86::VREDUCEPHZrmi, Convert__Reg1_0__Mem5125_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 17458 /* vreduceph */, X86::VREDUCEPHZ128rmbi, Convert__Reg1_0__Mem165_1__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_Mem16, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 17458 /* vreduceph */, X86::VREDUCEPHZ256rmbi, Convert__Reg1_0__Mem165_1__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256X, MCK_Mem16, MCK__123_1to16_125_, MCK_ImmUnsignedi8 }, },
{ 17458 /* vreduceph */, X86::VREDUCEPHZrrib, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_sae_125_, MCK_ImmUnsignedi8 }, },
{ 17458 /* vreduceph */, X86::VREDUCEPHZrmbi, Convert__Reg1_0__Mem165_1__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_Mem16, MCK__123_1to32_125_, MCK_ImmUnsignedi8 }, },
{ 17458 /* vreduceph */, X86::VREDUCEPHZ128rrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 17458 /* vreduceph */, X86::VREDUCEPHZ128rmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4__ImmUnsignedi81_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 17458 /* vreduceph */, X86::VREDUCEPHZ256rrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 17458 /* vreduceph */, X86::VREDUCEPHZ256rmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 17458 /* vreduceph */, X86::VREDUCEPHZrrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 17458 /* vreduceph */, X86::VREDUCEPHZrmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 17458 /* vreduceph */, X86::VREDUCEPHZ128rrikz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 17458 /* vreduceph */, X86::VREDUCEPHZ128rmikz, Convert__Reg1_0__Reg1_2__Mem1285_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 17458 /* vreduceph */, X86::VREDUCEPHZ128rmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem165_4__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem16, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 17458 /* vreduceph */, X86::VREDUCEPHZ256rrikz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 17458 /* vreduceph */, X86::VREDUCEPHZ256rmikz, Convert__Reg1_0__Reg1_2__Mem2565_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 17458 /* vreduceph */, X86::VREDUCEPHZ256rmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem165_4__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem16, MCK__123_1to16_125_, MCK_ImmUnsignedi8 }, },
{ 17458 /* vreduceph */, X86::VREDUCEPHZrrikz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 17458 /* vreduceph */, X86::VREDUCEPHZrmikz, Convert__Reg1_0__Reg1_2__Mem5125_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 17458 /* vreduceph */, X86::VREDUCEPHZrribk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK__123_sae_125_, MCK_ImmUnsignedi8 }, },
{ 17458 /* vreduceph */, X86::VREDUCEPHZrmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem165_4__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem16, MCK__123_1to32_125_, MCK_ImmUnsignedi8 }, },
{ 17458 /* vreduceph */, X86::VREDUCEPHZ128rmbikz, Convert__Reg1_0__Reg1_2__Mem165_5__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem16, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 17458 /* vreduceph */, X86::VREDUCEPHZ256rmbikz, Convert__Reg1_0__Reg1_2__Mem165_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem16, MCK__123_1to16_125_, MCK_ImmUnsignedi8 }, },
{ 17458 /* vreduceph */, X86::VREDUCEPHZrribkz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK__123_sae_125_, MCK_ImmUnsignedi8 }, },
{ 17458 /* vreduceph */, X86::VREDUCEPHZrmbikz, Convert__Reg1_0__Reg1_2__Mem165_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem16, MCK__123_1to32_125_, MCK_ImmUnsignedi8 }, },
{ 17468 /* vreduceps */, X86::VREDUCEPSZ128rri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 17468 /* vreduceps */, X86::VREDUCEPSZ128rmi, Convert__Reg1_0__Mem1285_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 17468 /* vreduceps */, X86::VREDUCEPSZ256rri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 17468 /* vreduceps */, X86::VREDUCEPSZ256rmi, Convert__Reg1_0__Mem2565_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 17468 /* vreduceps */, X86::VREDUCEPSZrri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 17468 /* vreduceps */, X86::VREDUCEPSZrmi, Convert__Reg1_0__Mem5125_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 17468 /* vreduceps */, X86::VREDUCEPSZ128rmbi, Convert__Reg1_0__Mem325_1__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 17468 /* vreduceps */, X86::VREDUCEPSZ256rmbi, Convert__Reg1_0__Mem325_1__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 17468 /* vreduceps */, X86::VREDUCEPSZrrib, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_sae_125_, MCK_ImmUnsignedi8 }, },
{ 17468 /* vreduceps */, X86::VREDUCEPSZrmbi, Convert__Reg1_0__Mem325_1__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_Mem32, MCK__123_1to16_125_, MCK_ImmUnsignedi8 }, },
{ 17468 /* vreduceps */, X86::VREDUCEPSZ128rrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 17468 /* vreduceps */, X86::VREDUCEPSZ128rmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4__ImmUnsignedi81_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 17468 /* vreduceps */, X86::VREDUCEPSZ256rrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 17468 /* vreduceps */, X86::VREDUCEPSZ256rmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 17468 /* vreduceps */, X86::VREDUCEPSZrrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 17468 /* vreduceps */, X86::VREDUCEPSZrmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 17468 /* vreduceps */, X86::VREDUCEPSZ128rrikz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 17468 /* vreduceps */, X86::VREDUCEPSZ128rmikz, Convert__Reg1_0__Reg1_2__Mem1285_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 17468 /* vreduceps */, X86::VREDUCEPSZ128rmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 17468 /* vreduceps */, X86::VREDUCEPSZ256rrikz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 17468 /* vreduceps */, X86::VREDUCEPSZ256rmikz, Convert__Reg1_0__Reg1_2__Mem2565_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 17468 /* vreduceps */, X86::VREDUCEPSZ256rmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 17468 /* vreduceps */, X86::VREDUCEPSZrrikz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 17468 /* vreduceps */, X86::VREDUCEPSZrmikz, Convert__Reg1_0__Reg1_2__Mem5125_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 17468 /* vreduceps */, X86::VREDUCEPSZrribk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK__123_sae_125_, MCK_ImmUnsignedi8 }, },
{ 17468 /* vreduceps */, X86::VREDUCEPSZrmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to16_125_, MCK_ImmUnsignedi8 }, },
{ 17468 /* vreduceps */, X86::VREDUCEPSZ128rmbikz, Convert__Reg1_0__Reg1_2__Mem325_5__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 17468 /* vreduceps */, X86::VREDUCEPSZ256rmbikz, Convert__Reg1_0__Reg1_2__Mem325_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 17468 /* vreduceps */, X86::VREDUCEPSZrribkz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK__123_sae_125_, MCK_ImmUnsignedi8 }, },
{ 17468 /* vreduceps */, X86::VREDUCEPSZrmbikz, Convert__Reg1_0__Reg1_2__Mem325_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to16_125_, MCK_ImmUnsignedi8 }, },
{ 17478 /* vreducesd */, X86::VREDUCESDZrri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 17478 /* vreducesd */, X86::VREDUCESDZrmi, Convert__Reg1_0__Reg1_1__Mem645_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64, MCK_ImmUnsignedi8 }, },
{ 17478 /* vreducesd */, X86::VREDUCESDZrrib, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_4, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_sae_125_, MCK_ImmUnsignedi8 }, },
{ 17478 /* vreducesd */, X86::VREDUCESDZrrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 17478 /* vreducesd */, X86::VREDUCESDZrmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64, MCK_ImmUnsignedi8 }, },
{ 17478 /* vreducesd */, X86::VREDUCESDZrrikz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 17478 /* vreducesd */, X86::VREDUCESDZrmikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64, MCK_ImmUnsignedi8 }, },
{ 17478 /* vreducesd */, X86::VREDUCESDZrribk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK__123_sae_125_, MCK_ImmUnsignedi8 }, },
{ 17478 /* vreducesd */, X86::VREDUCESDZrribkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_8, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK__123_sae_125_, MCK_ImmUnsignedi8 }, },
{ 17488 /* vreducesh */, X86::VREDUCESHZrri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 17488 /* vreducesh */, X86::VREDUCESHZrmi, Convert__Reg1_0__Reg1_1__Mem165_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem16, MCK_ImmUnsignedi8 }, },
{ 17488 /* vreducesh */, X86::VREDUCESHZrrib, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_4, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_sae_125_, MCK_ImmUnsignedi8 }, },
{ 17488 /* vreducesh */, X86::VREDUCESHZrrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 17488 /* vreducesh */, X86::VREDUCESHZrmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem16, MCK_ImmUnsignedi8 }, },
{ 17488 /* vreducesh */, X86::VREDUCESHZrrikz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 17488 /* vreducesh */, X86::VREDUCESHZrmikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem165_6__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem16, MCK_ImmUnsignedi8 }, },
{ 17488 /* vreducesh */, X86::VREDUCESHZrribk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK__123_sae_125_, MCK_ImmUnsignedi8 }, },
{ 17488 /* vreducesh */, X86::VREDUCESHZrribkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_8, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK__123_sae_125_, MCK_ImmUnsignedi8 }, },
{ 17498 /* vreducess */, X86::VREDUCESSZrri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 17498 /* vreducess */, X86::VREDUCESSZrmi, Convert__Reg1_0__Reg1_1__Mem325_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32, MCK_ImmUnsignedi8 }, },
{ 17498 /* vreducess */, X86::VREDUCESSZrrib, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_4, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_sae_125_, MCK_ImmUnsignedi8 }, },
{ 17498 /* vreducess */, X86::VREDUCESSZrrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 17498 /* vreducess */, X86::VREDUCESSZrmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32, MCK_ImmUnsignedi8 }, },
{ 17498 /* vreducess */, X86::VREDUCESSZrrikz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 17498 /* vreducess */, X86::VREDUCESSZrmikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32, MCK_ImmUnsignedi8 }, },
{ 17498 /* vreducess */, X86::VREDUCESSZrribk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK__123_sae_125_, MCK_ImmUnsignedi8 }, },
{ 17498 /* vreducess */, X86::VREDUCESSZrribkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_8, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK__123_sae_125_, MCK_ImmUnsignedi8 }, },
{ 17508 /* vrndscalepd */, X86::VRNDSCALEPDZ128rri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 17508 /* vrndscalepd */, X86::VRNDSCALEPDZ128rmi, Convert__Reg1_0__Mem1285_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 17508 /* vrndscalepd */, X86::VRNDSCALEPDZ256rri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 17508 /* vrndscalepd */, X86::VRNDSCALEPDZ256rmi, Convert__Reg1_0__Mem2565_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 17508 /* vrndscalepd */, X86::VRNDSCALEPDZrri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 17508 /* vrndscalepd */, X86::VRNDSCALEPDZrmi, Convert__Reg1_0__Mem5125_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 17508 /* vrndscalepd */, X86::VRNDSCALEPDZ128rmbi, Convert__Reg1_0__Mem645_1__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_, MCK_ImmUnsignedi8 }, },
{ 17508 /* vrndscalepd */, X86::VRNDSCALEPDZ256rmbi, Convert__Reg1_0__Mem645_1__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 17508 /* vrndscalepd */, X86::VRNDSCALEPDZrrib, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_sae_125_, MCK_ImmUnsignedi8 }, },
{ 17508 /* vrndscalepd */, X86::VRNDSCALEPDZrmbi, Convert__Reg1_0__Mem645_1__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_Mem64, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 17508 /* vrndscalepd */, X86::VRNDSCALEPDZ128rrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 17508 /* vrndscalepd */, X86::VRNDSCALEPDZ128rmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4__ImmUnsignedi81_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 17508 /* vrndscalepd */, X86::VRNDSCALEPDZ256rrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 17508 /* vrndscalepd */, X86::VRNDSCALEPDZ256rmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 17508 /* vrndscalepd */, X86::VRNDSCALEPDZrrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 17508 /* vrndscalepd */, X86::VRNDSCALEPDZrmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 17508 /* vrndscalepd */, X86::VRNDSCALEPDZ128rrikz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 17508 /* vrndscalepd */, X86::VRNDSCALEPDZ128rmikz, Convert__Reg1_0__Reg1_2__Mem1285_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 17508 /* vrndscalepd */, X86::VRNDSCALEPDZ128rmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to2_125_, MCK_ImmUnsignedi8 }, },
{ 17508 /* vrndscalepd */, X86::VRNDSCALEPDZ256rrikz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 17508 /* vrndscalepd */, X86::VRNDSCALEPDZ256rmikz, Convert__Reg1_0__Reg1_2__Mem2565_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 17508 /* vrndscalepd */, X86::VRNDSCALEPDZ256rmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 17508 /* vrndscalepd */, X86::VRNDSCALEPDZrrikz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 17508 /* vrndscalepd */, X86::VRNDSCALEPDZrmikz, Convert__Reg1_0__Reg1_2__Mem5125_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 17508 /* vrndscalepd */, X86::VRNDSCALEPDZrribk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK__123_sae_125_, MCK_ImmUnsignedi8 }, },
{ 17508 /* vrndscalepd */, X86::VRNDSCALEPDZrmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 17508 /* vrndscalepd */, X86::VRNDSCALEPDZ128rmbikz, Convert__Reg1_0__Reg1_2__Mem645_5__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to2_125_, MCK_ImmUnsignedi8 }, },
{ 17508 /* vrndscalepd */, X86::VRNDSCALEPDZ256rmbikz, Convert__Reg1_0__Reg1_2__Mem645_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 17508 /* vrndscalepd */, X86::VRNDSCALEPDZrribkz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK__123_sae_125_, MCK_ImmUnsignedi8 }, },
{ 17508 /* vrndscalepd */, X86::VRNDSCALEPDZrmbikz, Convert__Reg1_0__Reg1_2__Mem645_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 17520 /* vrndscaleph */, X86::VRNDSCALEPHZ128rri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 17520 /* vrndscaleph */, X86::VRNDSCALEPHZ128rmi, Convert__Reg1_0__Mem1285_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 17520 /* vrndscaleph */, X86::VRNDSCALEPHZ256rri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 17520 /* vrndscaleph */, X86::VRNDSCALEPHZ256rmi, Convert__Reg1_0__Mem2565_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 17520 /* vrndscaleph */, X86::VRNDSCALEPHZrri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 17520 /* vrndscaleph */, X86::VRNDSCALEPHZrmi, Convert__Reg1_0__Mem5125_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 17520 /* vrndscaleph */, X86::VRNDSCALEPHZ128rmbi, Convert__Reg1_0__Mem165_1__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_Mem16, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 17520 /* vrndscaleph */, X86::VRNDSCALEPHZ256rmbi, Convert__Reg1_0__Mem165_1__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256X, MCK_Mem16, MCK__123_1to16_125_, MCK_ImmUnsignedi8 }, },
{ 17520 /* vrndscaleph */, X86::VRNDSCALEPHZrrib, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_sae_125_, MCK_ImmUnsignedi8 }, },
{ 17520 /* vrndscaleph */, X86::VRNDSCALEPHZrmbi, Convert__Reg1_0__Mem165_1__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_Mem16, MCK__123_1to32_125_, MCK_ImmUnsignedi8 }, },
{ 17520 /* vrndscaleph */, X86::VRNDSCALEPHZ128rrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 17520 /* vrndscaleph */, X86::VRNDSCALEPHZ128rmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4__ImmUnsignedi81_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 17520 /* vrndscaleph */, X86::VRNDSCALEPHZ256rrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 17520 /* vrndscaleph */, X86::VRNDSCALEPHZ256rmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 17520 /* vrndscaleph */, X86::VRNDSCALEPHZrrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 17520 /* vrndscaleph */, X86::VRNDSCALEPHZrmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 17520 /* vrndscaleph */, X86::VRNDSCALEPHZ128rrikz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 17520 /* vrndscaleph */, X86::VRNDSCALEPHZ128rmikz, Convert__Reg1_0__Reg1_2__Mem1285_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 17520 /* vrndscaleph */, X86::VRNDSCALEPHZ128rmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem165_4__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem16, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 17520 /* vrndscaleph */, X86::VRNDSCALEPHZ256rrikz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 17520 /* vrndscaleph */, X86::VRNDSCALEPHZ256rmikz, Convert__Reg1_0__Reg1_2__Mem2565_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 17520 /* vrndscaleph */, X86::VRNDSCALEPHZ256rmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem165_4__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem16, MCK__123_1to16_125_, MCK_ImmUnsignedi8 }, },
{ 17520 /* vrndscaleph */, X86::VRNDSCALEPHZrrikz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 17520 /* vrndscaleph */, X86::VRNDSCALEPHZrmikz, Convert__Reg1_0__Reg1_2__Mem5125_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 17520 /* vrndscaleph */, X86::VRNDSCALEPHZrribk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK__123_sae_125_, MCK_ImmUnsignedi8 }, },
{ 17520 /* vrndscaleph */, X86::VRNDSCALEPHZrmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem165_4__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem16, MCK__123_1to32_125_, MCK_ImmUnsignedi8 }, },
{ 17520 /* vrndscaleph */, X86::VRNDSCALEPHZ128rmbikz, Convert__Reg1_0__Reg1_2__Mem165_5__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem16, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 17520 /* vrndscaleph */, X86::VRNDSCALEPHZ256rmbikz, Convert__Reg1_0__Reg1_2__Mem165_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem16, MCK__123_1to16_125_, MCK_ImmUnsignedi8 }, },
{ 17520 /* vrndscaleph */, X86::VRNDSCALEPHZrribkz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK__123_sae_125_, MCK_ImmUnsignedi8 }, },
{ 17520 /* vrndscaleph */, X86::VRNDSCALEPHZrmbikz, Convert__Reg1_0__Reg1_2__Mem165_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem16, MCK__123_1to32_125_, MCK_ImmUnsignedi8 }, },
{ 17532 /* vrndscaleps */, X86::VRNDSCALEPSZ128rri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 17532 /* vrndscaleps */, X86::VRNDSCALEPSZ128rmi, Convert__Reg1_0__Mem1285_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 17532 /* vrndscaleps */, X86::VRNDSCALEPSZ256rri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 17532 /* vrndscaleps */, X86::VRNDSCALEPSZ256rmi, Convert__Reg1_0__Mem2565_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 17532 /* vrndscaleps */, X86::VRNDSCALEPSZrri, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 17532 /* vrndscaleps */, X86::VRNDSCALEPSZrmi, Convert__Reg1_0__Mem5125_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 17532 /* vrndscaleps */, X86::VRNDSCALEPSZ128rmbi, Convert__Reg1_0__Mem325_1__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 17532 /* vrndscaleps */, X86::VRNDSCALEPSZ256rmbi, Convert__Reg1_0__Mem325_1__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 17532 /* vrndscaleps */, X86::VRNDSCALEPSZrrib, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_sae_125_, MCK_ImmUnsignedi8 }, },
{ 17532 /* vrndscaleps */, X86::VRNDSCALEPSZrmbi, Convert__Reg1_0__Mem325_1__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_Mem32, MCK__123_1to16_125_, MCK_ImmUnsignedi8 }, },
{ 17532 /* vrndscaleps */, X86::VRNDSCALEPSZ128rrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 17532 /* vrndscaleps */, X86::VRNDSCALEPSZ128rmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4__ImmUnsignedi81_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 17532 /* vrndscaleps */, X86::VRNDSCALEPSZ256rrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 17532 /* vrndscaleps */, X86::VRNDSCALEPSZ256rmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 17532 /* vrndscaleps */, X86::VRNDSCALEPSZrrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 17532 /* vrndscaleps */, X86::VRNDSCALEPSZrmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4__ImmUnsignedi81_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 17532 /* vrndscaleps */, X86::VRNDSCALEPSZ128rrikz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 17532 /* vrndscaleps */, X86::VRNDSCALEPSZ128rmikz, Convert__Reg1_0__Reg1_2__Mem1285_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 17532 /* vrndscaleps */, X86::VRNDSCALEPSZ128rmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 17532 /* vrndscaleps */, X86::VRNDSCALEPSZ256rrikz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 17532 /* vrndscaleps */, X86::VRNDSCALEPSZ256rmikz, Convert__Reg1_0__Reg1_2__Mem2565_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 17532 /* vrndscaleps */, X86::VRNDSCALEPSZ256rmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 17532 /* vrndscaleps */, X86::VRNDSCALEPSZrrikz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 17532 /* vrndscaleps */, X86::VRNDSCALEPSZrmikz, Convert__Reg1_0__Reg1_2__Mem5125_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 17532 /* vrndscaleps */, X86::VRNDSCALEPSZrribk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK__123_sae_125_, MCK_ImmUnsignedi8 }, },
{ 17532 /* vrndscaleps */, X86::VRNDSCALEPSZrmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to16_125_, MCK_ImmUnsignedi8 }, },
{ 17532 /* vrndscaleps */, X86::VRNDSCALEPSZ128rmbikz, Convert__Reg1_0__Reg1_2__Mem325_5__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 17532 /* vrndscaleps */, X86::VRNDSCALEPSZ256rmbikz, Convert__Reg1_0__Reg1_2__Mem325_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 17532 /* vrndscaleps */, X86::VRNDSCALEPSZrribkz, Convert__Reg1_0__Reg1_2__Reg1_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK__123_sae_125_, MCK_ImmUnsignedi8 }, },
{ 17532 /* vrndscaleps */, X86::VRNDSCALEPSZrmbikz, Convert__Reg1_0__Reg1_2__Mem325_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to16_125_, MCK_ImmUnsignedi8 }, },
{ 17544 /* vrndscalesd */, X86::VRNDSCALESDZr_Int, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 17544 /* vrndscalesd */, X86::VRNDSCALESDZm_Int, Convert__Reg1_0__Reg1_1__Mem645_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64, MCK_ImmUnsignedi8 }, },
{ 17544 /* vrndscalesd */, X86::VRNDSCALESDZrb_Int, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_4, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_sae_125_, MCK_ImmUnsignedi8 }, },
{ 17544 /* vrndscalesd */, X86::VRNDSCALESDZr_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 17544 /* vrndscalesd */, X86::VRNDSCALESDZm_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64, MCK_ImmUnsignedi8 }, },
{ 17544 /* vrndscalesd */, X86::VRNDSCALESDZr_Intkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 17544 /* vrndscalesd */, X86::VRNDSCALESDZm_Intkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64, MCK_ImmUnsignedi8 }, },
{ 17544 /* vrndscalesd */, X86::VRNDSCALESDZrb_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK__123_sae_125_, MCK_ImmUnsignedi8 }, },
{ 17544 /* vrndscalesd */, X86::VRNDSCALESDZrb_Intkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_8, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK__123_sae_125_, MCK_ImmUnsignedi8 }, },
{ 17556 /* vrndscalesh */, X86::VRNDSCALESHZr_Int, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 17556 /* vrndscalesh */, X86::VRNDSCALESHZm_Int, Convert__Reg1_0__Reg1_1__Mem165_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem16, MCK_ImmUnsignedi8 }, },
{ 17556 /* vrndscalesh */, X86::VRNDSCALESHZrb_Int, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_4, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_sae_125_, MCK_ImmUnsignedi8 }, },
{ 17556 /* vrndscalesh */, X86::VRNDSCALESHZr_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 17556 /* vrndscalesh */, X86::VRNDSCALESHZm_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem16, MCK_ImmUnsignedi8 }, },
{ 17556 /* vrndscalesh */, X86::VRNDSCALESHZr_Intkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 17556 /* vrndscalesh */, X86::VRNDSCALESHZm_Intkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem165_6__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem16, MCK_ImmUnsignedi8 }, },
{ 17556 /* vrndscalesh */, X86::VRNDSCALESHZrb_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK__123_sae_125_, MCK_ImmUnsignedi8 }, },
{ 17556 /* vrndscalesh */, X86::VRNDSCALESHZrb_Intkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_8, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK__123_sae_125_, MCK_ImmUnsignedi8 }, },
{ 17568 /* vrndscaless */, X86::VRNDSCALESSZr_Int, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 17568 /* vrndscaless */, X86::VRNDSCALESSZm_Int, Convert__Reg1_0__Reg1_1__Mem325_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32, MCK_ImmUnsignedi8 }, },
{ 17568 /* vrndscaless */, X86::VRNDSCALESSZrb_Int, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_4, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_sae_125_, MCK_ImmUnsignedi8 }, },
{ 17568 /* vrndscaless */, X86::VRNDSCALESSZr_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 17568 /* vrndscaless */, X86::VRNDSCALESSZm_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32, MCK_ImmUnsignedi8 }, },
{ 17568 /* vrndscaless */, X86::VRNDSCALESSZr_Intkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 17568 /* vrndscaless */, X86::VRNDSCALESSZm_Intkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32, MCK_ImmUnsignedi8 }, },
{ 17568 /* vrndscaless */, X86::VRNDSCALESSZrb_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK__123_sae_125_, MCK_ImmUnsignedi8 }, },
{ 17568 /* vrndscaless */, X86::VRNDSCALESSZrb_Intkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_8, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK__123_sae_125_, MCK_ImmUnsignedi8 }, },
{ 17580 /* vroundpd */, X86::VROUNDPDr, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 17580 /* vroundpd */, X86::VROUNDPDm, Convert__Reg1_0__Mem1285_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 17580 /* vroundpd */, X86::VROUNDPDYr, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_ImmUnsignedi8 }, },
{ 17580 /* vroundpd */, X86::VROUNDPDYm, Convert__Reg1_0__Mem2565_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR256, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 17589 /* vroundps */, X86::VROUNDPSr, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 17589 /* vroundps */, X86::VROUNDPSm, Convert__Reg1_0__Mem1285_1__ImmUnsignedi81_2, AMFBS_None, { MCK_FR16, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 17589 /* vroundps */, X86::VROUNDPSYr, Convert__Reg1_0__Reg1_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_ImmUnsignedi8 }, },
{ 17589 /* vroundps */, X86::VROUNDPSYm, Convert__Reg1_0__Mem2565_1__ImmUnsignedi81_2, AMFBS_None, { MCK_VR256, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 17598 /* vroundsd */, X86::VROUNDSDr_Int, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 17598 /* vroundsd */, X86::VROUNDSDm_Int, Convert__Reg1_0__Reg1_1__Mem645_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem64, MCK_ImmUnsignedi8 }, },
{ 17607 /* vroundss */, X86::VROUNDSSr_Int, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 17607 /* vroundss */, X86::VROUNDSSm_Int, Convert__Reg1_0__Reg1_1__Mem325_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem32, MCK_ImmUnsignedi8 }, },
{ 17616 /* vrsqrt14pd */, X86::VRSQRT14PDZ128r, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 17616 /* vrsqrt14pd */, X86::VRSQRT14PDZ128m, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16X, MCK_Mem128 }, },
{ 17616 /* vrsqrt14pd */, X86::VRSQRT14PDZ256r, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 17616 /* vrsqrt14pd */, X86::VRSQRT14PDZ256m, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR256X, MCK_Mem256 }, },
{ 17616 /* vrsqrt14pd */, X86::VRSQRT14PDZr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 17616 /* vrsqrt14pd */, X86::VRSQRT14PDZm, Convert__Reg1_0__Mem5125_1, AMFBS_None, { MCK_VR512, MCK_Mem512 }, },
{ 17616 /* vrsqrt14pd */, X86::VRSQRT14PDZ128mb, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 17616 /* vrsqrt14pd */, X86::VRSQRT14PDZ256mb, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 17616 /* vrsqrt14pd */, X86::VRSQRT14PDZmb, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 17616 /* vrsqrt14pd */, X86::VRSQRT14PDZ128rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 17616 /* vrsqrt14pd */, X86::VRSQRT14PDZ128mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 17616 /* vrsqrt14pd */, X86::VRSQRT14PDZ256rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 17616 /* vrsqrt14pd */, X86::VRSQRT14PDZ256mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 17616 /* vrsqrt14pd */, X86::VRSQRT14PDZrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 17616 /* vrsqrt14pd */, X86::VRSQRT14PDZmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512 }, },
{ 17616 /* vrsqrt14pd */, X86::VRSQRT14PDZ128rkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 17616 /* vrsqrt14pd */, X86::VRSQRT14PDZ128mkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 17616 /* vrsqrt14pd */, X86::VRSQRT14PDZ128mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 17616 /* vrsqrt14pd */, X86::VRSQRT14PDZ256rkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 17616 /* vrsqrt14pd */, X86::VRSQRT14PDZ256mkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 17616 /* vrsqrt14pd */, X86::VRSQRT14PDZ256mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 17616 /* vrsqrt14pd */, X86::VRSQRT14PDZrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 17616 /* vrsqrt14pd */, X86::VRSQRT14PDZmkz, Convert__Reg1_0__Reg1_2__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512 }, },
{ 17616 /* vrsqrt14pd */, X86::VRSQRT14PDZmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 17616 /* vrsqrt14pd */, X86::VRSQRT14PDZ128mbkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 17616 /* vrsqrt14pd */, X86::VRSQRT14PDZ256mbkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 17616 /* vrsqrt14pd */, X86::VRSQRT14PDZmbkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 17627 /* vrsqrt14ps */, X86::VRSQRT14PSZ128r, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 17627 /* vrsqrt14ps */, X86::VRSQRT14PSZ128m, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16X, MCK_Mem128 }, },
{ 17627 /* vrsqrt14ps */, X86::VRSQRT14PSZ256r, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 17627 /* vrsqrt14ps */, X86::VRSQRT14PSZ256m, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR256X, MCK_Mem256 }, },
{ 17627 /* vrsqrt14ps */, X86::VRSQRT14PSZr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 17627 /* vrsqrt14ps */, X86::VRSQRT14PSZm, Convert__Reg1_0__Mem5125_1, AMFBS_None, { MCK_VR512, MCK_Mem512 }, },
{ 17627 /* vrsqrt14ps */, X86::VRSQRT14PSZ128mb, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 17627 /* vrsqrt14ps */, X86::VRSQRT14PSZ256mb, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 17627 /* vrsqrt14ps */, X86::VRSQRT14PSZmb, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 17627 /* vrsqrt14ps */, X86::VRSQRT14PSZ128rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 17627 /* vrsqrt14ps */, X86::VRSQRT14PSZ128mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 17627 /* vrsqrt14ps */, X86::VRSQRT14PSZ256rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 17627 /* vrsqrt14ps */, X86::VRSQRT14PSZ256mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 17627 /* vrsqrt14ps */, X86::VRSQRT14PSZrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 17627 /* vrsqrt14ps */, X86::VRSQRT14PSZmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512 }, },
{ 17627 /* vrsqrt14ps */, X86::VRSQRT14PSZ128rkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 17627 /* vrsqrt14ps */, X86::VRSQRT14PSZ128mkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 17627 /* vrsqrt14ps */, X86::VRSQRT14PSZ128mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 17627 /* vrsqrt14ps */, X86::VRSQRT14PSZ256rkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 17627 /* vrsqrt14ps */, X86::VRSQRT14PSZ256mkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 17627 /* vrsqrt14ps */, X86::VRSQRT14PSZ256mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 17627 /* vrsqrt14ps */, X86::VRSQRT14PSZrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 17627 /* vrsqrt14ps */, X86::VRSQRT14PSZmkz, Convert__Reg1_0__Reg1_2__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512 }, },
{ 17627 /* vrsqrt14ps */, X86::VRSQRT14PSZmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 17627 /* vrsqrt14ps */, X86::VRSQRT14PSZ128mbkz, Convert__Reg1_0__Reg1_2__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 17627 /* vrsqrt14ps */, X86::VRSQRT14PSZ256mbkz, Convert__Reg1_0__Reg1_2__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 17627 /* vrsqrt14ps */, X86::VRSQRT14PSZmbkz, Convert__Reg1_0__Reg1_2__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 17638 /* vrsqrt14sd */, X86::VRSQRT14SDZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17638 /* vrsqrt14sd */, X86::VRSQRT14SDZrm, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64 }, },
{ 17638 /* vrsqrt14sd */, X86::VRSQRT14SDZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 17638 /* vrsqrt14sd */, X86::VRSQRT14SDZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64 }, },
{ 17638 /* vrsqrt14sd */, X86::VRSQRT14SDZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 17638 /* vrsqrt14sd */, X86::VRSQRT14SDZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64 }, },
{ 17649 /* vrsqrt14ss */, X86::VRSQRT14SSZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17649 /* vrsqrt14ss */, X86::VRSQRT14SSZrm, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32 }, },
{ 17649 /* vrsqrt14ss */, X86::VRSQRT14SSZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 17649 /* vrsqrt14ss */, X86::VRSQRT14SSZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32 }, },
{ 17649 /* vrsqrt14ss */, X86::VRSQRT14SSZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 17649 /* vrsqrt14ss */, X86::VRSQRT14SSZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32 }, },
{ 17660 /* vrsqrt28pd */, X86::VRSQRT28PDZr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 17660 /* vrsqrt28pd */, X86::VRSQRT28PDZm, Convert__Reg1_0__Mem5125_1, AMFBS_None, { MCK_VR512, MCK_Mem512 }, },
{ 17660 /* vrsqrt28pd */, X86::VRSQRT28PDZrb, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_sae_125_ }, },
{ 17660 /* vrsqrt28pd */, X86::VRSQRT28PDZmb, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 17660 /* vrsqrt28pd */, X86::VRSQRT28PDZrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 17660 /* vrsqrt28pd */, X86::VRSQRT28PDZmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512 }, },
{ 17660 /* vrsqrt28pd */, X86::VRSQRT28PDZrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 17660 /* vrsqrt28pd */, X86::VRSQRT28PDZmkz, Convert__Reg1_0__Reg1_2__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512 }, },
{ 17660 /* vrsqrt28pd */, X86::VRSQRT28PDZrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK__123_sae_125_ }, },
{ 17660 /* vrsqrt28pd */, X86::VRSQRT28PDZmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 17660 /* vrsqrt28pd */, X86::VRSQRT28PDZrbkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK__123_sae_125_ }, },
{ 17660 /* vrsqrt28pd */, X86::VRSQRT28PDZmbkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 17671 /* vrsqrt28ps */, X86::VRSQRT28PSZr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 17671 /* vrsqrt28ps */, X86::VRSQRT28PSZm, Convert__Reg1_0__Mem5125_1, AMFBS_None, { MCK_VR512, MCK_Mem512 }, },
{ 17671 /* vrsqrt28ps */, X86::VRSQRT28PSZrb, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512, MCK__123_sae_125_ }, },
{ 17671 /* vrsqrt28ps */, X86::VRSQRT28PSZmb, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 17671 /* vrsqrt28ps */, X86::VRSQRT28PSZrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 17671 /* vrsqrt28ps */, X86::VRSQRT28PSZmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512 }, },
{ 17671 /* vrsqrt28ps */, X86::VRSQRT28PSZrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 17671 /* vrsqrt28ps */, X86::VRSQRT28PSZmkz, Convert__Reg1_0__Reg1_2__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512 }, },
{ 17671 /* vrsqrt28ps */, X86::VRSQRT28PSZrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK__123_sae_125_ }, },
{ 17671 /* vrsqrt28ps */, X86::VRSQRT28PSZmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 17671 /* vrsqrt28ps */, X86::VRSQRT28PSZrbkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK__123_sae_125_ }, },
{ 17671 /* vrsqrt28ps */, X86::VRSQRT28PSZmbkz, Convert__Reg1_0__Reg1_2__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 17682 /* vrsqrt28sd */, X86::VRSQRT28SDZr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17682 /* vrsqrt28sd */, X86::VRSQRT28SDZm, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64 }, },
{ 17682 /* vrsqrt28sd */, X86::VRSQRT28SDZrb, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_sae_125_ }, },
{ 17682 /* vrsqrt28sd */, X86::VRSQRT28SDZrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 17682 /* vrsqrt28sd */, X86::VRSQRT28SDZmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64 }, },
{ 17682 /* vrsqrt28sd */, X86::VRSQRT28SDZrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 17682 /* vrsqrt28sd */, X86::VRSQRT28SDZmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64 }, },
{ 17682 /* vrsqrt28sd */, X86::VRSQRT28SDZrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK__123_sae_125_ }, },
{ 17682 /* vrsqrt28sd */, X86::VRSQRT28SDZrbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK__123_sae_125_ }, },
{ 17693 /* vrsqrt28ss */, X86::VRSQRT28SSZr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17693 /* vrsqrt28ss */, X86::VRSQRT28SSZm, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32 }, },
{ 17693 /* vrsqrt28ss */, X86::VRSQRT28SSZrb, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK__123_sae_125_ }, },
{ 17693 /* vrsqrt28ss */, X86::VRSQRT28SSZrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 17693 /* vrsqrt28ss */, X86::VRSQRT28SSZmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32 }, },
{ 17693 /* vrsqrt28ss */, X86::VRSQRT28SSZrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 17693 /* vrsqrt28ss */, X86::VRSQRT28SSZmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32 }, },
{ 17693 /* vrsqrt28ss */, X86::VRSQRT28SSZrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK__123_sae_125_ }, },
{ 17693 /* vrsqrt28ss */, X86::VRSQRT28SSZrbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK__123_sae_125_ }, },
{ 17704 /* vrsqrtph */, X86::VRSQRTPHZ128r, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 17704 /* vrsqrtph */, X86::VRSQRTPHZ128m, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16X, MCK_Mem128 }, },
{ 17704 /* vrsqrtph */, X86::VRSQRTPHZ256r, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 17704 /* vrsqrtph */, X86::VRSQRTPHZ256m, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR256X, MCK_Mem256 }, },
{ 17704 /* vrsqrtph */, X86::VRSQRTPHZr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 17704 /* vrsqrtph */, X86::VRSQRTPHZm, Convert__Reg1_0__Mem5125_1, AMFBS_None, { MCK_VR512, MCK_Mem512 }, },
{ 17704 /* vrsqrtph */, X86::VRSQRTPHZ128mb, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_FR16X, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 17704 /* vrsqrtph */, X86::VRSQRTPHZ256mb, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_VR256X, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 17704 /* vrsqrtph */, X86::VRSQRTPHZmb, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_VR512, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 17704 /* vrsqrtph */, X86::VRSQRTPHZ128rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 17704 /* vrsqrtph */, X86::VRSQRTPHZ128mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 17704 /* vrsqrtph */, X86::VRSQRTPHZ256rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 17704 /* vrsqrtph */, X86::VRSQRTPHZ256mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 17704 /* vrsqrtph */, X86::VRSQRTPHZrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 17704 /* vrsqrtph */, X86::VRSQRTPHZmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512 }, },
{ 17704 /* vrsqrtph */, X86::VRSQRTPHZ128rkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 17704 /* vrsqrtph */, X86::VRSQRTPHZ128mkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 17704 /* vrsqrtph */, X86::VRSQRTPHZ128mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem165_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 17704 /* vrsqrtph */, X86::VRSQRTPHZ256rkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 17704 /* vrsqrtph */, X86::VRSQRTPHZ256mkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 17704 /* vrsqrtph */, X86::VRSQRTPHZ256mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem165_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 17704 /* vrsqrtph */, X86::VRSQRTPHZrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 17704 /* vrsqrtph */, X86::VRSQRTPHZmkz, Convert__Reg1_0__Reg1_2__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512 }, },
{ 17704 /* vrsqrtph */, X86::VRSQRTPHZmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem165_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 17704 /* vrsqrtph */, X86::VRSQRTPHZ128mbkz, Convert__Reg1_0__Reg1_2__Mem165_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 17704 /* vrsqrtph */, X86::VRSQRTPHZ256mbkz, Convert__Reg1_0__Reg1_2__Mem165_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 17704 /* vrsqrtph */, X86::VRSQRTPHZmbkz, Convert__Reg1_0__Reg1_2__Mem165_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 17713 /* vrsqrtps */, X86::VRSQRTPSr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 17713 /* vrsqrtps */, X86::VRSQRTPSm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 17713 /* vrsqrtps */, X86::VRSQRTPSYr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256, MCK_VR256 }, },
{ 17713 /* vrsqrtps */, X86::VRSQRTPSYm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR256, MCK_Mem256 }, },
{ 17722 /* vrsqrtsh */, X86::VRSQRTSHZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17722 /* vrsqrtsh */, X86::VRSQRTSHZrm, Convert__Reg1_0__Reg1_1__Mem165_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem16 }, },
{ 17722 /* vrsqrtsh */, X86::VRSQRTSHZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 17722 /* vrsqrtsh */, X86::VRSQRTSHZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem16 }, },
{ 17722 /* vrsqrtsh */, X86::VRSQRTSHZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 17722 /* vrsqrtsh */, X86::VRSQRTSHZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem16 }, },
{ 17731 /* vrsqrtss */, X86::VRSQRTSSr_Int, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 17731 /* vrsqrtss */, X86::VRSQRTSSm_Int, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem32 }, },
{ 17740 /* vscalefpd */, X86::VSCALEFPDZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17740 /* vscalefpd */, X86::VSCALEFPDZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 17740 /* vscalefpd */, X86::VSCALEFPDZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 17740 /* vscalefpd */, X86::VSCALEFPDZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 17740 /* vscalefpd */, X86::VSCALEFPDZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 17740 /* vscalefpd */, X86::VSCALEFPDZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 17740 /* vscalefpd */, X86::VSCALEFPDZ128rmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 17740 /* vscalefpd */, X86::VSCALEFPDZ256rmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 17740 /* vscalefpd */, X86::VSCALEFPDZrrb, Convert__Reg1_0__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 17740 /* vscalefpd */, X86::VSCALEFPDZrmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 17740 /* vscalefpd */, X86::VSCALEFPDZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 17740 /* vscalefpd */, X86::VSCALEFPDZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 17740 /* vscalefpd */, X86::VSCALEFPDZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 17740 /* vscalefpd */, X86::VSCALEFPDZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 17740 /* vscalefpd */, X86::VSCALEFPDZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 17740 /* vscalefpd */, X86::VSCALEFPDZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 17740 /* vscalefpd */, X86::VSCALEFPDZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 17740 /* vscalefpd */, X86::VSCALEFPDZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 17740 /* vscalefpd */, X86::VSCALEFPDZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 17740 /* vscalefpd */, X86::VSCALEFPDZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 17740 /* vscalefpd */, X86::VSCALEFPDZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 17740 /* vscalefpd */, X86::VSCALEFPDZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 17740 /* vscalefpd */, X86::VSCALEFPDZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 17740 /* vscalefpd */, X86::VSCALEFPDZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 17740 /* vscalefpd */, X86::VSCALEFPDZrrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 17740 /* vscalefpd */, X86::VSCALEFPDZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 17740 /* vscalefpd */, X86::VSCALEFPDZ128rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 17740 /* vscalefpd */, X86::VSCALEFPDZ256rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 17740 /* vscalefpd */, X86::VSCALEFPDZrrbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 17740 /* vscalefpd */, X86::VSCALEFPDZrmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 17750 /* vscalefph */, X86::VSCALEFPHZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17750 /* vscalefph */, X86::VSCALEFPHZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 17750 /* vscalefph */, X86::VSCALEFPHZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 17750 /* vscalefph */, X86::VSCALEFPHZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 17750 /* vscalefph */, X86::VSCALEFPHZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 17750 /* vscalefph */, X86::VSCALEFPHZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 17750 /* vscalefph */, X86::VSCALEFPHZ128rmb, Convert__Reg1_0__Reg1_1__Mem165_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 17750 /* vscalefph */, X86::VSCALEFPHZ256rmb, Convert__Reg1_0__Reg1_1__Mem165_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 17750 /* vscalefph */, X86::VSCALEFPHZrrb, Convert__Reg1_0__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 17750 /* vscalefph */, X86::VSCALEFPHZrmb, Convert__Reg1_0__Reg1_1__Mem165_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 17750 /* vscalefph */, X86::VSCALEFPHZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 17750 /* vscalefph */, X86::VSCALEFPHZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 17750 /* vscalefph */, X86::VSCALEFPHZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 17750 /* vscalefph */, X86::VSCALEFPHZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 17750 /* vscalefph */, X86::VSCALEFPHZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 17750 /* vscalefph */, X86::VSCALEFPHZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 17750 /* vscalefph */, X86::VSCALEFPHZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 17750 /* vscalefph */, X86::VSCALEFPHZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 17750 /* vscalefph */, X86::VSCALEFPHZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 17750 /* vscalefph */, X86::VSCALEFPHZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 17750 /* vscalefph */, X86::VSCALEFPHZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 17750 /* vscalefph */, X86::VSCALEFPHZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 17750 /* vscalefph */, X86::VSCALEFPHZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 17750 /* vscalefph */, X86::VSCALEFPHZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 17750 /* vscalefph */, X86::VSCALEFPHZrrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 17750 /* vscalefph */, X86::VSCALEFPHZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 17750 /* vscalefph */, X86::VSCALEFPHZ128rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 17750 /* vscalefph */, X86::VSCALEFPHZ256rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 17750 /* vscalefph */, X86::VSCALEFPHZrrbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 17750 /* vscalefph */, X86::VSCALEFPHZrmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 17760 /* vscalefps */, X86::VSCALEFPSZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17760 /* vscalefps */, X86::VSCALEFPSZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 17760 /* vscalefps */, X86::VSCALEFPSZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 17760 /* vscalefps */, X86::VSCALEFPSZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 17760 /* vscalefps */, X86::VSCALEFPSZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 17760 /* vscalefps */, X86::VSCALEFPSZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 17760 /* vscalefps */, X86::VSCALEFPSZ128rmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 17760 /* vscalefps */, X86::VSCALEFPSZ256rmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 17760 /* vscalefps */, X86::VSCALEFPSZrrb, Convert__Reg1_0__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 17760 /* vscalefps */, X86::VSCALEFPSZrmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 17760 /* vscalefps */, X86::VSCALEFPSZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 17760 /* vscalefps */, X86::VSCALEFPSZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 17760 /* vscalefps */, X86::VSCALEFPSZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 17760 /* vscalefps */, X86::VSCALEFPSZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 17760 /* vscalefps */, X86::VSCALEFPSZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 17760 /* vscalefps */, X86::VSCALEFPSZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 17760 /* vscalefps */, X86::VSCALEFPSZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 17760 /* vscalefps */, X86::VSCALEFPSZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 17760 /* vscalefps */, X86::VSCALEFPSZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 17760 /* vscalefps */, X86::VSCALEFPSZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 17760 /* vscalefps */, X86::VSCALEFPSZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 17760 /* vscalefps */, X86::VSCALEFPSZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 17760 /* vscalefps */, X86::VSCALEFPSZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 17760 /* vscalefps */, X86::VSCALEFPSZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 17760 /* vscalefps */, X86::VSCALEFPSZrrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 17760 /* vscalefps */, X86::VSCALEFPSZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 17760 /* vscalefps */, X86::VSCALEFPSZ128rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 17760 /* vscalefps */, X86::VSCALEFPSZ256rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 17760 /* vscalefps */, X86::VSCALEFPSZrrbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 17760 /* vscalefps */, X86::VSCALEFPSZrmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 17770 /* vscalefsd */, X86::VSCALEFSDZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17770 /* vscalefsd */, X86::VSCALEFSDZrm, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64 }, },
{ 17770 /* vscalefsd */, X86::VSCALEFSDZrrb_Int, Convert__Reg1_0__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 17770 /* vscalefsd */, X86::VSCALEFSDZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 17770 /* vscalefsd */, X86::VSCALEFSDZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64 }, },
{ 17770 /* vscalefsd */, X86::VSCALEFSDZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 17770 /* vscalefsd */, X86::VSCALEFSDZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64 }, },
{ 17770 /* vscalefsd */, X86::VSCALEFSDZrrb_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 17770 /* vscalefsd */, X86::VSCALEFSDZrrb_Intkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 17780 /* vscalefsh */, X86::VSCALEFSHZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17780 /* vscalefsh */, X86::VSCALEFSHZrm, Convert__Reg1_0__Reg1_1__Mem165_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem16 }, },
{ 17780 /* vscalefsh */, X86::VSCALEFSHZrrb_Int, Convert__Reg1_0__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 17780 /* vscalefsh */, X86::VSCALEFSHZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 17780 /* vscalefsh */, X86::VSCALEFSHZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem16 }, },
{ 17780 /* vscalefsh */, X86::VSCALEFSHZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 17780 /* vscalefsh */, X86::VSCALEFSHZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem16 }, },
{ 17780 /* vscalefsh */, X86::VSCALEFSHZrrb_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 17780 /* vscalefsh */, X86::VSCALEFSHZrrb_Intkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 17790 /* vscalefss */, X86::VSCALEFSSZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 17790 /* vscalefss */, X86::VSCALEFSSZrm, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32 }, },
{ 17790 /* vscalefss */, X86::VSCALEFSSZrrb_Int, Convert__Reg1_0__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 17790 /* vscalefss */, X86::VSCALEFSSZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 17790 /* vscalefss */, X86::VSCALEFSSZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32 }, },
{ 17790 /* vscalefss */, X86::VSCALEFSSZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 17790 /* vscalefss */, X86::VSCALEFSSZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32 }, },
{ 17790 /* vscalefss */, X86::VSCALEFSSZrrb_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 17790 /* vscalefss */, X86::VSCALEFSSZrrb_Intkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 17800 /* vscatterdpd */, X86::VSCATTERDPDZ128mr, Convert__Reg1_2__Mem128_RC128X5_0__Tie0_3_3__Reg1_4, AMFBS_None, { MCK_Mem128_RC128X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 17800 /* vscatterdpd */, X86::VSCATTERDPDZ256mr, Convert__Reg1_2__Mem256_RC128X5_0__Tie0_3_3__Reg1_4, AMFBS_None, { MCK_Mem256_RC128X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 17800 /* vscatterdpd */, X86::VSCATTERDPDZmr, Convert__Reg1_2__Mem512_RC256X5_0__Tie0_3_3__Reg1_4, AMFBS_None, { MCK_Mem512_RC256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 17812 /* vscatterdps */, X86::VSCATTERDPSZ128mr, Convert__Reg1_2__Mem128_RC128X5_0__Tie0_3_3__Reg1_4, AMFBS_None, { MCK_Mem128_RC128X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 17812 /* vscatterdps */, X86::VSCATTERDPSZ256mr, Convert__Reg1_2__Mem256_RC256X5_0__Tie0_3_3__Reg1_4, AMFBS_None, { MCK_Mem256_RC256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 17812 /* vscatterdps */, X86::VSCATTERDPSZmr, Convert__Reg1_2__Mem512_RC5125_0__Tie0_3_3__Reg1_4, AMFBS_None, { MCK_Mem512_RC512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 17824 /* vscatterpf0dpd */, X86::VSCATTERPF0DPDm, Convert__Reg1_1__Mem512_RC256X5_3, AMFBS_None, { MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512_RC256X }, },
{ 17839 /* vscatterpf0dps */, X86::VSCATTERPF0DPSm, Convert__Reg1_1__Mem512_RC5125_3, AMFBS_None, { MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512_RC512 }, },
{ 17854 /* vscatterpf0qpd */, X86::VSCATTERPF0QPDm, Convert__Reg1_1__Mem512_RC5125_3, AMFBS_None, { MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512_RC512 }, },
{ 17869 /* vscatterpf0qps */, X86::VSCATTERPF0QPSm, Convert__Reg1_1__Mem256_RC5125_3, AMFBS_None, { MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256_RC512 }, },
{ 17884 /* vscatterpf1dpd */, X86::VSCATTERPF1DPDm, Convert__Reg1_1__Mem512_RC256X5_3, AMFBS_None, { MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512_RC256X }, },
{ 17899 /* vscatterpf1dps */, X86::VSCATTERPF1DPSm, Convert__Reg1_1__Mem512_RC5125_3, AMFBS_None, { MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512_RC512 }, },
{ 17914 /* vscatterpf1qpd */, X86::VSCATTERPF1QPDm, Convert__Reg1_1__Mem512_RC5125_3, AMFBS_None, { MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512_RC512 }, },
{ 17929 /* vscatterpf1qps */, X86::VSCATTERPF1QPSm, Convert__Reg1_1__Mem256_RC5125_3, AMFBS_None, { MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256_RC512 }, },
{ 17944 /* vscatterqpd */, X86::VSCATTERQPDZ128mr, Convert__Reg1_2__Mem128_RC128X5_0__Tie0_3_3__Reg1_4, AMFBS_None, { MCK_Mem128_RC128X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 17944 /* vscatterqpd */, X86::VSCATTERQPDZ256mr, Convert__Reg1_2__Mem256_RC256X5_0__Tie0_3_3__Reg1_4, AMFBS_None, { MCK_Mem256_RC256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 17944 /* vscatterqpd */, X86::VSCATTERQPDZmr, Convert__Reg1_2__Mem512_RC5125_0__Tie0_3_3__Reg1_4, AMFBS_None, { MCK_Mem512_RC512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 17956 /* vscatterqps */, X86::VSCATTERQPSZ256mr, Convert__Reg1_2__Mem128_RC256X5_0__Tie0_3_3__Reg1_4, AMFBS_None, { MCK_Mem128_RC256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 17956 /* vscatterqps */, X86::VSCATTERQPSZmr, Convert__Reg1_2__Mem256_RC5125_0__Tie0_3_3__Reg1_4, AMFBS_None, { MCK_Mem256_RC512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 17956 /* vscatterqps */, X86::VSCATTERQPSZ128mr, Convert__Reg1_2__Mem64_RC128X5_0__Tie0_3_3__Reg1_4, AMFBS_None, { MCK_Mem64_RC128X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 17968 /* vshuff32x4 */, X86::VSHUFF32X4Z256rri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 17968 /* vshuff32x4 */, X86::VSHUFF32X4Z256rmi, Convert__Reg1_0__Reg1_1__Mem2565_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 17968 /* vshuff32x4 */, X86::VSHUFF32X4Zrri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 17968 /* vshuff32x4 */, X86::VSHUFF32X4Zrmi, Convert__Reg1_0__Reg1_1__Mem5125_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 17968 /* vshuff32x4 */, X86::VSHUFF32X4Z256rmbi, Convert__Reg1_0__Reg1_1__Mem325_2__ImmUnsignedi81_4, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 17968 /* vshuff32x4 */, X86::VSHUFF32X4Zrmbi, Convert__Reg1_0__Reg1_1__Mem325_2__ImmUnsignedi81_4, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_, MCK_ImmUnsignedi8 }, },
{ 17968 /* vshuff32x4 */, X86::VSHUFF32X4Z256rrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 17968 /* vshuff32x4 */, X86::VSHUFF32X4Z256rmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 17968 /* vshuff32x4 */, X86::VSHUFF32X4Zrrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 17968 /* vshuff32x4 */, X86::VSHUFF32X4Zrmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 17968 /* vshuff32x4 */, X86::VSHUFF32X4Z256rrikz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 17968 /* vshuff32x4 */, X86::VSHUFF32X4Z256rmikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 17968 /* vshuff32x4 */, X86::VSHUFF32X4Z256rmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 17968 /* vshuff32x4 */, X86::VSHUFF32X4Zrrikz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 17968 /* vshuff32x4 */, X86::VSHUFF32X4Zrmikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 17968 /* vshuff32x4 */, X86::VSHUFF32X4Zrmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_, MCK_ImmUnsignedi8 }, },
{ 17968 /* vshuff32x4 */, X86::VSHUFF32X4Z256rmbikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6__ImmUnsignedi81_8, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 17968 /* vshuff32x4 */, X86::VSHUFF32X4Zrmbikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6__ImmUnsignedi81_8, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_, MCK_ImmUnsignedi8 }, },
{ 17979 /* vshuff64x2 */, X86::VSHUFF64X2Z256rri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 17979 /* vshuff64x2 */, X86::VSHUFF64X2Z256rmi, Convert__Reg1_0__Reg1_1__Mem2565_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 17979 /* vshuff64x2 */, X86::VSHUFF64X2Zrri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 17979 /* vshuff64x2 */, X86::VSHUFF64X2Zrmi, Convert__Reg1_0__Reg1_1__Mem5125_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 17979 /* vshuff64x2 */, X86::VSHUFF64X2Z256rmbi, Convert__Reg1_0__Reg1_1__Mem645_2__ImmUnsignedi81_4, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 17979 /* vshuff64x2 */, X86::VSHUFF64X2Zrmbi, Convert__Reg1_0__Reg1_1__Mem645_2__ImmUnsignedi81_4, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 17979 /* vshuff64x2 */, X86::VSHUFF64X2Z256rrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 17979 /* vshuff64x2 */, X86::VSHUFF64X2Z256rmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 17979 /* vshuff64x2 */, X86::VSHUFF64X2Zrrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 17979 /* vshuff64x2 */, X86::VSHUFF64X2Zrmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 17979 /* vshuff64x2 */, X86::VSHUFF64X2Z256rrikz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 17979 /* vshuff64x2 */, X86::VSHUFF64X2Z256rmikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 17979 /* vshuff64x2 */, X86::VSHUFF64X2Z256rmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 17979 /* vshuff64x2 */, X86::VSHUFF64X2Zrrikz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 17979 /* vshuff64x2 */, X86::VSHUFF64X2Zrmikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 17979 /* vshuff64x2 */, X86::VSHUFF64X2Zrmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 17979 /* vshuff64x2 */, X86::VSHUFF64X2Z256rmbikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6__ImmUnsignedi81_8, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 17979 /* vshuff64x2 */, X86::VSHUFF64X2Zrmbikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6__ImmUnsignedi81_8, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 17990 /* vshufi32x4 */, X86::VSHUFI32X4Z256rri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 17990 /* vshufi32x4 */, X86::VSHUFI32X4Z256rmi, Convert__Reg1_0__Reg1_1__Mem2565_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 17990 /* vshufi32x4 */, X86::VSHUFI32X4Zrri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 17990 /* vshufi32x4 */, X86::VSHUFI32X4Zrmi, Convert__Reg1_0__Reg1_1__Mem5125_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 17990 /* vshufi32x4 */, X86::VSHUFI32X4Z256rmbi, Convert__Reg1_0__Reg1_1__Mem325_2__ImmUnsignedi81_4, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 17990 /* vshufi32x4 */, X86::VSHUFI32X4Zrmbi, Convert__Reg1_0__Reg1_1__Mem325_2__ImmUnsignedi81_4, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_, MCK_ImmUnsignedi8 }, },
{ 17990 /* vshufi32x4 */, X86::VSHUFI32X4Z256rrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 17990 /* vshufi32x4 */, X86::VSHUFI32X4Z256rmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 17990 /* vshufi32x4 */, X86::VSHUFI32X4Zrrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 17990 /* vshufi32x4 */, X86::VSHUFI32X4Zrmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 17990 /* vshufi32x4 */, X86::VSHUFI32X4Z256rrikz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 17990 /* vshufi32x4 */, X86::VSHUFI32X4Z256rmikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 17990 /* vshufi32x4 */, X86::VSHUFI32X4Z256rmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 17990 /* vshufi32x4 */, X86::VSHUFI32X4Zrrikz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 17990 /* vshufi32x4 */, X86::VSHUFI32X4Zrmikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 17990 /* vshufi32x4 */, X86::VSHUFI32X4Zrmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_, MCK_ImmUnsignedi8 }, },
{ 17990 /* vshufi32x4 */, X86::VSHUFI32X4Z256rmbikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6__ImmUnsignedi81_8, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 17990 /* vshufi32x4 */, X86::VSHUFI32X4Zrmbikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6__ImmUnsignedi81_8, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_, MCK_ImmUnsignedi8 }, },
{ 18001 /* vshufi64x2 */, X86::VSHUFI64X2Z256rri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 18001 /* vshufi64x2 */, X86::VSHUFI64X2Z256rmi, Convert__Reg1_0__Reg1_1__Mem2565_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 18001 /* vshufi64x2 */, X86::VSHUFI64X2Zrri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 18001 /* vshufi64x2 */, X86::VSHUFI64X2Zrmi, Convert__Reg1_0__Reg1_1__Mem5125_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 18001 /* vshufi64x2 */, X86::VSHUFI64X2Z256rmbi, Convert__Reg1_0__Reg1_1__Mem645_2__ImmUnsignedi81_4, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 18001 /* vshufi64x2 */, X86::VSHUFI64X2Zrmbi, Convert__Reg1_0__Reg1_1__Mem645_2__ImmUnsignedi81_4, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 18001 /* vshufi64x2 */, X86::VSHUFI64X2Z256rrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 18001 /* vshufi64x2 */, X86::VSHUFI64X2Z256rmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 18001 /* vshufi64x2 */, X86::VSHUFI64X2Zrrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 18001 /* vshufi64x2 */, X86::VSHUFI64X2Zrmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 18001 /* vshufi64x2 */, X86::VSHUFI64X2Z256rrikz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 18001 /* vshufi64x2 */, X86::VSHUFI64X2Z256rmikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 18001 /* vshufi64x2 */, X86::VSHUFI64X2Z256rmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 18001 /* vshufi64x2 */, X86::VSHUFI64X2Zrrikz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 18001 /* vshufi64x2 */, X86::VSHUFI64X2Zrmikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 18001 /* vshufi64x2 */, X86::VSHUFI64X2Zrmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 18001 /* vshufi64x2 */, X86::VSHUFI64X2Z256rmbikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6__ImmUnsignedi81_8, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 18001 /* vshufi64x2 */, X86::VSHUFI64X2Zrmbikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6__ImmUnsignedi81_8, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 18012 /* vshufpd */, X86::VSHUFPDrri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 18012 /* vshufpd */, X86::VSHUFPDrmi, Convert__Reg1_0__Reg1_1__Mem1285_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 18012 /* vshufpd */, X86::VSHUFPDYrri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256, MCK_ImmUnsignedi8 }, },
{ 18012 /* vshufpd */, X86::VSHUFPDYrmi, Convert__Reg1_0__Reg1_1__Mem2565_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 18012 /* vshufpd */, X86::VSHUFPDZ128rri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 18012 /* vshufpd */, X86::VSHUFPDZ128rmi, Convert__Reg1_0__Reg1_1__Mem1285_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 18012 /* vshufpd */, X86::VSHUFPDZ256rri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 18012 /* vshufpd */, X86::VSHUFPDZ256rmi, Convert__Reg1_0__Reg1_1__Mem2565_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 18012 /* vshufpd */, X86::VSHUFPDZrri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 18012 /* vshufpd */, X86::VSHUFPDZrmi, Convert__Reg1_0__Reg1_1__Mem5125_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 18012 /* vshufpd */, X86::VSHUFPDZ128rmbi, Convert__Reg1_0__Reg1_1__Mem645_2__ImmUnsignedi81_4, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_, MCK_ImmUnsignedi8 }, },
{ 18012 /* vshufpd */, X86::VSHUFPDZ256rmbi, Convert__Reg1_0__Reg1_1__Mem645_2__ImmUnsignedi81_4, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 18012 /* vshufpd */, X86::VSHUFPDZrmbi, Convert__Reg1_0__Reg1_1__Mem645_2__ImmUnsignedi81_4, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 18012 /* vshufpd */, X86::VSHUFPDZ128rrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 18012 /* vshufpd */, X86::VSHUFPDZ128rmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 18012 /* vshufpd */, X86::VSHUFPDZ256rrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 18012 /* vshufpd */, X86::VSHUFPDZ256rmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 18012 /* vshufpd */, X86::VSHUFPDZrrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 18012 /* vshufpd */, X86::VSHUFPDZrmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 18012 /* vshufpd */, X86::VSHUFPDZ128rrikz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 18012 /* vshufpd */, X86::VSHUFPDZ128rmikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 18012 /* vshufpd */, X86::VSHUFPDZ128rmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_, MCK_ImmUnsignedi8 }, },
{ 18012 /* vshufpd */, X86::VSHUFPDZ256rrikz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 18012 /* vshufpd */, X86::VSHUFPDZ256rmikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 18012 /* vshufpd */, X86::VSHUFPDZ256rmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 18012 /* vshufpd */, X86::VSHUFPDZrrikz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 18012 /* vshufpd */, X86::VSHUFPDZrmikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 18012 /* vshufpd */, X86::VSHUFPDZrmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 18012 /* vshufpd */, X86::VSHUFPDZ128rmbikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6__ImmUnsignedi81_8, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_, MCK_ImmUnsignedi8 }, },
{ 18012 /* vshufpd */, X86::VSHUFPDZ256rmbikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6__ImmUnsignedi81_8, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 18012 /* vshufpd */, X86::VSHUFPDZrmbikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6__ImmUnsignedi81_8, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 18020 /* vshufps */, X86::VSHUFPSrri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16, MCK_ImmUnsignedi8 }, },
{ 18020 /* vshufps */, X86::VSHUFPSrmi, Convert__Reg1_0__Reg1_1__Mem1285_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 18020 /* vshufps */, X86::VSHUFPSYrri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256, MCK_ImmUnsignedi8 }, },
{ 18020 /* vshufps */, X86::VSHUFPSYrmi, Convert__Reg1_0__Reg1_1__Mem2565_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 18020 /* vshufps */, X86::VSHUFPSZ128rri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 18020 /* vshufps */, X86::VSHUFPSZ128rmi, Convert__Reg1_0__Reg1_1__Mem1285_2__ImmUnsignedi81_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 18020 /* vshufps */, X86::VSHUFPSZ256rri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 18020 /* vshufps */, X86::VSHUFPSZ256rmi, Convert__Reg1_0__Reg1_1__Mem2565_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 18020 /* vshufps */, X86::VSHUFPSZrri, Convert__Reg1_0__Reg1_1__Reg1_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 18020 /* vshufps */, X86::VSHUFPSZrmi, Convert__Reg1_0__Reg1_1__Mem5125_2__ImmUnsignedi81_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 18020 /* vshufps */, X86::VSHUFPSZ128rmbi, Convert__Reg1_0__Reg1_1__Mem325_2__ImmUnsignedi81_4, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 18020 /* vshufps */, X86::VSHUFPSZ256rmbi, Convert__Reg1_0__Reg1_1__Mem325_2__ImmUnsignedi81_4, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 18020 /* vshufps */, X86::VSHUFPSZrmbi, Convert__Reg1_0__Reg1_1__Mem325_2__ImmUnsignedi81_4, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_, MCK_ImmUnsignedi8 }, },
{ 18020 /* vshufps */, X86::VSHUFPSZ128rrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 18020 /* vshufps */, X86::VSHUFPSZ128rmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5__ImmUnsignedi81_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 18020 /* vshufps */, X86::VSHUFPSZ256rrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 18020 /* vshufps */, X86::VSHUFPSZ256rmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 18020 /* vshufps */, X86::VSHUFPSZrrik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 18020 /* vshufps */, X86::VSHUFPSZrmik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5__ImmUnsignedi81_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 18020 /* vshufps */, X86::VSHUFPSZ128rrikz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK_ImmUnsignedi8 }, },
{ 18020 /* vshufps */, X86::VSHUFPSZ128rmikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128, MCK_ImmUnsignedi8 }, },
{ 18020 /* vshufps */, X86::VSHUFPSZ128rmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5__ImmUnsignedi81_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 18020 /* vshufps */, X86::VSHUFPSZ256rrikz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X, MCK_ImmUnsignedi8 }, },
{ 18020 /* vshufps */, X86::VSHUFPSZ256rmikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256, MCK_ImmUnsignedi8 }, },
{ 18020 /* vshufps */, X86::VSHUFPSZ256rmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 18020 /* vshufps */, X86::VSHUFPSZrrikz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_ImmUnsignedi8 }, },
{ 18020 /* vshufps */, X86::VSHUFPSZrmikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512, MCK_ImmUnsignedi8 }, },
{ 18020 /* vshufps */, X86::VSHUFPSZrmbik, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5__ImmUnsignedi81_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_, MCK_ImmUnsignedi8 }, },
{ 18020 /* vshufps */, X86::VSHUFPSZ128rmbikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6__ImmUnsignedi81_8, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_, MCK_ImmUnsignedi8 }, },
{ 18020 /* vshufps */, X86::VSHUFPSZ256rmbikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6__ImmUnsignedi81_8, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_, MCK_ImmUnsignedi8 }, },
{ 18020 /* vshufps */, X86::VSHUFPSZrmbikz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6__ImmUnsignedi81_8, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_, MCK_ImmUnsignedi8 }, },
{ 18028 /* vsqrtpd */, X86::VSQRTPDr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 18028 /* vsqrtpd */, X86::VSQRTPDm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 18028 /* vsqrtpd */, X86::VSQRTPDYr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256, MCK_VR256 }, },
{ 18028 /* vsqrtpd */, X86::VSQRTPDYm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR256, MCK_Mem256 }, },
{ 18028 /* vsqrtpd */, X86::VSQRTPDZ128r, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 18028 /* vsqrtpd */, X86::VSQRTPDZ128m, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16X, MCK_Mem128 }, },
{ 18028 /* vsqrtpd */, X86::VSQRTPDZ256r, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 18028 /* vsqrtpd */, X86::VSQRTPDZ256m, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR256X, MCK_Mem256 }, },
{ 18028 /* vsqrtpd */, X86::VSQRTPDZr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 18028 /* vsqrtpd */, X86::VSQRTPDZm, Convert__Reg1_0__Mem5125_1, AMFBS_None, { MCK_VR512, MCK_Mem512 }, },
{ 18028 /* vsqrtpd */, X86::VSQRTPDZ128mb, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 18028 /* vsqrtpd */, X86::VSQRTPDZ256mb, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 18028 /* vsqrtpd */, X86::VSQRTPDZrb, Convert__Reg1_0__Reg1_1__AVX512RC1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 18028 /* vsqrtpd */, X86::VSQRTPDZmb, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 18028 /* vsqrtpd */, X86::VSQRTPDZ128rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 18028 /* vsqrtpd */, X86::VSQRTPDZ128mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 18028 /* vsqrtpd */, X86::VSQRTPDZ256rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 18028 /* vsqrtpd */, X86::VSQRTPDZ256mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 18028 /* vsqrtpd */, X86::VSQRTPDZrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 18028 /* vsqrtpd */, X86::VSQRTPDZmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512 }, },
{ 18028 /* vsqrtpd */, X86::VSQRTPDZ128rkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 18028 /* vsqrtpd */, X86::VSQRTPDZ128mkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 18028 /* vsqrtpd */, X86::VSQRTPDZ128mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 18028 /* vsqrtpd */, X86::VSQRTPDZ256rkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 18028 /* vsqrtpd */, X86::VSQRTPDZ256mkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 18028 /* vsqrtpd */, X86::VSQRTPDZ256mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 18028 /* vsqrtpd */, X86::VSQRTPDZrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 18028 /* vsqrtpd */, X86::VSQRTPDZmkz, Convert__Reg1_0__Reg1_2__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512 }, },
{ 18028 /* vsqrtpd */, X86::VSQRTPDZrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__AVX512RC1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_AVX512RC }, },
{ 18028 /* vsqrtpd */, X86::VSQRTPDZmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem645_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 18028 /* vsqrtpd */, X86::VSQRTPDZ128mbkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 18028 /* vsqrtpd */, X86::VSQRTPDZ256mbkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 18028 /* vsqrtpd */, X86::VSQRTPDZrbkz, Convert__Reg1_0__Reg1_2__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_AVX512RC }, },
{ 18028 /* vsqrtpd */, X86::VSQRTPDZmbkz, Convert__Reg1_0__Reg1_2__Mem645_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 18036 /* vsqrtph */, X86::VSQRTPHZ128r, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 18036 /* vsqrtph */, X86::VSQRTPHZ128m, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16X, MCK_Mem128 }, },
{ 18036 /* vsqrtph */, X86::VSQRTPHZ256r, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 18036 /* vsqrtph */, X86::VSQRTPHZ256m, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR256X, MCK_Mem256 }, },
{ 18036 /* vsqrtph */, X86::VSQRTPHZr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 18036 /* vsqrtph */, X86::VSQRTPHZm, Convert__Reg1_0__Mem5125_1, AMFBS_None, { MCK_VR512, MCK_Mem512 }, },
{ 18036 /* vsqrtph */, X86::VSQRTPHZ128mb, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_FR16X, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 18036 /* vsqrtph */, X86::VSQRTPHZ256mb, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_VR256X, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 18036 /* vsqrtph */, X86::VSQRTPHZrb, Convert__Reg1_0__Reg1_1__AVX512RC1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 18036 /* vsqrtph */, X86::VSQRTPHZmb, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_VR512, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 18036 /* vsqrtph */, X86::VSQRTPHZ128rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 18036 /* vsqrtph */, X86::VSQRTPHZ128mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 18036 /* vsqrtph */, X86::VSQRTPHZ256rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 18036 /* vsqrtph */, X86::VSQRTPHZ256mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 18036 /* vsqrtph */, X86::VSQRTPHZrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 18036 /* vsqrtph */, X86::VSQRTPHZmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512 }, },
{ 18036 /* vsqrtph */, X86::VSQRTPHZ128rkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 18036 /* vsqrtph */, X86::VSQRTPHZ128mkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 18036 /* vsqrtph */, X86::VSQRTPHZ128mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem165_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 18036 /* vsqrtph */, X86::VSQRTPHZ256rkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 18036 /* vsqrtph */, X86::VSQRTPHZ256mkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 18036 /* vsqrtph */, X86::VSQRTPHZ256mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem165_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 18036 /* vsqrtph */, X86::VSQRTPHZrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 18036 /* vsqrtph */, X86::VSQRTPHZmkz, Convert__Reg1_0__Reg1_2__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512 }, },
{ 18036 /* vsqrtph */, X86::VSQRTPHZrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__AVX512RC1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_AVX512RC }, },
{ 18036 /* vsqrtph */, X86::VSQRTPHZmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem165_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 18036 /* vsqrtph */, X86::VSQRTPHZ128mbkz, Convert__Reg1_0__Reg1_2__Mem165_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 18036 /* vsqrtph */, X86::VSQRTPHZ256mbkz, Convert__Reg1_0__Reg1_2__Mem165_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 18036 /* vsqrtph */, X86::VSQRTPHZrbkz, Convert__Reg1_0__Reg1_2__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_AVX512RC }, },
{ 18036 /* vsqrtph */, X86::VSQRTPHZmbkz, Convert__Reg1_0__Reg1_2__Mem165_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 18044 /* vsqrtps */, X86::VSQRTPSr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 18044 /* vsqrtps */, X86::VSQRTPSm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 18044 /* vsqrtps */, X86::VSQRTPSYr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256, MCK_VR256 }, },
{ 18044 /* vsqrtps */, X86::VSQRTPSYm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR256, MCK_Mem256 }, },
{ 18044 /* vsqrtps */, X86::VSQRTPSZ128r, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 18044 /* vsqrtps */, X86::VSQRTPSZ128m, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16X, MCK_Mem128 }, },
{ 18044 /* vsqrtps */, X86::VSQRTPSZ256r, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256X, MCK_VR256X }, },
{ 18044 /* vsqrtps */, X86::VSQRTPSZ256m, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR256X, MCK_Mem256 }, },
{ 18044 /* vsqrtps */, X86::VSQRTPSZr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR512, MCK_VR512 }, },
{ 18044 /* vsqrtps */, X86::VSQRTPSZm, Convert__Reg1_0__Mem5125_1, AMFBS_None, { MCK_VR512, MCK_Mem512 }, },
{ 18044 /* vsqrtps */, X86::VSQRTPSZ128mb, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 18044 /* vsqrtps */, X86::VSQRTPSZ256mb, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 18044 /* vsqrtps */, X86::VSQRTPSZrb, Convert__Reg1_0__Reg1_1__AVX512RC1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 18044 /* vsqrtps */, X86::VSQRTPSZmb, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 18044 /* vsqrtps */, X86::VSQRTPSZ128rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X }, },
{ 18044 /* vsqrtps */, X86::VSQRTPSZ128mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem1285_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem128 }, },
{ 18044 /* vsqrtps */, X86::VSQRTPSZ256rk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X }, },
{ 18044 /* vsqrtps */, X86::VSQRTPSZ256mk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem2565_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem256 }, },
{ 18044 /* vsqrtps */, X86::VSQRTPSZrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512 }, },
{ 18044 /* vsqrtps */, X86::VSQRTPSZmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem5125_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem512 }, },
{ 18044 /* vsqrtps */, X86::VSQRTPSZ128rkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X }, },
{ 18044 /* vsqrtps */, X86::VSQRTPSZ128mkz, Convert__Reg1_0__Reg1_2__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem128 }, },
{ 18044 /* vsqrtps */, X86::VSQRTPSZ128mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 18044 /* vsqrtps */, X86::VSQRTPSZ256rkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X }, },
{ 18044 /* vsqrtps */, X86::VSQRTPSZ256mkz, Convert__Reg1_0__Reg1_2__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem256 }, },
{ 18044 /* vsqrtps */, X86::VSQRTPSZ256mbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 18044 /* vsqrtps */, X86::VSQRTPSZrkz, Convert__Reg1_0__Reg1_2__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512 }, },
{ 18044 /* vsqrtps */, X86::VSQRTPSZmkz, Convert__Reg1_0__Reg1_2__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem512 }, },
{ 18044 /* vsqrtps */, X86::VSQRTPSZrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__AVX512RC1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_AVX512RC }, },
{ 18044 /* vsqrtps */, X86::VSQRTPSZmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Mem325_4, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 18044 /* vsqrtps */, X86::VSQRTPSZ128mbkz, Convert__Reg1_0__Reg1_2__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 18044 /* vsqrtps */, X86::VSQRTPSZ256mbkz, Convert__Reg1_0__Reg1_2__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 18044 /* vsqrtps */, X86::VSQRTPSZrbkz, Convert__Reg1_0__Reg1_2__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_AVX512RC }, },
{ 18044 /* vsqrtps */, X86::VSQRTPSZmbkz, Convert__Reg1_0__Reg1_2__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 18052 /* vsqrtsd */, X86::VSQRTSDr_Int, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 18052 /* vsqrtsd */, X86::VSQRTSDm_Int, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem64 }, },
{ 18052 /* vsqrtsd */, X86::VSQRTSDZr_Int, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 18052 /* vsqrtsd */, X86::VSQRTSDZm_Int, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64 }, },
{ 18052 /* vsqrtsd */, X86::VSQRTSDZrb_Int, Convert__Reg1_0__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 18052 /* vsqrtsd */, X86::VSQRTSDZr_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 18052 /* vsqrtsd */, X86::VSQRTSDZm_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64 }, },
{ 18052 /* vsqrtsd */, X86::VSQRTSDZr_Intkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 18052 /* vsqrtsd */, X86::VSQRTSDZm_Intkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64 }, },
{ 18052 /* vsqrtsd */, X86::VSQRTSDZrb_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 18052 /* vsqrtsd */, X86::VSQRTSDZrb_Intkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 18060 /* vsqrtsh */, X86::VSQRTSHZr_Int, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 18060 /* vsqrtsh */, X86::VSQRTSHZm_Int, Convert__Reg1_0__Reg1_1__Mem165_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem16 }, },
{ 18060 /* vsqrtsh */, X86::VSQRTSHZrb_Int, Convert__Reg1_0__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 18060 /* vsqrtsh */, X86::VSQRTSHZr_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 18060 /* vsqrtsh */, X86::VSQRTSHZm_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem16 }, },
{ 18060 /* vsqrtsh */, X86::VSQRTSHZr_Intkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 18060 /* vsqrtsh */, X86::VSQRTSHZm_Intkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem16 }, },
{ 18060 /* vsqrtsh */, X86::VSQRTSHZrb_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 18060 /* vsqrtsh */, X86::VSQRTSHZrb_Intkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 18068 /* vsqrtss */, X86::VSQRTSSr_Int, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 18068 /* vsqrtss */, X86::VSQRTSSm_Int, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem32 }, },
{ 18068 /* vsqrtss */, X86::VSQRTSSZr_Int, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 18068 /* vsqrtss */, X86::VSQRTSSZm_Int, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32 }, },
{ 18068 /* vsqrtss */, X86::VSQRTSSZrb_Int, Convert__Reg1_0__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 18068 /* vsqrtss */, X86::VSQRTSSZr_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 18068 /* vsqrtss */, X86::VSQRTSSZm_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32 }, },
{ 18068 /* vsqrtss */, X86::VSQRTSSZr_Intkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 18068 /* vsqrtss */, X86::VSQRTSSZm_Intkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32 }, },
{ 18068 /* vsqrtss */, X86::VSQRTSSZrb_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 18068 /* vsqrtss */, X86::VSQRTSSZrb_Intkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 18076 /* vstmxcsr */, X86::VSTMXCSR, Convert__Mem325_0, AMFBS_None, { MCK_Mem32 }, },
{ 18085 /* vsubpd */, X86::VSUBPDrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 18085 /* vsubpd */, X86::VSUBPDrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 18085 /* vsubpd */, X86::VSUBPDYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 18085 /* vsubpd */, X86::VSUBPDYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 18085 /* vsubpd */, X86::VSUBPDZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 18085 /* vsubpd */, X86::VSUBPDZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 18085 /* vsubpd */, X86::VSUBPDZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 18085 /* vsubpd */, X86::VSUBPDZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 18085 /* vsubpd */, X86::VSUBPDZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 18085 /* vsubpd */, X86::VSUBPDZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 18085 /* vsubpd */, X86::VSUBPDZ128rmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 18085 /* vsubpd */, X86::VSUBPDZ256rmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 18085 /* vsubpd */, X86::VSUBPDZrrb, Convert__Reg1_0__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 18085 /* vsubpd */, X86::VSUBPDZrmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 18085 /* vsubpd */, X86::VSUBPDZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 18085 /* vsubpd */, X86::VSUBPDZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 18085 /* vsubpd */, X86::VSUBPDZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 18085 /* vsubpd */, X86::VSUBPDZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 18085 /* vsubpd */, X86::VSUBPDZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 18085 /* vsubpd */, X86::VSUBPDZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 18085 /* vsubpd */, X86::VSUBPDZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 18085 /* vsubpd */, X86::VSUBPDZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 18085 /* vsubpd */, X86::VSUBPDZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 18085 /* vsubpd */, X86::VSUBPDZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 18085 /* vsubpd */, X86::VSUBPDZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 18085 /* vsubpd */, X86::VSUBPDZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 18085 /* vsubpd */, X86::VSUBPDZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 18085 /* vsubpd */, X86::VSUBPDZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 18085 /* vsubpd */, X86::VSUBPDZrrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 18085 /* vsubpd */, X86::VSUBPDZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 18085 /* vsubpd */, X86::VSUBPDZ128rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 18085 /* vsubpd */, X86::VSUBPDZ256rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 18085 /* vsubpd */, X86::VSUBPDZrrbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 18085 /* vsubpd */, X86::VSUBPDZrmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 18092 /* vsubph */, X86::VSUBPHZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 18092 /* vsubph */, X86::VSUBPHZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 18092 /* vsubph */, X86::VSUBPHZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 18092 /* vsubph */, X86::VSUBPHZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 18092 /* vsubph */, X86::VSUBPHZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 18092 /* vsubph */, X86::VSUBPHZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 18092 /* vsubph */, X86::VSUBPHZ128rmb, Convert__Reg1_0__Reg1_1__Mem165_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 18092 /* vsubph */, X86::VSUBPHZ256rmb, Convert__Reg1_0__Reg1_1__Mem165_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 18092 /* vsubph */, X86::VSUBPHZrrb, Convert__Reg1_0__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 18092 /* vsubph */, X86::VSUBPHZrmb, Convert__Reg1_0__Reg1_1__Mem165_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 18092 /* vsubph */, X86::VSUBPHZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 18092 /* vsubph */, X86::VSUBPHZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 18092 /* vsubph */, X86::VSUBPHZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 18092 /* vsubph */, X86::VSUBPHZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 18092 /* vsubph */, X86::VSUBPHZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 18092 /* vsubph */, X86::VSUBPHZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 18092 /* vsubph */, X86::VSUBPHZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 18092 /* vsubph */, X86::VSUBPHZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 18092 /* vsubph */, X86::VSUBPHZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 18092 /* vsubph */, X86::VSUBPHZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 18092 /* vsubph */, X86::VSUBPHZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 18092 /* vsubph */, X86::VSUBPHZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 18092 /* vsubph */, X86::VSUBPHZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 18092 /* vsubph */, X86::VSUBPHZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 18092 /* vsubph */, X86::VSUBPHZrrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 18092 /* vsubph */, X86::VSUBPHZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 18092 /* vsubph */, X86::VSUBPHZ128rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem16, MCK__123_1to8_125_ }, },
{ 18092 /* vsubph */, X86::VSUBPHZ256rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem16, MCK__123_1to16_125_ }, },
{ 18092 /* vsubph */, X86::VSUBPHZrrbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 18092 /* vsubph */, X86::VSUBPHZrmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem16, MCK__123_1to32_125_ }, },
{ 18099 /* vsubps */, X86::VSUBPSrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 18099 /* vsubps */, X86::VSUBPSrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 18099 /* vsubps */, X86::VSUBPSYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 18099 /* vsubps */, X86::VSUBPSYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 18099 /* vsubps */, X86::VSUBPSZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 18099 /* vsubps */, X86::VSUBPSZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 18099 /* vsubps */, X86::VSUBPSZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 18099 /* vsubps */, X86::VSUBPSZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 18099 /* vsubps */, X86::VSUBPSZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 18099 /* vsubps */, X86::VSUBPSZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 18099 /* vsubps */, X86::VSUBPSZ128rmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 18099 /* vsubps */, X86::VSUBPSZ256rmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 18099 /* vsubps */, X86::VSUBPSZrrb, Convert__Reg1_0__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 18099 /* vsubps */, X86::VSUBPSZrmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 18099 /* vsubps */, X86::VSUBPSZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 18099 /* vsubps */, X86::VSUBPSZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 18099 /* vsubps */, X86::VSUBPSZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 18099 /* vsubps */, X86::VSUBPSZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 18099 /* vsubps */, X86::VSUBPSZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 18099 /* vsubps */, X86::VSUBPSZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 18099 /* vsubps */, X86::VSUBPSZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 18099 /* vsubps */, X86::VSUBPSZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 18099 /* vsubps */, X86::VSUBPSZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 18099 /* vsubps */, X86::VSUBPSZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 18099 /* vsubps */, X86::VSUBPSZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 18099 /* vsubps */, X86::VSUBPSZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 18099 /* vsubps */, X86::VSUBPSZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 18099 /* vsubps */, X86::VSUBPSZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 18099 /* vsubps */, X86::VSUBPSZrrbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 18099 /* vsubps */, X86::VSUBPSZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 18099 /* vsubps */, X86::VSUBPSZ128rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 18099 /* vsubps */, X86::VSUBPSZ256rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 18099 /* vsubps */, X86::VSUBPSZrrbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512, MCK_AVX512RC }, },
{ 18099 /* vsubps */, X86::VSUBPSZrmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 18106 /* vsubsd */, X86::VSUBSDrr_Int, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 18106 /* vsubsd */, X86::VSUBSDrm_Int, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem64 }, },
{ 18106 /* vsubsd */, X86::VSUBSDZrr_Int, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 18106 /* vsubsd */, X86::VSUBSDZrm_Int, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64 }, },
{ 18106 /* vsubsd */, X86::VSUBSDZrrb_Int, Convert__Reg1_0__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 18106 /* vsubsd */, X86::VSUBSDZrr_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 18106 /* vsubsd */, X86::VSUBSDZrm_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64 }, },
{ 18106 /* vsubsd */, X86::VSUBSDZrr_Intkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 18106 /* vsubsd */, X86::VSUBSDZrm_Intkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64 }, },
{ 18106 /* vsubsd */, X86::VSUBSDZrrb_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 18106 /* vsubsd */, X86::VSUBSDZrrb_Intkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 18113 /* vsubsh */, X86::VSUBSHZrr_Int, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 18113 /* vsubsh */, X86::VSUBSHZrm_Int, Convert__Reg1_0__Reg1_1__Mem165_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem16 }, },
{ 18113 /* vsubsh */, X86::VSUBSHZrrb_Int, Convert__Reg1_0__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 18113 /* vsubsh */, X86::VSUBSHZrr_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 18113 /* vsubsh */, X86::VSUBSHZrm_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem165_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem16 }, },
{ 18113 /* vsubsh */, X86::VSUBSHZrr_Intkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 18113 /* vsubsh */, X86::VSUBSHZrm_Intkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem165_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem16 }, },
{ 18113 /* vsubsh */, X86::VSUBSHZrrb_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 18113 /* vsubsh */, X86::VSUBSHZrrb_Intkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 18120 /* vsubss */, X86::VSUBSSrr_Int, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 18120 /* vsubss */, X86::VSUBSSrm_Int, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem32 }, },
{ 18120 /* vsubss */, X86::VSUBSSZrr_Int, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 18120 /* vsubss */, X86::VSUBSSZrm_Int, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32 }, },
{ 18120 /* vsubss */, X86::VSUBSSZrrb_Int, Convert__Reg1_0__Reg1_1__Reg1_2__AVX512RC1_3, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 18120 /* vsubss */, X86::VSUBSSZrr_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 18120 /* vsubss */, X86::VSUBSSZrm_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32 }, },
{ 18120 /* vsubss */, X86::VSUBSSZrr_Intkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 18120 /* vsubss */, X86::VSUBSSZrm_Intkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32 }, },
{ 18120 /* vsubss */, X86::VSUBSSZrrb_Intk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5__AVX512RC1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 18120 /* vsubss */, X86::VSUBSSZrrb_Intkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6__AVX512RC1_7, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X, MCK_AVX512RC }, },
{ 18127 /* vtestpd */, X86::VTESTPDrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 18127 /* vtestpd */, X86::VTESTPDrm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 18127 /* vtestpd */, X86::VTESTPDYrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256, MCK_VR256 }, },
{ 18127 /* vtestpd */, X86::VTESTPDYrm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR256, MCK_Mem256 }, },
{ 18135 /* vtestps */, X86::VTESTPSrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 18135 /* vtestps */, X86::VTESTPSrm, Convert__Reg1_0__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 18135 /* vtestps */, X86::VTESTPSYrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_VR256, MCK_VR256 }, },
{ 18135 /* vtestps */, X86::VTESTPSYrm, Convert__Reg1_0__Mem2565_1, AMFBS_None, { MCK_VR256, MCK_Mem256 }, },
{ 18143 /* vucomisd */, X86::VUCOMISDrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 18143 /* vucomisd */, X86::VUCOMISDrm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_FR16, MCK_Mem64 }, },
{ 18143 /* vucomisd */, X86::VUCOMISDZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 18143 /* vucomisd */, X86::VUCOMISDZrm, Convert__Reg1_0__Mem645_1, AMFBS_None, { MCK_FR16X, MCK_Mem64 }, },
{ 18143 /* vucomisd */, X86::VUCOMISDZrrb, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_sae_125_ }, },
{ 18152 /* vucomish */, X86::VUCOMISHZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 18152 /* vucomish */, X86::VUCOMISHZrm, Convert__Reg1_0__Mem165_1, AMFBS_None, { MCK_FR16X, MCK_Mem16 }, },
{ 18152 /* vucomish */, X86::VUCOMISHZrrb, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_sae_125_ }, },
{ 18161 /* vucomiss */, X86::VUCOMISSrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 18161 /* vucomiss */, X86::VUCOMISSrm, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_FR16, MCK_Mem32 }, },
{ 18161 /* vucomiss */, X86::VUCOMISSZrr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X }, },
{ 18161 /* vucomiss */, X86::VUCOMISSZrm, Convert__Reg1_0__Mem325_1, AMFBS_None, { MCK_FR16X, MCK_Mem32 }, },
{ 18161 /* vucomiss */, X86::VUCOMISSZrrb, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK__123_sae_125_ }, },
{ 18170 /* vunpckhpd */, X86::VUNPCKHPDrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 18170 /* vunpckhpd */, X86::VUNPCKHPDrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 18170 /* vunpckhpd */, X86::VUNPCKHPDYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 18170 /* vunpckhpd */, X86::VUNPCKHPDYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 18170 /* vunpckhpd */, X86::VUNPCKHPDZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 18170 /* vunpckhpd */, X86::VUNPCKHPDZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 18170 /* vunpckhpd */, X86::VUNPCKHPDZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 18170 /* vunpckhpd */, X86::VUNPCKHPDZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 18170 /* vunpckhpd */, X86::VUNPCKHPDZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 18170 /* vunpckhpd */, X86::VUNPCKHPDZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 18170 /* vunpckhpd */, X86::VUNPCKHPDZ128rmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 18170 /* vunpckhpd */, X86::VUNPCKHPDZ256rmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 18170 /* vunpckhpd */, X86::VUNPCKHPDZrmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 18170 /* vunpckhpd */, X86::VUNPCKHPDZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 18170 /* vunpckhpd */, X86::VUNPCKHPDZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 18170 /* vunpckhpd */, X86::VUNPCKHPDZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 18170 /* vunpckhpd */, X86::VUNPCKHPDZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 18170 /* vunpckhpd */, X86::VUNPCKHPDZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 18170 /* vunpckhpd */, X86::VUNPCKHPDZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 18170 /* vunpckhpd */, X86::VUNPCKHPDZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 18170 /* vunpckhpd */, X86::VUNPCKHPDZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 18170 /* vunpckhpd */, X86::VUNPCKHPDZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 18170 /* vunpckhpd */, X86::VUNPCKHPDZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 18170 /* vunpckhpd */, X86::VUNPCKHPDZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 18170 /* vunpckhpd */, X86::VUNPCKHPDZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 18170 /* vunpckhpd */, X86::VUNPCKHPDZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 18170 /* vunpckhpd */, X86::VUNPCKHPDZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 18170 /* vunpckhpd */, X86::VUNPCKHPDZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 18170 /* vunpckhpd */, X86::VUNPCKHPDZ128rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 18170 /* vunpckhpd */, X86::VUNPCKHPDZ256rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 18170 /* vunpckhpd */, X86::VUNPCKHPDZrmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 18180 /* vunpckhps */, X86::VUNPCKHPSrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 18180 /* vunpckhps */, X86::VUNPCKHPSrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 18180 /* vunpckhps */, X86::VUNPCKHPSYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 18180 /* vunpckhps */, X86::VUNPCKHPSYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 18180 /* vunpckhps */, X86::VUNPCKHPSZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 18180 /* vunpckhps */, X86::VUNPCKHPSZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 18180 /* vunpckhps */, X86::VUNPCKHPSZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 18180 /* vunpckhps */, X86::VUNPCKHPSZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 18180 /* vunpckhps */, X86::VUNPCKHPSZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 18180 /* vunpckhps */, X86::VUNPCKHPSZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 18180 /* vunpckhps */, X86::VUNPCKHPSZ128rmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 18180 /* vunpckhps */, X86::VUNPCKHPSZ256rmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 18180 /* vunpckhps */, X86::VUNPCKHPSZrmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 18180 /* vunpckhps */, X86::VUNPCKHPSZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 18180 /* vunpckhps */, X86::VUNPCKHPSZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 18180 /* vunpckhps */, X86::VUNPCKHPSZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 18180 /* vunpckhps */, X86::VUNPCKHPSZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 18180 /* vunpckhps */, X86::VUNPCKHPSZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 18180 /* vunpckhps */, X86::VUNPCKHPSZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 18180 /* vunpckhps */, X86::VUNPCKHPSZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 18180 /* vunpckhps */, X86::VUNPCKHPSZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 18180 /* vunpckhps */, X86::VUNPCKHPSZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 18180 /* vunpckhps */, X86::VUNPCKHPSZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 18180 /* vunpckhps */, X86::VUNPCKHPSZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 18180 /* vunpckhps */, X86::VUNPCKHPSZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 18180 /* vunpckhps */, X86::VUNPCKHPSZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 18180 /* vunpckhps */, X86::VUNPCKHPSZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 18180 /* vunpckhps */, X86::VUNPCKHPSZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 18180 /* vunpckhps */, X86::VUNPCKHPSZ128rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 18180 /* vunpckhps */, X86::VUNPCKHPSZ256rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 18180 /* vunpckhps */, X86::VUNPCKHPSZrmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 18190 /* vunpcklpd */, X86::VUNPCKLPDrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 18190 /* vunpcklpd */, X86::VUNPCKLPDrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 18190 /* vunpcklpd */, X86::VUNPCKLPDYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 18190 /* vunpcklpd */, X86::VUNPCKLPDYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 18190 /* vunpcklpd */, X86::VUNPCKLPDZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 18190 /* vunpcklpd */, X86::VUNPCKLPDZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 18190 /* vunpcklpd */, X86::VUNPCKLPDZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 18190 /* vunpcklpd */, X86::VUNPCKLPDZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 18190 /* vunpcklpd */, X86::VUNPCKLPDZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 18190 /* vunpcklpd */, X86::VUNPCKLPDZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 18190 /* vunpcklpd */, X86::VUNPCKLPDZ128rmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 18190 /* vunpcklpd */, X86::VUNPCKLPDZ256rmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 18190 /* vunpcklpd */, X86::VUNPCKLPDZrmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 18190 /* vunpcklpd */, X86::VUNPCKLPDZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 18190 /* vunpcklpd */, X86::VUNPCKLPDZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 18190 /* vunpcklpd */, X86::VUNPCKLPDZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 18190 /* vunpcklpd */, X86::VUNPCKLPDZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 18190 /* vunpcklpd */, X86::VUNPCKLPDZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 18190 /* vunpcklpd */, X86::VUNPCKLPDZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 18190 /* vunpcklpd */, X86::VUNPCKLPDZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 18190 /* vunpcklpd */, X86::VUNPCKLPDZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 18190 /* vunpcklpd */, X86::VUNPCKLPDZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 18190 /* vunpcklpd */, X86::VUNPCKLPDZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 18190 /* vunpcklpd */, X86::VUNPCKLPDZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 18190 /* vunpcklpd */, X86::VUNPCKLPDZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 18190 /* vunpcklpd */, X86::VUNPCKLPDZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 18190 /* vunpcklpd */, X86::VUNPCKLPDZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 18190 /* vunpcklpd */, X86::VUNPCKLPDZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 18190 /* vunpcklpd */, X86::VUNPCKLPDZ128rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 18190 /* vunpcklpd */, X86::VUNPCKLPDZ256rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 18190 /* vunpcklpd */, X86::VUNPCKLPDZrmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 18200 /* vunpcklps */, X86::VUNPCKLPSrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 18200 /* vunpcklps */, X86::VUNPCKLPSrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 18200 /* vunpcklps */, X86::VUNPCKLPSYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 18200 /* vunpcklps */, X86::VUNPCKLPSYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 18200 /* vunpcklps */, X86::VUNPCKLPSZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 18200 /* vunpcklps */, X86::VUNPCKLPSZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 18200 /* vunpcklps */, X86::VUNPCKLPSZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 18200 /* vunpcklps */, X86::VUNPCKLPSZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 18200 /* vunpcklps */, X86::VUNPCKLPSZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 18200 /* vunpcklps */, X86::VUNPCKLPSZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 18200 /* vunpcklps */, X86::VUNPCKLPSZ128rmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 18200 /* vunpcklps */, X86::VUNPCKLPSZ256rmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 18200 /* vunpcklps */, X86::VUNPCKLPSZrmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 18200 /* vunpcklps */, X86::VUNPCKLPSZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 18200 /* vunpcklps */, X86::VUNPCKLPSZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 18200 /* vunpcklps */, X86::VUNPCKLPSZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 18200 /* vunpcklps */, X86::VUNPCKLPSZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 18200 /* vunpcklps */, X86::VUNPCKLPSZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 18200 /* vunpcklps */, X86::VUNPCKLPSZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 18200 /* vunpcklps */, X86::VUNPCKLPSZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 18200 /* vunpcklps */, X86::VUNPCKLPSZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 18200 /* vunpcklps */, X86::VUNPCKLPSZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 18200 /* vunpcklps */, X86::VUNPCKLPSZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 18200 /* vunpcklps */, X86::VUNPCKLPSZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 18200 /* vunpcklps */, X86::VUNPCKLPSZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 18200 /* vunpcklps */, X86::VUNPCKLPSZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 18200 /* vunpcklps */, X86::VUNPCKLPSZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 18200 /* vunpcklps */, X86::VUNPCKLPSZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 18200 /* vunpcklps */, X86::VUNPCKLPSZ128rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 18200 /* vunpcklps */, X86::VUNPCKLPSZ256rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 18200 /* vunpcklps */, X86::VUNPCKLPSZrmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 18210 /* vxorpd */, X86::VXORPDrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 18210 /* vxorpd */, X86::VXORPDrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 18210 /* vxorpd */, X86::VXORPDYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 18210 /* vxorpd */, X86::VXORPDYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 18210 /* vxorpd */, X86::VXORPDZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 18210 /* vxorpd */, X86::VXORPDZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 18210 /* vxorpd */, X86::VXORPDZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 18210 /* vxorpd */, X86::VXORPDZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 18210 /* vxorpd */, X86::VXORPDZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 18210 /* vxorpd */, X86::VXORPDZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 18210 /* vxorpd */, X86::VXORPDZ128rmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 18210 /* vxorpd */, X86::VXORPDZ256rmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 18210 /* vxorpd */, X86::VXORPDZrmb, Convert__Reg1_0__Reg1_1__Mem645_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 18210 /* vxorpd */, X86::VXORPDZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 18210 /* vxorpd */, X86::VXORPDZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 18210 /* vxorpd */, X86::VXORPDZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 18210 /* vxorpd */, X86::VXORPDZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 18210 /* vxorpd */, X86::VXORPDZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 18210 /* vxorpd */, X86::VXORPDZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 18210 /* vxorpd */, X86::VXORPDZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 18210 /* vxorpd */, X86::VXORPDZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 18210 /* vxorpd */, X86::VXORPDZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 18210 /* vxorpd */, X86::VXORPDZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 18210 /* vxorpd */, X86::VXORPDZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 18210 /* vxorpd */, X86::VXORPDZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 18210 /* vxorpd */, X86::VXORPDZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 18210 /* vxorpd */, X86::VXORPDZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 18210 /* vxorpd */, X86::VXORPDZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem645_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 18210 /* vxorpd */, X86::VXORPDZ128rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem64, MCK__123_1to2_125_ }, },
{ 18210 /* vxorpd */, X86::VXORPDZ256rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem64, MCK__123_1to4_125_ }, },
{ 18210 /* vxorpd */, X86::VXORPDZrmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem645_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem64, MCK__123_1to8_125_ }, },
{ 18217 /* vxorps */, X86::VXORPSrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_FR16 }, },
{ 18217 /* vxorps */, X86::VXORPSrm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16, MCK_FR16, MCK_Mem128 }, },
{ 18217 /* vxorps */, X86::VXORPSYrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_VR256 }, },
{ 18217 /* vxorps */, X86::VXORPSYrm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256, MCK_VR256, MCK_Mem256 }, },
{ 18217 /* vxorps */, X86::VXORPSZ128rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_FR16X }, },
{ 18217 /* vxorps */, X86::VXORPSZ128rm, Convert__Reg1_0__Reg1_1__Mem1285_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem128 }, },
{ 18217 /* vxorps */, X86::VXORPSZ256rr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_VR256X }, },
{ 18217 /* vxorps */, X86::VXORPSZ256rm, Convert__Reg1_0__Reg1_1__Mem2565_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem256 }, },
{ 18217 /* vxorps */, X86::VXORPSZrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_VR512 }, },
{ 18217 /* vxorps */, X86::VXORPSZrm, Convert__Reg1_0__Reg1_1__Mem5125_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem512 }, },
{ 18217 /* vxorps */, X86::VXORPSZ128rmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_FR16X, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 18217 /* vxorps */, X86::VXORPSZ256rmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR256X, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 18217 /* vxorps */, X86::VXORPSZrmb, Convert__Reg1_0__Reg1_1__Mem325_2, AMFBS_None, { MCK_VR512, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 18217 /* vxorps */, X86::VXORPSZ128rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_FR16X }, },
{ 18217 /* vxorps */, X86::VXORPSZ128rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem1285_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem128 }, },
{ 18217 /* vxorps */, X86::VXORPSZ256rrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_VR256X }, },
{ 18217 /* vxorps */, X86::VXORPSZ256rmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem2565_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem256 }, },
{ 18217 /* vxorps */, X86::VXORPSZrrk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Reg1_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_VR512 }, },
{ 18217 /* vxorps */, X86::VXORPSZrmk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem5125_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem512 }, },
{ 18217 /* vxorps */, X86::VXORPSZ128rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_FR16X }, },
{ 18217 /* vxorps */, X86::VXORPSZ128rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem1285_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem128 }, },
{ 18217 /* vxorps */, X86::VXORPSZ128rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 18217 /* vxorps */, X86::VXORPSZ256rrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_VR256X }, },
{ 18217 /* vxorps */, X86::VXORPSZ256rmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem2565_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem256 }, },
{ 18217 /* vxorps */, X86::VXORPSZ256rmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 18217 /* vxorps */, X86::VXORPSZrrkz, Convert__Reg1_0__Reg1_2__Reg1_5__Reg1_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_VR512 }, },
{ 18217 /* vxorps */, X86::VXORPSZrmkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem5125_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem512 }, },
{ 18217 /* vxorps */, X86::VXORPSZrmbk, Convert__Reg1_0__Tie0_1_1__Reg1_2__Reg1_4__Mem325_5, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 18217 /* vxorps */, X86::VXORPSZ128rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_FR16X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_FR16X, MCK_Mem32, MCK__123_1to4_125_ }, },
{ 18217 /* vxorps */, X86::VXORPSZ256rmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR256X, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR256X, MCK_Mem32, MCK__123_1to8_125_ }, },
{ 18217 /* vxorps */, X86::VXORPSZrmbkz, Convert__Reg1_0__Reg1_2__Reg1_5__Mem325_6, AMFBS_None, { MCK_VR512, MCK__123_, MCK_VK16WM, MCK__125_, MCK__123_z_125_, MCK_VR512, MCK_Mem32, MCK__123_1to16_125_ }, },
{ 18224 /* vzeroall */, X86::VZEROALL, Convert_NoOperands, AMFBS_None, { }, },
{ 18233 /* vzeroupper */, X86::VZEROUPPER, Convert_NoOperands, AMFBS_None, { }, },
{ 18244 /* wait */, X86::WAIT, Convert_NoOperands, AMFBS_None, { }, },
{ 18249 /* wbinvd */, X86::WBINVD, Convert_NoOperands, AMFBS_None, { }, },
{ 18256 /* wbnoinvd */, X86::WBNOINVD, Convert_NoOperands, AMFBS_None, { }, },
{ 18265 /* wrfsbase */, X86::WRFSBASE, Convert__Reg1_0, AMFBS_In64BitMode, { MCK_GR32 }, },
{ 18265 /* wrfsbase */, X86::WRFSBASE64, Convert__Reg1_0, AMFBS_In64BitMode, { MCK_GR64 }, },
{ 18294 /* wrgsbase */, X86::WRGSBASE, Convert__Reg1_0, AMFBS_In64BitMode, { MCK_GR32 }, },
{ 18294 /* wrgsbase */, X86::WRGSBASE64, Convert__Reg1_0, AMFBS_In64BitMode, { MCK_GR64 }, },
{ 18323 /* wrmsr */, X86::WRMSR, Convert_NoOperands, AMFBS_None, { }, },
{ 18329 /* wrmsrlist */, X86::WRMSRLIST, Convert_NoOperands, AMFBS_In64BitMode, { }, },
{ 18339 /* wrmsrns */, X86::WRMSRNS, Convert_NoOperands, AMFBS_None, { }, },
{ 18347 /* wrpkru */, X86::WRPKRUr, Convert_NoOperands, AMFBS_None, { }, },
{ 18354 /* wrssd */, X86::WRSSD, Convert__Mem325_0__Reg1_1, AMFBS_None, { MCK_Mem32, MCK_GR32 }, },
{ 18360 /* wrssq */, X86::WRSSQ, Convert__Mem645_0__Reg1_1, AMFBS_None, { MCK_Mem64, MCK_GR64 }, },
{ 18366 /* wrussd */, X86::WRUSSD, Convert__Mem325_0__Reg1_1, AMFBS_None, { MCK_Mem32, MCK_GR32 }, },
{ 18373 /* wrussq */, X86::WRUSSQ, Convert__Mem645_0__Reg1_1, AMFBS_None, { MCK_Mem64, MCK_GR64 }, },
{ 18380 /* xabort */, X86::XABORT, Convert__Imm1_0, AMFBS_None, { MCK_Imm }, },
{ 18387 /* xacquire */, X86::XACQUIRE_PREFIX, Convert_NoOperands, AMFBS_None, { }, },
{ 18396 /* xadd */, X86::XADD16rr, Convert__Reg1_0__Reg1_1__Tie0_1_1__Tie1_2_2, AMFBS_None, { MCK_GR16, MCK_GR16 }, },
{ 18396 /* xadd */, X86::XADD32rr, Convert__Reg1_0__Reg1_1__Tie0_1_1__Tie1_2_2, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 18396 /* xadd */, X86::XADD64rr, Convert__Reg1_0__Reg1_1__Tie0_1_1__Tie1_2_2, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 18396 /* xadd */, X86::XADD8rr, Convert__Reg1_0__Reg1_1__Tie0_1_1__Tie1_2_2, AMFBS_None, { MCK_GR8, MCK_GR8 }, },
{ 18396 /* xadd */, X86::XADD16rm, Convert__Reg1_1__Tie0_2_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_GR16 }, },
{ 18396 /* xadd */, X86::XADD32rm, Convert__Reg1_1__Tie0_2_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_GR32 }, },
{ 18396 /* xadd */, X86::XADD64rm, Convert__Reg1_1__Tie0_2_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_GR64 }, },
{ 18396 /* xadd */, X86::XADD8rm, Convert__Reg1_1__Tie0_2_2__Mem85_0, AMFBS_None, { MCK_Mem8, MCK_GR8 }, },
{ 18425 /* xbegin */, X86::XBEGIN_2, Convert__AbsMem161_0, AMFBS_None, { MCK_AbsMem16 }, },
{ 18425 /* xbegin */, X86::XBEGIN_4, Convert__AbsMem1_0, AMFBS_None, { MCK_AbsMem }, },
{ 18432 /* xchg */, X86::XCHG16ar, Convert__Reg1_1__Tie0_2_2, AMFBS_None, { MCK_AX, MCK_GR16 }, },
{ 18432 /* xchg */, X86::XCHG32rr, Convert__regEAX__regEAX__Tie0_1_1__Tie1_1_1, AMFBS_In64BitMode, { MCK_EAX, MCK_EAX }, },
{ 18432 /* xchg */, X86::XCHG32ar, Convert__Reg1_1__Tie0_2_2, AMFBS_None, { MCK_EAX, MCK_GR32 }, },
{ 18432 /* xchg */, X86::NOOP, Convert_NoOperands, AMFBS_None, { MCK_RAX, MCK_RAX }, },
{ 18432 /* xchg */, X86::XCHG64ar, Convert__Reg1_1__Tie0_2_2, AMFBS_None, { MCK_RAX, MCK_GR64 }, },
{ 18432 /* xchg */, X86::XCHG16ar, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR16, MCK_AX }, },
{ 18432 /* xchg */, X86::XCHG16rr, Convert__Reg1_0__Reg1_1__Tie0_1_1__Tie1_2_2, AMFBS_None, { MCK_GR16, MCK_GR16 }, },
{ 18432 /* xchg */, X86::XCHG16rm, Convert__Reg1_0__Tie0_1_1__Mem165_1, AMFBS_None, { MCK_GR16, MCK_Mem16 }, },
{ 18432 /* xchg */, X86::XCHG32ar, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR32, MCK_EAX }, },
{ 18432 /* xchg */, X86::XCHG32rr, Convert__Reg1_0__Reg1_1__Tie0_1_1__Tie1_2_2, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 18432 /* xchg */, X86::XCHG32rm, Convert__Reg1_0__Tie0_1_1__Mem325_1, AMFBS_None, { MCK_GR32, MCK_Mem32 }, },
{ 18432 /* xchg */, X86::XCHG64ar, Convert__Reg1_0__Tie0_1_1, AMFBS_None, { MCK_GR64, MCK_RAX }, },
{ 18432 /* xchg */, X86::XCHG64rr, Convert__Reg1_0__Reg1_1__Tie0_1_1__Tie1_2_2, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 18432 /* xchg */, X86::XCHG64rm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_GR64, MCK_Mem64 }, },
{ 18432 /* xchg */, X86::XCHG8rr, Convert__Reg1_0__Reg1_1__Tie0_1_1__Tie1_2_2, AMFBS_None, { MCK_GR8, MCK_GR8 }, },
{ 18432 /* xchg */, X86::XCHG8rm, Convert__Reg1_0__Tie0_1_1__Mem85_1, AMFBS_None, { MCK_GR8, MCK_Mem8 }, },
{ 18432 /* xchg */, X86::XCHG16rm, Convert__Reg1_1__Tie0_2_2__Mem165_0, AMFBS_None, { MCK_Mem16, MCK_GR16 }, },
{ 18432 /* xchg */, X86::XCHG32rm, Convert__Reg1_1__Tie0_2_2__Mem325_0, AMFBS_None, { MCK_Mem32, MCK_GR32 }, },
{ 18432 /* xchg */, X86::XCHG64rm, Convert__Reg1_1__Tie0_2_2__Mem645_0, AMFBS_None, { MCK_Mem64, MCK_GR64 }, },
{ 18432 /* xchg */, X86::XCHG8rm, Convert__Reg1_1__Tie0_2_2__Mem85_0, AMFBS_None, { MCK_Mem8, MCK_GR8 }, },
{ 18461 /* xcryptcbc */, X86::XCRYPTCBC, Convert_NoOperands, AMFBS_None, { }, },
{ 18471 /* xcryptcfb */, X86::XCRYPTCFB, Convert_NoOperands, AMFBS_None, { }, },
{ 18481 /* xcryptctr */, X86::XCRYPTCTR, Convert_NoOperands, AMFBS_None, { }, },
{ 18491 /* xcryptecb */, X86::XCRYPTECB, Convert_NoOperands, AMFBS_None, { }, },
{ 18501 /* xcryptofb */, X86::XCRYPTOFB, Convert_NoOperands, AMFBS_None, { }, },
{ 18511 /* xend */, X86::XEND, Convert_NoOperands, AMFBS_None, { }, },
{ 18516 /* xgetbv */, X86::XGETBV, Convert_NoOperands, AMFBS_None, { }, },
{ 18523 /* xlatb */, X86::XLAT, Convert_NoOperands, AMFBS_None, { }, },
{ 18529 /* xor */, X86::XOR8i8, Convert__Imm1_1, AMFBS_None, { MCK_AL, MCK_Imm }, },
{ 18529 /* xor */, X86::XOR16ri8, Convert__regAX__Tie0_1_1__ImmSExti16i81_1, AMFBS_None, { MCK_AX, MCK_ImmSExti16i8 }, },
{ 18529 /* xor */, X86::XOR16i16, Convert__Imm1_1, AMFBS_None, { MCK_AX, MCK_Imm }, },
{ 18529 /* xor */, X86::XOR32ri8, Convert__regEAX__Tie0_1_1__ImmSExti32i81_1, AMFBS_None, { MCK_EAX, MCK_ImmSExti32i8 }, },
{ 18529 /* xor */, X86::XOR32i32, Convert__Imm1_1, AMFBS_None, { MCK_EAX, MCK_Imm }, },
{ 18529 /* xor */, X86::XOR64ri8, Convert__regRAX__Tie0_1_1__ImmSExti64i81_1, AMFBS_None, { MCK_RAX, MCK_ImmSExti64i8 }, },
{ 18529 /* xor */, X86::XOR64i32, Convert__ImmSExti64i321_1, AMFBS_None, { MCK_RAX, MCK_ImmSExti64i32 }, },
{ 18529 /* xor */, X86::XOR16rr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_GR16, MCK_GR16 }, },
{ 18529 /* xor */, X86::XOR16ri8, Convert__Reg1_0__Tie0_1_1__ImmSExti16i81_1, AMFBS_None, { MCK_GR16, MCK_ImmSExti16i8 }, },
{ 18529 /* xor */, X86::XOR16ri, Convert__Reg1_0__Tie0_1_1__Imm1_1, AMFBS_None, { MCK_GR16, MCK_Imm }, },
{ 18529 /* xor */, X86::XOR16rm, Convert__Reg1_0__Tie0_1_1__Mem165_1, AMFBS_None, { MCK_GR16, MCK_Mem16 }, },
{ 18529 /* xor */, X86::XOR32rr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_GR32, MCK_GR32 }, },
{ 18529 /* xor */, X86::XOR32ri8, Convert__Reg1_0__Tie0_1_1__ImmSExti32i81_1, AMFBS_None, { MCK_GR32, MCK_ImmSExti32i8 }, },
{ 18529 /* xor */, X86::XOR32ri, Convert__Reg1_0__Tie0_1_1__Imm1_1, AMFBS_None, { MCK_GR32, MCK_Imm }, },
{ 18529 /* xor */, X86::XOR32rm, Convert__Reg1_0__Tie0_1_1__Mem325_1, AMFBS_None, { MCK_GR32, MCK_Mem32 }, },
{ 18529 /* xor */, X86::XOR64rr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_GR64, MCK_GR64 }, },
{ 18529 /* xor */, X86::XOR64ri8, Convert__Reg1_0__Tie0_1_1__ImmSExti64i81_1, AMFBS_None, { MCK_GR64, MCK_ImmSExti64i8 }, },
{ 18529 /* xor */, X86::XOR64ri32, Convert__Reg1_0__Tie0_1_1__ImmSExti64i321_1, AMFBS_None, { MCK_GR64, MCK_ImmSExti64i32 }, },
{ 18529 /* xor */, X86::XOR64rm, Convert__Reg1_0__Tie0_1_1__Mem645_1, AMFBS_None, { MCK_GR64, MCK_Mem64 }, },
{ 18529 /* xor */, X86::XOR8rr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_GR8, MCK_GR8 }, },
{ 18529 /* xor */, X86::XOR8ri, Convert__Reg1_0__Tie0_1_1__Imm1_1, AMFBS_None, { MCK_GR8, MCK_Imm }, },
{ 18529 /* xor */, X86::XOR8rm, Convert__Reg1_0__Tie0_1_1__Mem85_1, AMFBS_None, { MCK_GR8, MCK_Mem8 }, },
{ 18529 /* xor */, X86::XOR16mr, Convert__Mem165_0__Reg1_1, AMFBS_None, { MCK_Mem16, MCK_GR16 }, },
{ 18529 /* xor */, X86::XOR16mi8, Convert__Mem165_0__ImmSExti16i81_1, AMFBS_None, { MCK_Mem16, MCK_ImmSExti16i8 }, },
{ 18529 /* xor */, X86::XOR16mi, Convert__Mem165_0__Imm1_1, AMFBS_None, { MCK_Mem16, MCK_Imm }, },
{ 18529 /* xor */, X86::XOR32mr, Convert__Mem325_0__Reg1_1, AMFBS_None, { MCK_Mem32, MCK_GR32 }, },
{ 18529 /* xor */, X86::XOR32mi8, Convert__Mem325_0__ImmSExti32i81_1, AMFBS_None, { MCK_Mem32, MCK_ImmSExti32i8 }, },
{ 18529 /* xor */, X86::XOR32mi, Convert__Mem325_0__Imm1_1, AMFBS_None, { MCK_Mem32, MCK_Imm }, },
{ 18529 /* xor */, X86::XOR64mr, Convert__Mem645_0__Reg1_1, AMFBS_None, { MCK_Mem64, MCK_GR64 }, },
{ 18529 /* xor */, X86::XOR64mi8, Convert__Mem645_0__ImmSExti64i81_1, AMFBS_In64BitMode, { MCK_Mem64, MCK_ImmSExti64i8 }, },
{ 18529 /* xor */, X86::XOR64mi32, Convert__Mem645_0__ImmSExti64i321_1, AMFBS_In64BitMode, { MCK_Mem64, MCK_ImmSExti64i32 }, },
{ 18529 /* xor */, X86::XOR8mr, Convert__Mem85_0__Reg1_1, AMFBS_None, { MCK_Mem8, MCK_GR8 }, },
{ 18529 /* xor */, X86::XOR8mi, Convert__Mem85_0__Imm1_1, AMFBS_None, { MCK_Mem8, MCK_Imm }, },
{ 18543 /* xorpd */, X86::XORPDrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 18543 /* xorpd */, X86::XORPDrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 18549 /* xorps */, X86::XORPSrr, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_None, { MCK_FR16, MCK_FR16 }, },
{ 18549 /* xorps */, X86::XORPSrm, Convert__Reg1_0__Tie0_1_1__Mem1285_1, AMFBS_None, { MCK_FR16, MCK_Mem128 }, },
{ 18565 /* xrelease */, X86::XRELEASE_PREFIX, Convert_NoOperands, AMFBS_None, { }, },
{ 18574 /* xresldtrk */, X86::XRESLDTRK, Convert_NoOperands, AMFBS_None, { }, },
{ 18584 /* xrstor */, X86::XRSTOR, Convert__Mem5_0, AMFBS_None, { MCK_Mem }, },
{ 18591 /* xrstor64 */, X86::XRSTOR64, Convert__Mem5_0, AMFBS_In64BitMode, { MCK_Mem }, },
{ 18600 /* xrstors */, X86::XRSTORS, Convert__Mem5_0, AMFBS_None, { MCK_Mem }, },
{ 18608 /* xrstors64 */, X86::XRSTORS64, Convert__Mem5_0, AMFBS_In64BitMode, { MCK_Mem }, },
{ 18618 /* xsave */, X86::XSAVE, Convert__Mem5_0, AMFBS_None, { MCK_Mem }, },
{ 18624 /* xsave64 */, X86::XSAVE64, Convert__Mem5_0, AMFBS_In64BitMode, { MCK_Mem }, },
{ 18632 /* xsavec */, X86::XSAVEC, Convert__Mem5_0, AMFBS_None, { MCK_Mem }, },
{ 18639 /* xsavec64 */, X86::XSAVEC64, Convert__Mem5_0, AMFBS_In64BitMode, { MCK_Mem }, },
{ 18648 /* xsaveopt */, X86::XSAVEOPT, Convert__Mem5_0, AMFBS_None, { MCK_Mem }, },
{ 18657 /* xsaveopt64 */, X86::XSAVEOPT64, Convert__Mem5_0, AMFBS_In64BitMode, { MCK_Mem }, },
{ 18668 /* xsaves */, X86::XSAVES, Convert__Mem5_0, AMFBS_None, { MCK_Mem }, },
{ 18675 /* xsaves64 */, X86::XSAVES64, Convert__Mem5_0, AMFBS_In64BitMode, { MCK_Mem }, },
{ 18684 /* xsetbv */, X86::XSETBV, Convert_NoOperands, AMFBS_None, { }, },
{ 18691 /* xsha1 */, X86::XSHA1, Convert_NoOperands, AMFBS_None, { }, },
{ 18697 /* xsha256 */, X86::XSHA256, Convert_NoOperands, AMFBS_None, { }, },
{ 18705 /* xstore */, X86::XSTORE, Convert_NoOperands, AMFBS_None, { }, },
{ 18712 /* xstorerng */, X86::XSTORE, Convert_NoOperands, AMFBS_None, { }, },
{ 18722 /* xsusldtrk */, X86::XSUSLDTRK, Convert_NoOperands, AMFBS_None, { }, },
{ 18732 /* xtest */, X86::XTEST, Convert_NoOperands, AMFBS_None, { }, },
};
#include "llvm/Support/Debug.h"
#include "llvm/Support/Format.h"
unsigned X86AsmParser::
MatchInstructionImpl(const OperandVector &Operands,
MCInst &Inst,
uint64_t &ErrorInfo,
FeatureBitset &MissingFeatures,
bool matchingInlineAsm, unsigned VariantID) {
// Eliminate obvious mismatches.
if (Operands.size() > 10) {
ErrorInfo = 10;
return Match_InvalidOperand;
}
// Get the current feature set.
const FeatureBitset &AvailableFeatures = getAvailableFeatures();
// Get the instruction mnemonic, which is the first token.
StringRef Mnemonic = ((X86Operand &)*Operands[0]).getToken();
// Process all MnemonicAliases to remap the mnemonic.
applyMnemonicAliases(Mnemonic, AvailableFeatures, VariantID);
// Some state to try to produce better error messages.
bool HadMatchOtherThanFeatures = false;
bool HadMatchOtherThanPredicate = false;
unsigned RetCode = Match_InvalidOperand;
MissingFeatures.set();
// Set ErrorInfo to the operand that mismatches if it is
// wrong for all instances of the instruction.
ErrorInfo = ~0ULL;
// Find the appropriate table for this asm variant.
const MatchEntry *Start, *End;
switch (VariantID) {
default: llvm_unreachable("invalid variant!");
case 0: Start = std::begin(MatchTable0); End = std::end(MatchTable0); break;
case 1: Start = std::begin(MatchTable1); End = std::end(MatchTable1); break;
}
// Search the table.
auto MnemonicRange = std::equal_range(Start, End, Mnemonic, LessOpcode());
DEBUG_WITH_TYPE("asm-matcher", dbgs() << "AsmMatcher: found " <<
std::distance(MnemonicRange.first, MnemonicRange.second) <<
" encodings with mnemonic '" << Mnemonic << "'\n");
// Return a more specific error code if no mnemonics match.
if (MnemonicRange.first == MnemonicRange.second)
return Match_MnemonicFail;
for (const MatchEntry *it = MnemonicRange.first, *ie = MnemonicRange.second;
it != ie; ++it) {
const FeatureBitset &RequiredFeatures = FeatureBitsets[it->RequiredFeaturesIdx];
bool HasRequiredFeatures =
(AvailableFeatures & RequiredFeatures) == RequiredFeatures;
DEBUG_WITH_TYPE("asm-matcher", dbgs() << "Trying to match opcode "
<< MII.getName(it->Opcode) << "\n");
// equal_range guarantees that instruction mnemonic matches.
assert(Mnemonic == it->getMnemonic());
bool OperandsValid = true;
for (unsigned FormalIdx = 0, ActualIdx = 1; FormalIdx != 9; ++FormalIdx) {
auto Formal = static_cast<MatchClassKind>(it->Classes[FormalIdx]);
DEBUG_WITH_TYPE("asm-matcher",
dbgs() << " Matching formal operand class " << getMatchClassName(Formal)
<< " against actual operand at index " << ActualIdx);
if (ActualIdx < Operands.size())
DEBUG_WITH_TYPE("asm-matcher", dbgs() << " (";
Operands[ActualIdx]->print(dbgs()); dbgs() << "): ");
else
DEBUG_WITH_TYPE("asm-matcher", dbgs() << ": ");
if (ActualIdx >= Operands.size()) {
DEBUG_WITH_TYPE("asm-matcher", dbgs() << "actual operand index out of range\n");
if (Formal == InvalidMatchClass) {
break;
}
if (isSubclass(Formal, OptionalMatchClass)) {
continue;
}
OperandsValid = false;
ErrorInfo = ActualIdx;
break;
}
MCParsedAsmOperand &Actual = *Operands[ActualIdx];
unsigned Diag = validateOperandClass(Actual, Formal);
if (Diag == Match_Success) {
DEBUG_WITH_TYPE("asm-matcher",
dbgs() << "match success using generic matcher\n");
++ActualIdx;
continue;
}
// If the generic handler indicates an invalid operand
// failure, check for a special case.
if (Diag != Match_Success) {
unsigned TargetDiag = validateTargetOperandClass(Actual, Formal);
if (TargetDiag == Match_Success) {
DEBUG_WITH_TYPE("asm-matcher",
dbgs() << "match success using target matcher\n");
++ActualIdx;
continue;
}
// If the target matcher returned a specific error code use
// that, else use the one from the generic matcher.
if (TargetDiag != Match_InvalidOperand && HasRequiredFeatures)
Diag = TargetDiag;
}
// If current formal operand wasn't matched and it is optional
// then try to match next formal operand
if (Diag == Match_InvalidOperand && isSubclass(Formal, OptionalMatchClass)) {
DEBUG_WITH_TYPE("asm-matcher", dbgs() << "ignoring optional operand\n");
continue;
}
// If this operand is broken for all of the instances of this
// mnemonic, keep track of it so we can report loc info.
// If we already had a match that only failed due to a
// target predicate, that diagnostic is preferred.
if (!HadMatchOtherThanPredicate &&
(it == MnemonicRange.first || ErrorInfo <= ActualIdx)) {
if (HasRequiredFeatures && (ErrorInfo != ActualIdx || Diag != Match_InvalidOperand))
RetCode = Diag;
ErrorInfo = ActualIdx;
}
// Otherwise, just reject this instance of the mnemonic.
OperandsValid = false;
break;
}
if (!OperandsValid) {
DEBUG_WITH_TYPE("asm-matcher", dbgs() << "Opcode result: multiple "
"operand mismatches, ignoring "
"this opcode\n");
continue;
}
if (!HasRequiredFeatures) {
HadMatchOtherThanFeatures = true;
FeatureBitset NewMissingFeatures = RequiredFeatures & ~AvailableFeatures;
DEBUG_WITH_TYPE("asm-matcher", dbgs() << "Missing target features:";
for (unsigned I = 0, E = NewMissingFeatures.size(); I != E; ++I)
if (NewMissingFeatures[I])
dbgs() << ' ' << I;
dbgs() << "\n");
if (NewMissingFeatures.count() <=
MissingFeatures.count())
MissingFeatures = NewMissingFeatures;
continue;
}
Inst.clear();
Inst.setOpcode(it->Opcode);
// We have a potential match but have not rendered the operands.
// Check the target predicate to handle any context sensitive
// constraints.
// For example, Ties that are referenced multiple times must be
// checked here to ensure the input is the same for each match
// constraints. If we leave it any later the ties will have been
// canonicalized
unsigned MatchResult;
if ((MatchResult = checkEarlyTargetMatchPredicate(Inst, Operands)) != Match_Success) {
Inst.clear();
DEBUG_WITH_TYPE(
"asm-matcher",
dbgs() << "Early target match predicate failed with diag code "
<< MatchResult << "\n");
RetCode = MatchResult;
HadMatchOtherThanPredicate = true;
continue;
}
if (matchingInlineAsm) {
convertToMapAndConstraints(it->ConvertFn, Operands);
if (!checkAsmTiedOperandConstraints(*this, it->ConvertFn, Operands, ErrorInfo))
return Match_InvalidTiedOperand;
return Match_Success;
}
// We have selected a definite instruction, convert the parsed
// operands into the appropriate MCInst.
convertToMCInst(it->ConvertFn, Inst, it->Opcode, Operands);
// We have a potential match. Check the target predicate to
// handle any context sensitive constraints.
if ((MatchResult = checkTargetMatchPredicate(Inst)) != Match_Success) {
DEBUG_WITH_TYPE("asm-matcher",
dbgs() << "Target match predicate failed with diag code "
<< MatchResult << "\n");
Inst.clear();
RetCode = MatchResult;
HadMatchOtherThanPredicate = true;
continue;
}
if (!checkAsmTiedOperandConstraints(*this, it->ConvertFn, Operands, ErrorInfo))
return Match_InvalidTiedOperand;
DEBUG_WITH_TYPE(
"asm-matcher",
dbgs() << "Opcode result: complete match, selecting this opcode\n");
return Match_Success;
}
// Okay, we had no match. Try to return a useful error code.
if (HadMatchOtherThanPredicate || !HadMatchOtherThanFeatures)
return RetCode;
ErrorInfo = 0;
return Match_MissingFeature;
}
#endif // GET_MATCHER_IMPLEMENTATION
#ifdef GET_MNEMONIC_SPELL_CHECKER
#undef GET_MNEMONIC_SPELL_CHECKER
static std::string X86MnemonicSpellCheck(StringRef S, const FeatureBitset &FBS, unsigned VariantID) {
const unsigned MaxEditDist = 2;
std::vector<StringRef> Candidates;
StringRef Prev = "";
// Find the appropriate table for this asm variant.
const MatchEntry *Start, *End;
switch (VariantID) {
default: llvm_unreachable("invalid variant!");
case 0: Start = std::begin(MatchTable0); End = std::end(MatchTable0); break;
case 1: Start = std::begin(MatchTable1); End = std::end(MatchTable1); break;
}
for (auto I = Start; I < End; I++) {
// Ignore unsupported instructions.
const FeatureBitset &RequiredFeatures = FeatureBitsets[I->RequiredFeaturesIdx];
if ((FBS & RequiredFeatures) != RequiredFeatures)
continue;
StringRef T = I->getMnemonic();
// Avoid recomputing the edit distance for the same string.
if (T.equals(Prev))
continue;
Prev = T;
unsigned Dist = S.edit_distance(T, false, MaxEditDist);
if (Dist <= MaxEditDist)
Candidates.push_back(T);
}
if (Candidates.empty())
return "";
std::string Res = ", did you mean: ";
unsigned i = 0;
for (; i < Candidates.size() - 1; i++)
Res += Candidates[i].str() + ", ";
return Res + Candidates[i].str() + "?";
}
#endif // GET_MNEMONIC_SPELL_CHECKER
#ifdef GET_MNEMONIC_CHECKER
#undef GET_MNEMONIC_CHECKER
static bool X86CheckMnemonic(StringRef Mnemonic,
const FeatureBitset &AvailableFeatures,
unsigned VariantID) {
// Process all MnemonicAliases to remap the mnemonic.
applyMnemonicAliases(Mnemonic, AvailableFeatures, VariantID);
// Find the appropriate table for this asm variant.
const MatchEntry *Start, *End;
switch (VariantID) {
default: llvm_unreachable("invalid variant!");
case 0: Start = std::begin(MatchTable0); End = std::end(MatchTable0); break;
case 1: Start = std::begin(MatchTable1); End = std::end(MatchTable1); break;
}
// Search the table.
auto MnemonicRange = std::equal_range(Start, End, Mnemonic, LessOpcode());
if (MnemonicRange.first == MnemonicRange.second)
return false;
for (const MatchEntry *it = MnemonicRange.first, *ie = MnemonicRange.second;
it != ie; ++it) {
const FeatureBitset &RequiredFeatures =
FeatureBitsets[it->RequiredFeaturesIdx];
if ((AvailableFeatures & RequiredFeatures) == RequiredFeatures)
return true;
}
return false;
}
#endif // GET_MNEMONIC_CHECKER